diff --git a/src/hdl/fpga_hypernet_dir/fpga_hypernet_dir.gprj b/src/hdl/fpga_hypernet_dir/fpga_hypernet_dir.gprj new file mode 100644 index 0000000..bf09930 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/fpga_hypernet_dir.gprj @@ -0,0 +1,27 @@ + + + + + 5 + gw1nr9c-004 + + + + + + + + + + + + + + + + + + + + + diff --git a/src/hdl/fpga_hypernet_dir/fpga_hypernet_dir.gprj.user b/src/hdl/fpga_hypernet_dir/fpga_hypernet_dir.gprj.user new file mode 100644 index 0000000..ba10121 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/fpga_hypernet_dir.gprj.user @@ -0,0 +1,27 @@ + + + + 1.0 + + + + + + + + + + + + + + + + + + + + + 000000ff00000001fd0000000200000000000001740000030ffc0200000002fc000000370000030f0000006200fffffffa000000000200000001fb00000030004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00440065007300690067006e0100000000ffffffff0000006200fffffffb00000030004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00440065007300690067006e01000000370000030f000000000000000000000003000007800000010bfc0100000002fc0000000000000178000000ef00fffffffa000000000200000002fb00000032004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00500072006f00630065007300730100000000ffffffff0000005e00fffffffb00000036004600700067006100500072006f006a006500630074002e00500061006e0065006c002e004800690065007200610072006300680079010000034a0000010b0000007c00fffffffc0000017c00000604000000a100fffffffa000000000100000002fb0000002e004600700067006100500072006f006a006500630074002e00500061006e0065006c002e004900730073007500650100000000ffffffff000000a100fffffffb00000032004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00470065006e006500720061006c0100000000ffffffff0000005100ffffff000006080000030f00000004000000040000000800000008fc000000010000000200000004000000220043006f00720065002e0054006f006f006c006200610072002e00460069006c00650100000000ffffffff0000000000000000000000220043006f00720065002e0054006f006f006c006200610072002e004500640069007401000000adffffffff0000000000000000000000240043006f00720065002e0054006f006f006c006200610072002e0054006f006f006c0073010000017fffffffff0000000000000000000000280043006f00720065002e0054006f006f006c006200610072002e00500072006f00630065007300730100000245ffffffff0000000000000000 + 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 + diff --git a/src/hdl/fpga_hypernet_dir/impl/fpga_hypernet_dir_process_config.json b/src/hdl/fpga_hypernet_dir/impl/fpga_hypernet_dir_process_config.json new file mode 100644 index 0000000..2a157b2 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/impl/fpga_hypernet_dir_process_config.json @@ -0,0 +1,92 @@ +{ + "BACKGROUND_PROGRAMMING" : "off", + "COMPRESS" : false, + "CPU" : false, + "CRC_CHECK" : true, + "Clock_Route_Order" : 0, + "Convert_SDP32_36_to_SDP16_18" : true, + "Correct_Hold_Violation" : true, + "DONE" : true, + "DOWNLOAD_SPEED" : "default", + "Disable_Insert_Pad" : false, + "ENABLE_CTP" : false, + "ENABLE_MERGE_MODE" : false, + "ENCRYPTION_KEY" : false, + "ENCRYPTION_KEY_TEXT" : "00000000000000000000000000000000", + "ERROR_DECTION_AND_CORRECTION" : false, + "ERROR_DECTION_ONLY" : false, + "ERROR_INJECTION" : false, + "EXTERNAL_MASTER_CONFIG_CLOCK" : false, + "Enable_DSRM" : false, + "FORMAT" : "binary", + "FREQUENCY_DIVIDER" : "1", + "Generate_Constraint_File_of_Ports" : false, + "Generate_IBIS_File" : false, + "Generate_Plain_Text_Timing_Report" : false, + "Generate_Post_PNR_Simulation_Model_File" : false, + "Generate_Post_Place_File" : false, + "Generate_SDF_File" : false, + "Generate_VHDL_Post_PNR_Simulation_Model_File" : false, + "Global_Freq" : "default", + "GwSyn_Loop_Limit" : 2000, + "HOTBOOT" : false, + "I2C" : false, + "I2C_SLAVE_ADDR" : "00", + "INCREMENTAL_PLACE_AND_ROUTING" : "0", + "INCREMENTAL_PLACE_ONLY" : "0", + "IncludePath" : [ + + ], + "Incremental_Compile" : "", + "Initialize_Primitives" : false, + "JTAG" : false, + "MODE_IO" : false, + "MSPI" : true, + "MSPI_JUMP" : false, + "MULTIBOOT_ADDRESS_WIDTH" : "24", + "MULTIBOOT_MODE" : "Normal", + "MULTIBOOT_SPI_FLASH_ADDRESS" : "00000000", + "MULTIJUMP_ADDRESS_WIDTH" : "24", + "MULTIJUMP_MODE" : "Normal", + "MULTIJUMP_SPI_FLASH_ADDRESS" : "000000", + "Multi_Boot" : true, + "OUTPUT_BASE_NAME" : "fpga_hypernet_dir", + "POWER_ON_RESET_MONITOR" : true, + "PRINT_BSRAM_VALUE" : true, + "PROGRAM_DONE_BYPASS" : false, + "PlaceInRegToIob" : true, + "PlaceIoRegToIob" : true, + "PlaceOutRegToIob" : true, + "Place_Option" : "0", + "Process_Configuration_Verion" : "1.0", + "Promote_Physical_Constraint_Warning_to_Error" : true, + "READY" : true, + "RECONFIG_N" : false, + "Ram_RW_Check" : false, + "Replicate_Resources" : false, + "Report_Auto-Placed_Io_Information" : false, + "Route_Maxfan" : 23, + "Route_Option" : "0", + "Run_Timing_Driven" : true, + "SECURE_MODE" : false, + "SECURITY_BIT" : true, + "SEU_HANDLER" : false, + "SEU_HANDLER_CHECKSUM" : false, + "SEU_HANDLER_MODE" : "auto", + "SSPI" : true, + "STOP_SEU_HANDLER" : false, + "Show_All_Warnings" : false, + "Synthesize_tool" : "GowinSyn", + "TclPre" : "", + "TopModule" : "top", + "USERCODE" : "default", + "Unused_Pin" : "As_input_tri_stated_with_pull_up", + "VCC" : "1.2", + "VCCAUX" : 3.3, + "VCCX" : "3.3", + "VHDL_Standard" : "VHDL_Std_2008", + "Verilog_Standard" : "Vlg_Std_2001", + "WAKE_UP" : "0", + "show_all_warnings" : false, + "turn_off_bg" : false +} \ No newline at end of file diff --git a/src/hdl/fpga_hypernet_dir/impl/gwsynthesis/fpga_hypernet_dir.log b/src/hdl/fpga_hypernet_dir/impl/gwsynthesis/fpga_hypernet_dir.log new file mode 100644 index 0000000..840f424 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/impl/gwsynthesis/fpga_hypernet_dir.log @@ -0,0 +1,131 @@ +GowinSynthesis start +Running parser ... +Analyzing Verilog file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v' +Undeclared symbol 'clk_123M', assumed default net type 'wire'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":45) +Analyzing Verilog file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_rx.v' +Analyzing Verilog file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_tx.v' +Analyzing VHDL file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\blinky.vhd' +Analyzing entity 'led_blinker'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\blinky.vhd":5) +Analyzing architecture 'rtl'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\blinky.vhd":14) +Analyzing VHDL file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_clkdiv\gowin_clkdiv2.vhd' +Analyzing entity 'gowin_clkdiv2'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_clkdiv\gowin_clkdiv2.vhd":13) +Analyzing architecture 'behavioral'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_clkdiv\gowin_clkdiv2.vhd":21) +Analyzing VHDL file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_clkdiv\gowin_clkdiv4.vhd' +Analyzing entity 'gowin_clkdiv4'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_clkdiv\gowin_clkdiv4.vhd":13) +Analyzing architecture 'behavioral'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_clkdiv\gowin_clkdiv4.vhd":21) +Analyzing VHDL file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_clkdiv\gowin_clkdiv5.vhd' +Analyzing entity 'gowin_clkdiv5'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_clkdiv\gowin_clkdiv5.vhd":13) +Analyzing architecture 'behavioral'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_clkdiv\gowin_clkdiv5.vhd":21) +Analyzing VHDL file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_fifo\gowin_fifo24.vhd' +Analyzing entity '\~fifo.gowin_fifo24\'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_fifo\gowin_fifo24.vhd":425) +Analyzing architecture 'beh'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_fifo\gowin_fifo24.vhd":425) +Analyzing entity 'gowin_fifo24'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_fifo\gowin_fifo24.vhd":425) +Analyzing architecture 'beh'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_fifo\gowin_fifo24.vhd":425) +Analyzing VHDL file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_rpll\gowin_rpll_123M.vhd' +Analyzing entity 'gowin_rpll_123m'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_rpll\gowin_rpll_123M.vhd":13) +Analyzing architecture 'behavioral'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_rpll\gowin_rpll_123M.vhd":21) +Analyzing VHDL file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\gowin_spdif_tx.vhd' +Analyzing entity '\~spdif_tx_control.Gowin_SPDIF_TX\'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\gowin_spdif_tx.vhd":682) +Analyzing architecture 'beh'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\gowin_spdif_tx.vhd":682) +Analyzing entity '\~spdif_tx_package.Gowin_SPDIF_TX\'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\gowin_spdif_tx.vhd":682) +Analyzing architecture 'beh'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\gowin_spdif_tx.vhd":682) +Analyzing entity '\~spdif_tx_encode.Gowin_SPDIF_TX\'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\gowin_spdif_tx.vhd":682) +Analyzing architecture 'beh'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\gowin_spdif_tx.vhd":682) +Analyzing entity '\~spdif_tx_top.Gowin_SPDIF_TX\'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\gowin_spdif_tx.vhd":682) +Analyzing architecture 'beh'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\gowin_spdif_tx.vhd":682) +Analyzing entity 'gowin_spdif_tx'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\gowin_spdif_tx.vhd":682) +Analyzing architecture 'beh'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\gowin_spdif_tx.vhd":682) +Analyzing VHDL file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_synced_i2s_clocks.vhd' +Analyzing entity 'hypernet_synced_i2s_clocks'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_synced_i2s_clocks.vhd":4) +Analyzing architecture 'behavioral'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_synced_i2s_clocks.vhd":19) +Analyzing VHDL file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_tx_channel_status.vhd' +Analyzing entity 'hypernet_tx_channel_status'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_tx_channel_status.vhd":6) +Analyzing architecture 'rtl'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_tx_channel_status.vhd":18) +Analyzing VHDL file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\i2s_quad_receiver.vhd' +Analyzing entity 'i2s_quad_receiver'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\i2s_quad_receiver.vhd":6) +Analyzing architecture 'rtl'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\i2s_quad_receiver.vhd":33) +Analyzing VHDL file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\i2s_quad_transmitter.vhd' +Analyzing entity 'i2s_quad_transmitter'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\i2s_quad_transmitter.vhd":11) +Analyzing architecture 'rtl'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\i2s_quad_transmitter.vhd":43) +Analyzing VHDL file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_demux.vhd' +Analyzing entity 'hypernet_demux'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_demux.vhd":6) +Analyzing architecture 'rtl'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_demux.vhd":27) +Analyzing VHDL file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_mux.vhd' +Analyzing entity 'hypernet_mux'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_mux.vhd":6) +Analyzing architecture 'rtl'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_mux.vhd":28) +Compiling module 'top'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":1) +Switching to VHDL mode to elaborate design unit 'Gowin_rPLL_123M'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":46) +Processing 'Gowin_rPLL_123M(Behavioral)'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_rpll\gowin_rpll_123M.vhd":13) +Returning to Verilog mode to proceed with elaboration("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":46) +Switching to VHDL mode to elaborate design unit 'Gowin_CLKDIV5'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":52) +Processing 'Gowin_CLKDIV5(Behavioral)'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_clkdiv\gowin_clkdiv5.vhd":13) +Returning to Verilog mode to proceed with elaboration("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":52) +Switching to VHDL mode to elaborate design unit 'Gowin_CLKDIV2'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":58) +Processing 'Gowin_CLKDIV2(Behavioral)'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_clkdiv\gowin_clkdiv2.vhd":13) +Returning to Verilog mode to proceed with elaboration("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":58) +Switching to VHDL mode to elaborate design unit 'led_blinker'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":65) +Processing 'led_blinker(rtl)'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\blinky.vhd":5) +Returning to Verilog mode to proceed with elaboration("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":65) +Compiling module 'main_tx'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_tx.v":5) +Switching to VHDL mode to elaborate design unit 'gowin_fifo24'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_tx.v":53) +Processing '**(**)'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_fifo\gowin_fifo24.vhd":425) +Returning to Verilog mode to proceed with elaboration("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_tx.v":53) +Switching to VHDL mode to elaborate design unit 'hypernet_tx_channel_status'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_tx.v":69) +Processing 'hypernet_tx_channel_status(rtl)'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_tx_channel_status.vhd":6) +Returning to Verilog mode to proceed with elaboration("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_tx.v":69) +Switching to VHDL mode to elaborate design unit 'Gowin_SPDIF_TX'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_tx.v":93) +Processing '**(**)'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\gowin_spdif_tx.vhd":682) +Returning to Verilog mode to proceed with elaboration("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_tx.v":93) +Switching to VHDL mode to elaborate design unit 'hypernet_synced_i2s_clocks'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_tx.v":107) +Processing 'hypernet_synced_i2s_clocks(Behavioral)'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_synced_i2s_clocks.vhd":4) +Returning to Verilog mode to proceed with elaboration("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_tx.v":107) +Switching to VHDL mode to elaborate design unit 'i2s_quad_receiver'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_tx.v":145) +Processing 'i2s_quad_receiver(rtl)'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\i2s_quad_receiver.vhd":6) +Returning to Verilog mode to proceed with elaboration("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_tx.v":145) +Switching to VHDL mode to elaborate design unit 'hypernet_mux'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_tx.v":166) +Processing 'hypernet_mux(rtl)'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_mux.vhd":6) +Returning to Verilog mode to proceed with elaboration("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_tx.v":166) +Switching to VHDL mode to elaborate design unit 'led_blinker'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":89) +Returning to Verilog mode to proceed with elaboration("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":89) +Compiling module 'main_rx'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_rx.v":12) +Switching to VHDL mode to elaborate design unit 'hypernet_demux'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_rx.v":61) +Processing 'hypernet_demux(rtl)'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_demux.vhd":6) +Returning to Verilog mode to proceed with elaboration("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_rx.v":61) +Switching to VHDL mode to elaborate design unit 'Gowin_CLKDIV4'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_rx.v":69) +Processing 'Gowin_CLKDIV4(Behavioral)'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_clkdiv\gowin_clkdiv4.vhd":13) +Returning to Verilog mode to proceed with elaboration("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_rx.v":69) +Switching to VHDL mode to elaborate design unit 'hypernet_synced_i2s_clocks'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_rx.v":79) +Returning to Verilog mode to proceed with elaboration("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_rx.v":79) +Switching to VHDL mode to elaborate design unit 'i2s_quad_transmitter'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_rx.v":107) +Processing 'i2s_quad_transmitter(rtl)'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\i2s_quad_transmitter.vhd":11) +Returning to Verilog mode to proceed with elaboration("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_rx.v":107) +NOTE (EX0101) : Current top module is "top" +WARN (EX0211) : The output port "debug1" of module "top" has no driver, assigning undriven bits to Z, simulation mismatch possible("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":35) +WARN (EX0211) : The output port "debug2" of module "top" has no driver, assigning undriven bits to Z, simulation mismatch possible("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":36) +WARN (EX0211) : The output port "debug3" of module "top" has no driver, assigning undriven bits to Z, simulation mismatch possible("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":37) +WARN (EX0211) : The output port "debug4" of module "top" has no driver, assigning undriven bits to Z, simulation mismatch possible("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":38) +[5%] Running netlist conversion ... +WARN (CV0016) : Input sys_key_b is unused("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":4) +WARN (CV0016) : Input audio_clk is unused("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":6) +WARN (CV0016) : Input dir_bframe is unused("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":12) +Running device independent optimization ... +[10%] Optimizing Phase 0 completed +[15%] Optimizing Phase 1 completed +[25%] Optimizing Phase 2 completed +Running inference ... +[30%] Inferring Phase 0 completed +[40%] Inferring Phase 1 completed +[50%] Inferring Phase 2 completed +[55%] Inferring Phase 3 completed +Running technical mapping ... +[60%] Tech-Mapping Phase 0 completed +[65%] Tech-Mapping Phase 1 completed +[75%] Tech-Mapping Phase 2 completed +[80%] Tech-Mapping Phase 3 completed +[90%] Tech-Mapping Phase 4 completed +[95%] Generate netlist file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\impl\gwsynthesis\fpga_hypernet_dir.vg" completed +WARN (CK3000) : Can't calculate clocks' relationship between: "main_tx_1_inst/clocks/i2s_in_bclk_d" and "clk2/clkdiv_inst/CLKOUT.default_gen_clk" +WARN (CK3000) : Can't calculate clocks' relationship between: "clk2/clkdiv_inst/CLKOUT.default_gen_clk" and "main_tx_1_inst/clocks/i2s_in_bclk_d" +WARN (CK3000) : Can't calculate clocks' relationship between: "main_tx_1_inst/gowin_fifo/fifo_inst/n9" and "clk2/clkdiv_inst/CLKOUT.default_gen_clk" +[100%] Generate report file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\impl\gwsynthesis\fpga_hypernet_dir_syn.rpt.html" completed +GowinSynthesis finish diff --git a/src/hdl/fpga_hypernet_dir/impl/gwsynthesis/fpga_hypernet_dir.prj b/src/hdl/fpga_hypernet_dir/impl/gwsynthesis/fpga_hypernet_dir.prj new file mode 100644 index 0000000..a838036 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/impl/gwsynthesis/fpga_hypernet_dir.prj @@ -0,0 +1,37 @@ + + + + beta + + + + + + + + + + + + + + + + + + + + + + diff --git a/src/hdl/fpga_hypernet_dir/impl/gwsynthesis/fpga_hypernet_dir.vg b/src/hdl/fpga_hypernet_dir/impl/gwsynthesis/fpga_hypernet_dir.vg new file mode 100644 index 0000000..3c33667 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/impl/gwsynthesis/fpga_hypernet_dir.vg @@ -0,0 +1,6360 @@ +// +//Written by GowinSynthesis +//Tool Version "V1.9.10.03 Education (64-bit)" +//Mon Oct 6 00:36:55 2025 + +//Source file index table: +//file0 "\C:/Projects/In\ Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v" +//file1 "\C:/Projects/In\ Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_rx.v" +//file2 "\C:/Projects/In\ Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_tx.v" +//file3 "\C:/Projects/In\ Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/blinky.vhd" +//file4 "\C:/Projects/In\ Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv2.vhd" +//file5 "\C:/Projects/In\ Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv4.vhd" +//file6 "\C:/Projects/In\ Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv5.vhd" +//file7 "\C:/Projects/In\ Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_fifo/gowin_fifo24.vhd" +//file8 "\C:/Projects/In\ Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_rpll/gowin_rpll_123M.vhd" +//file9 "\C:/Projects/In\ Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/gowin_spdif_tx.vhd" +//file10 "\C:/Projects/In\ Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/hypernet_synced_i2s_clocks.vhd" +//file11 "\C:/Projects/In\ Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/hypernet_tx_channel_status.vhd" +//file12 "\C:/Projects/In\ Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/i2s_quad_receiver.vhd" +//file13 "\C:/Projects/In\ Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/i2s_quad_transmitter.vhd" +//file14 "\C:/Projects/In\ Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/hypernet_demux.vhd" +//file15 "\C:/Projects/In\ Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/hypernet_mux.vhd" +`pragma protect begin_protected +`pragma protect version="2.3" +`pragma protect author="default" +`pragma protect author_info="default" +`pragma protect encrypt_agent="GOWIN" +`pragma protect encrypt_agent_info="GOWIN Encrypt Version 2.3" + +`pragma protect encoding=(enctype="base64", line_length=76, bytes=256) +`pragma protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa" +`pragma protect key_block +oP4I801D+8lEOAAln1D0a3FmQV5T1I2R5QSx7m0mE/Ifl99QBbiGLnq1nSwMLqyOOMRt/EQvgX26 +ipiXzv1UUYpLp74orCnTl4eW0Hz/iCC0ODxbF4hJ3toUFuMD3geEJ+swFPgofDYRQne27O5GxaYI +xWjrk/IqAUQdgOlGYr+sNDWN2OjqrZJ0em9araCxp2eHA6l7P7JftvUP97koU8dfIzCisIYqSmLf +lzHgb75rfjmvt/CwbG4GBQUuqCD+uq8COPxdyUxbbNG67fg4lQuPKlSCkF32V8jS1jWGCSmKCnr1 +agKVt9VN+O8riugOYwlHAAJNVk9Xe8AfICoR6Q== + +`pragma protect encoding=(enctype="base64", line_length=76, bytes=359968) +`pragma protect data_keyowner="default-ip-vendor" +`pragma protect data_keyname="default-ip-key" +`pragma protect data_method="aes128-cfb" +`pragma protect data_block +G8luaOx4PKhpjZ/d87Eno2VWMQIJla8UQ/1psdOVmaivYWNDGTGJi+G7TotuHqtXDx6+mZWy3EZY +5rpqlBEcbbTNJa7AoGWSnjCONkDFOfYTWg0nSxDO3JWJ0ZxGx+51/8yi5h7Z17FUvZmIowdhrjFK +XDFxRG3CIowHX9FUfemCq6BIhiC6kf77CdN/RiBceiqraq+xlAYWfrKxzAirdfV3HDnCPce2DT7D ++XAhAl/TncUUA6MsZcNCHZrtvBjGjGLa8E0i3p4F7Wm0eG5errc5+0h47YSIMhfxrakPmEE8MIfF +3Qgbqq5clNCcvNvV1TK26egokWD0b+To8ucIfVkptKC4vS9cDAEkYLPMyIFXf7qCydZ1PdlSoorz +/sVikQC1tLGM1B1z9Z8UjXtIyQbAMdsOwLZ5qQ8PKqJb3IRDqTudL5c0TlsxRPi5hyz3cUzWnx5L ++97TrNAPf41+0Zq6fk4NVr0YrGHDqyjzPfPSoVA0vTuztQ7lFs/TEKSCoqzlXSoLtg4pUfY4IjE3 +kMwKKINjWF/1HlkcR2ZT3f9yL8GS7PhrjtZ48e7Dhy7DuI6AM5+22kyJhjo0rp/x2e375gHnR0CV +g46YX6MfXHsaPH0tD1nyDnAZ9T/H8TVWoWQAE8av4C/2Amu6UQNIx7qv5rBSMSJLxki8CIncm9i1 +dY/+8jp+icfgdz8892dtpnBrDgtxOMKnRoK+lskqhnlrzRL/zdhROFopm3KHYzVX9q4Jw4xoXfBy +YdVsF5/0cJjwFfNdn3HgKRqubt9djyWYil1tQJ+kE7WMDZ9frPmXUYKS9rrUJHXJqPMDtQA2kPRj +nf2qlKfN+6CUmBarJeneTZ9+3InuYCy7ZR3osB3gz+lEon4+LQObU6Oy/nJ+sXtHMn7G4Jo3jMVZ +C0lZF2E9uuC2bLfmO7PAmhGEwn5nPp8Eix+2HisUXEdUJ04dEqeHiifxbMv6zDXzBzFJAYGnnDXp +44PBXsVYyzu2WDEuLU6tPfw8cT0bCDDadJSpSmjSZ9jz0LoDgVKT0+NlOvgc3OP1PD6j/BJP1WdI +IVunknA/e3LG7FhMWjXkVDAvSqvg1eQDzOB+VfIgAUv6W71/6YdjnyleKPWyyuNhsYOTA7Zxq57P +MKmKrRa2YVmYexYRlQy6A1p4h+meEu0nLdSMyIDtULYmOQIDpTH2rYrBUEaNgOPkV+FpHv7c4nOi +gKqwY8ok9uxl1ZVic4aJYjkFCWeuzg5lYsXWKOkLDpLz69k3Nat27ibdDNh3lld/aeyhT+LCMtWN +FkIm2Ladb9h7gSchc6XuqY0gjfQoAqLc1OrKTTNTtaWuDVHFZ3qaen8WzotvklvXZf6CK8N0Il7K +yVAs1+ozankVv4921cplVaasDHCXEU579o9Etnxlg2lgXNt+oCBq55wz6cQP1H56iTlY/eeyT2KC +HoToEKE24vrBtitlXc8jGSeoWdJrbMM3meZnEc35zKIR4pp/W1WevDM0VOX4Fn62cBS1SBcBru2h +4Yz2/LSQ7g2yRQtEckeKf13FrRsuYQ4bN8Rx/acrGyxULdVep+qDHUHT8IGn1aBuGVSwE7/Ti3Ed +Ku6stx8Dz1k9Rq1AWOWYXrlYwpQsGfDttt8ethS0oKnRXV/k6ZRBCuAAQjMxMbu5IDQnLxxp9j7c +VvnXUylEBeX/I6QZQ3yJ7Quoy0p8pTi2irlfYIHM5d5h/DzXYCekw9eMXXLyjRmGO6Ph7ktHjOR8 +yv+4otvDJTZdVWGH/nKFaQMvpV5u18rjM62vtXeJAALrW9yfzyNoCBplmRaQgbbkAHig2RtmPoq6 +AmiOCmcbyrUKBBCPFrTYiIzHGzgKaIDOq35Uk1XUmQeVwSx//s0fyXLi5q0Zs+k1pQ4i1mhqdJT4 +hvCC9I9wvT90B4V1ayM4JEEoVuB6qtMdcGxlsT9LGzTzLs9gmsl2Nb7YQkGFT4qsMIdeWyQu7tpu +iolSP2eFz/yMlqaoNtf5aEze8Ug4zDgOqfQslcxPxd8i5lFiVue1vMsnPTzIWtjYuNq50P5M6hjL +xQLhEqUjJeMKrLKqKm6FPKgKJftrKtwNxmUAZ1vHpjXSxtCiIR5B1tQjpjQYakMxJrMPeySUmZQO +O7DeQwbBmVuXglO84cxq48OghwAiVNg5F0JpFF9pupeqwqK89ibkBBsQC0v1kMhGR9FaKU22CopC +Uzpew8wxkWpLrk1Oztk28snSFq/M20B4IkjK3etmQThbFm1k/mMDjDC1XHhhnA/y6GabA/BT/YUH +lp6bxBN0HzngDWR7jZw50qZWHiavRPF9+UZkYJmSXp9n0nWPJWSOv+a+eXzGdNlZDz59jy6/DQUY +v2E2WTIO2FbpiveP0oadcZcgES1nfjh/4xdb9hEsa8l5oEh7zFDzfJHQjzfT6MFfrR46JMVyy0+4 +pTpvjLc3dg6ed0/V0QZaPhERpxMai/U0HfpthslPe2bX5cYA7OE5tH25FgCPOLK4nEcCAldSAcqe +a+CK3iSM9AJV8fZe3QLuB4HUZq2uXGzVPRdj7yv4OmtpjmKbrPjcrD+DPB2UVpjPECIp5vMIygDf +xCpM45hRcEMXEPNPFeZtO7Mk36cCTwKdgka6Ca6jKSdNVPrbjmgg4mGIAyouKC8/lKpQ/crxKMtj +/IaELgNVu8QHZwbPcfczSABZNp3Pt9WkguqPgEwtH9HEQ57vOt3tCT02XpgqGuw7gz/G64V094Jc +XvcnWw/s/7Yo+qqueOwtK4C4ke4TVFfdMFGMA7IBk4i15VYblHW7HburR6iSWg/oIhTrDvAXvA/T +ePZJx1P7cylqy7aJUSnwCWvqkchmyutFi1lwqq9+8HlX2e6kWfJ2NrhDeGgEuoH0oADbyvQtoc4m +FnqLuEUTDr+vCNAgOU250mbrJYcIngMeeQuMIqZ5k/8fwAfh7DUOJo0CruVWahIMZ+upHjVLIbC6 +lUDniVJ4PHm5wQswVwUu4VaG3/7LdmWkVe6t4DOuIGdh7O+2ch4mZW894w2unzZure+1E8bI+1zR +q6cDSCoXsZyS9XllLN7hctAynY2J5FwSajWB7AgDmgbu5Ko+FXCualzCt8A6QN876UCKTU2cuCoM +sKDGyhz4KOh3oqJxoWFt8ALT2IyhlsVkyF5C/80f+mEI2HRfaJyEr3VEQ+SoTZFxPae5+RwSh+iS +Xjdt/FyPnqaigQgH6GMkNRx87S70jNJQsmOm5LanaXo1ydDB5cPqqHTKGjmJi8Prax/Z6Zoa0CsB +D5LPvAdeGx0EoRHXnY6k0XFesmcYr62sC5zo6MmHK75ks5EyAMIa9v+6zjMKWY+itb+paqK0Nadp +uiF+V7SqYgxSj3OfHp2ejSWilKRRm+npwsVqNcg4fBh9S+3CEMuyPtFU6e8VOkGIGbI8oTR8x8uO +KG47IL0+jw3gFlDOfonOzYHJRuM66vLQeOzRjkP9ZKsFXqTg4w8g46pVo4naIFX+gE6oqxL3OwPB +x/6Y5ecBL3OsbgSsU2m8rWC3nabQufpa9lXPoyGnQVKu0TPXj0PDIEz46ylsZ0GMJuGivHHbCEcl +Ec3xoP9dhbhRvapsmG0EyV15thQMFKMtPuF4KQYr0OMOE72xxn83K2abmD6OZWpis5mSpaHKYgxQ +DcQ0cmVpHYZE93SIKLwvZR3whWiMHOPvEk8NEkTjjI8ltMPipKpnuP0HTe4LcUq9i4nsoB9C3UDg +tacSO7rdzijPZN1E7SRAJieeuOhtPkR3xOaXK7SVEVM1seuIZzOwZySUd98+tpHucJOXjVFuO/7+ +ErYHOOrwtiyJDtroFQ6S/wJoi9Yx7VSRos+7n5xVKZjZVHgmIlXEpujEr0RHavkUzA3IOgbXkBsH +Kc+YPBdybkDm+UUmg0L7kXKeh8ndq5uWrKsv3dlYsq3I4yrF5WlQzZSVyqlkEnp8rnK9w9LyM8WY +a9XBXeB+MBZN4REbB3E9eG8z6/FPPVA+iSB0pu7Btx+TN2d5D7sUz1/vPnoSRCBI8Mp6J92BY3G4 +4k9pqlnDgtzQU5eX62S5g+LsclaY24UXJYyPmyPGidoTydIG0Yy/kp1cEX77jQFAiEkddNEGzQ+7 +k8yHb1j30Myh3H8fzyXURbeJ3SDtQ+p8ISx44gHtGP34O+DiSLDYdW2XeIFfo1DfkKXFsTr1ZYTV +919v9aSDP+8sere65hBTHg0cWHjpsVIFLxADmNbfz74Qg0Wr63/sGPyZZLnGHO1+ayQzT/rgRLK7 +EvCBXzR6XpoYj3D/UMMBme9W5eAkQRp8CVDD0JYMKHASGm6tio82TQRI9dEUMbuZe8QZbqdMJ653 +Cglc5E7t8yEmJqaefnjVlSpgtxG1Woy1NGP+GrYcAO5umeEQ2ruJMy4/GN0qN+EEbRxJ0WNgPNIt +zFS9Q92Gx5HHQ98cCHN5OSMJhlJzVxwelFdvIitiSvtlQlA/kBZqGXhkCIei+EChABA8eyH7XRtI +2uvdVMwPZg0lZm5CdYCsERRAMJTKuUUDGHPY3VecWNMk6v81k3nCT4L9tuldfm56diW4ClBYpuy8 +4ONsreP06C602tmxuQhle3ez90rgYIJgwWFaEEkFMphkWxGcx3fZvq83TAGi1aFlfSY5XGmZxCwL +crP4faNnDaizAPMl1RFO+8kwl8/KgDyPlM5U4hYTOY6h+A3zrcFJubPMO+bPnqCfZ+1+3rLzQCe1 +AdZJHwMNgLvs5i/t9gwlapbkeX2IaYUzTpgLKAopRgJNuSivwpgCXuxLu5a7vsTv3j0TwmevxIwh +W55OHSCG1uMYjcldcfDH40qaRgJq/wuDxfbxlPTkoDnJS0S49lN9xrMKIoDvNiQ0vmKqq8ovS6ao +NJwko/qraRvies4+mAX0M7a47t/uwdgrOodw3w2NOq8zqIGqsTGdK5esEl28GVOKAbdzsKg2rNoL +YqCwAujATvSMjELIhDO+najP8/vhRj+wgIKc/r9aOVO1vcUUtc8GjnHfvsKuk9FTULg7Pvd1qdM2 +OX7zJrBbBmBh20XGW+1d9s3eyvbTrcwcefHpj114DMgARrtM05I4ebJQm5YJg2sL0SZYthqTm9px +g1I8TWjvpZysx5fvK8emRuY9MUCcf+PACm1tQG77CpHpEbeTj1X8i48lFLsRICMJIdyQNzf+Meez +8cy8vm0fKGdiPLamQhOrS95W76XHbWztGyBuRqUUeRE+0+XT1ZthtmCT5oz+5lu9xsVT/pCfy7Rp +ieLa50v2UmecaQnmQD+VN7VYilMQ4FFsNe8Q2pqPVhpJ67TSmV2r5T+i83B98Y9zYwIJmCAIEbsf +mNma4GPs/PmG3DjSUxNdfCLBaFiWJsAEHefiZ3sBid9D9ZFSIKhSfsTrNzh9oQE/TQT0RzGYnXim +z/SIiCcFTdqejakyK1fGNojEJYHD9Vce+zaXDrWVxB+DLh9EWvjMFgr6VwrQ4NKU/vQhzaZHjHtv +Y3GYtkheeWIWvUadzKOp9PGU7f4ESjrmctqiIuPD4blH80bQ+aR61GFrinI5SECHXMJDynrpR2ky +pWNhXq8kqJ98yYD+YVpEsY2uf9wovYTN84PtecvAzohikB/QkCYu4LuJrE9BDFXZ+9PRgYXA4UGd +TciVXUx+fOuMOj15Q923CFxfmKSS4Yi2E+bQp9GHGj5h8eJvNHCR7Y0GDv+JzobAvDOt2PPVQbgr +CGec99/NN/SEDI4lFHohLqodtMdgK0dNN4uPkatutDX/IFKpPTGvsut9J91J5ynuQAxwawzNHUbg +Pyo/WpM/OpWPzv9b00VIsAFGgr3wk5z0iDUezpwg9qHhQta9u/EOAiGL/y8bgK9CrKaatn9IJ/q3 +w7zg6I8S8riK99j0J9UhuRG8l+CoW34M84yGfrU896SXaybku5pdvbbSe7+udbgtz6G9DB8ZYPa1 +YmamXLryjXKsnWA8T7tMGD0oNZw5ls3ubHk/0YxEC2qrDXLrUws50DZvsY73pijaUmckSyZsCZN3 +pW3jV3U90jP2Cd4fWHVfDKBh7ZRHnvmBFeqrKL7f8WyHbEa+b9z6LzFmhrKfVTNAjqyoRtbXE/zr +ZGn9UYbrx76FQ3FiFwbAuWEKhVv5HJIgj3l2qXs0JF2pSUxD+Aazung19ki3buAVf7m+icXwDjo3 +Jd01xtrbD9XmkeTC+HwCIqlRb9Y3RQTaQT5zuv++Npr4z1UvrfMQLuGmXTuueWu5z4al7HVDX11G +CzgS/tTSeYcoyLmY4db4pse2Hw08+g3HMzb3eMt67UCNVaRK94TF8FefytMyowTaPXzHmMaGKJ+F +c1R2J+P5gKSr2NplxjxsCOjm/Xsu3y/yotpB6eIr2cSw7NXEimaTaxq3i6GYecAq/mFEm/27iG15 +cPb4sWOriyFc22AXXDguR/efO5m8UAVEryi41HVgzY7w+YI75w/YUZpWUu8ftXumGJP/i6ndM2Hr +KdIOQ3FZd45Ol9mKTCS5GEWs+JUCroimklv/vWpYupFTETl2sjxm+A5hZofPXhSJRs4nYLlJ7c5X ++b1lO0xbtsdkFnJJyyVNwOhrlnW0NKrZeVkMN49EhB6rsTaWpvGa9vakpJvcvgcsVOTSCJMBHvrz +CkWsjdQMfgsIwSGK3GXqcJKs4XQncCxZQNDmn6Hpco3l77/IsaS7AjluOYP6ZnA/rMC2PU1tVG8s +E55j8FJfl19Peo8l5e6duc3Yxaq2svXgJsGWHXIapKh1PK6Q9kr8zQCu9DfDDBjpv4OnRWo4uzqZ +sUzrNnsDFmrMe5GRZ3tSO12ZI5B9RMXOZD+mY76RscGV3EZEpLNK+ud5CCNSDUqcq3xjE4QLkn0t +/+BBkcYE5XJG3+s0eLekaj9Pg+hSQET59VcgK63koKpR3Tvv/zHL8WQek6b/2AY7M56odtRUs6ZD +CQ9z1TA7lBmtRCmtIAhapvyLUdX5ZD7bFjAT+yFpMG8jFRsdg2/wBlh2YkdZryjz8t1Mt0CUIU4E +s1YJxTF6i6IzSZg7Kg883CtD1pXc1P6eT+rXAHBzV+UXDLBVGAMFL+gL6FIAOiwklLtxNq/6QhGi +Zgj0WaS1qUbb/r660E5pKgbzRzdDNiL2KCV4hPQJ7B20i4rJUELlvwqAQfkQyX4mJdXDAh0CMx8d +pvJc3LJ451ZixcCcGTnQ7ZalYeb1TenUWbSQGQvNT8W2epGXS+jG6OSW8BI/tHCcYlvKswhgwlqR +1JXeB9kXTvVQiM6v7srh6ddH4t1WpDVs2pSQ9mnPW+D2guu+UZ6jWGfcKWECLoXZNBirnwn4ozKK +f80AcNYBgmYGE23hmJztN4kgfX2ThTzjeYMssmMHSXSghMPcqZ1ZWGUx1qsT+iGWN8qw2jxjEG5x +78cgcFEXwnkJO2guLfxmmncellOuQNkU9H2Bb+D/g1ZfLCGtW5KvdB95MRZ3zrcBNZO0psRlz8aW +C/+CDWFGuMijCKrprS5UT2ZUI1bYGt90rJYQUPnoUsjYdn/RuJZTZV4HgjMIHU92VNYH/MhYKpy4 +DDIr3unhN4MVj2UGVLqax4X8v30J7FkVyVP66G3txnET4ZlPDDkRxzXIP5LTP0QQd8jseseZN/DR +VppvWk5u9gSAzi7xjO9QROKMmtGrZ9BsHoD7OfBpdoTP+sOrDqPWzVN7u9380tOF25dkXIhpKwAL +xS1Yj/JT564r5xHO1uV/tE12UGhdxV6EMGbyWy/kWqUG/ntqbXmb8fr+fdd0CdWwtdJVmUZgPo0o +nYC7byPtEpPCZmD+JHpK8PnFa0wMq0L+zLh/43rjjtHESBBwesfGtHjyfFpbSPrCigyV3OhLCdt3 +jZiy2qupzilooUOJXIkQ/g6AZWWUuur0CwYR90f7kkekkg6nhenPL9SI6QXu7QQKQDP7ZoK/dxM4 +XvYHTaqMKyJp7U9WvnIctg8GmRHffyE63bCkWBRyct1Tw6pFOlqowHbOtpqPBztDmbEIs3MT7Zfv +CQMnjp5KCde1v91HDv1fbaHmkarCVFWG9J3LJqbW0Mt824NgFghMdrjVlS2Sl0Hqvvr0CBgufVly +WEiLGTS+UQh6ODg3Kv48s3uXyMrTlUcNWRT3yugbRfRBpcaKMG4IB0lMyfG/DLngR26XNny73Pf8 +xDEiAX86Lefzc3K1Gm6SjXSVMLG8g8YZgdf2U3Ao27mIlcfb58OFxjxzUtsNL1r5VvtJh2AiY6Wt +qgrP1vqfmMdL5/7/eDizIExvQAWVbtymdp/NJgrJX/ZxXD3M1AQxJmIqzrWjwEMVQiotgZi30mE2 +PyeIzNqqh1MDBv3IpRuumuCnrwi54uFMuRp8PSAeOFsUGnSNQquuVQ15U5zA5vk0jlfZobkYHUXq +hHnSWCuxfJonjtheMCbnZxBP5npXJO53/behawiPNu2YIVo+xLi+gy6veseZE18a3BvhAivr9hJr +qKjWM2dZIQAqSjLk9VJVQotJXiUBPCyCEVDNSvnr0AtFRyadAoEnfOvDoHzvNxNaIEpPhwFCYHN9 +LhhSUyRVh6KA/NIdl3h5/rCXSQExygJ1VSkG0SuOqFopTtDrzlFPxNphRZIEF7flw98v+3xTFv7M +jN8U5CuITwoFjT7kDfoIB4jurdOMDuQxNkjH3OPS5iMkgmHV22WyXrO98dt2/E80VieSc6ANCon0 +fY0l/ArBVwQJCKTszgBRggHRnEPMe9eVEPLfONp6hGNcaoHX03KXEr6LOMRYXKtWI7Dsg1yO/jiK +qtDC+RxFecLAfo1//20AzfjnKnOTfklkNpSWRBXIZYU7e1uaKbV6hGvyjwZEIEukKcNwxM5F7H8R +2Hka1UX5ScVH2b/WL3eoaWXA9XNQCiwifcEsdl8ugqPwPpAV2g7v22Oi92Sbpt5+wme2apWgqqln +XwjvHmhhuh+ppEVCqQjQEGGlJ7+RFQGJg2pbAFxLIvvXpRaHSEt+dBHITtlG51eABwqx7gyyvNHD +lfHFeBPNXjAajsRtQ3Plo2JlrVITNJeeMLSoDg4h2dkSqGZmLn3CxKExhvWRqg9y/BSXxGUKzUO8 +f86l5QmP9cNdtmuVg7g+nZIm4CKor43ivQSaejkiCGa4WPZ9EL49YOTj80s35R3FCK0qpbzFlx0X +IG80u0EHTBdE7nlNpA2I7AfLugtdaU7iOXJ2lsq/sbpYQRapahYkmknMP0Hm8btyikJX/XiPHdhr +q//Yxz558ZJ2iWbvJACLpCRE3nREw0cyhetHMdYEOUh4napdiKClf8/q5EpHARoDY1AsoBv3kyQG +pv4ULO9TRnLzLaEFnQK6AG9K1zKvXrkSgLnMQU/M8HrJnI72TMQz8iGMMXW7ALJSV0bykOsNlnWv +dHWAUJH6JLNGNO3KbTFHJBJb+tzjPl807WW69J4vfUKCSSvKue1lOtOcBV/xXKWCM9bx2nNm0XiL +7kAZb32jLuesnOjPHxtsQb9fWKioUwmAsYySGQHdCxZl7sFnE+oCJSM+nn7YkepKciNFZxq2YgWs +I22rM6r5tORySAmHYkXzDm6abkbUGAQA+zUkyVbRg9/GJ4LKUhFeenKO6JgBjhpesVaDaMrec7Rq +OhZ85S8EWGyxojqsXJeojBHShSmbzvwdI6gFo2Yo4503c+APuWoUSE5C9wJByWH8bdId9oVJyisC +xuSV8k+Xdgy6q8/IU+yYzng5WHOeMX+gof1EZLEZBOi0QfMHDOWo/zJRyLBG+XLfypq/p+z7TLDm +13WPGw72BFbtWIMVQWkBniOerOvbmz48pF44gYrH7kmMfslvOwRnVg9qH2C0zXqHQfqJ3qckXEPC +yQXDRHFSOGQuJSvVOKSuQfJBeLpT3RU94WaK1S2XzpS1zW70QYVSGJ+zKmu6Hc/u8k+IZFW20I92 +6V8N6sDrgf9r9WUvYiaC0ke0qYDj/Ll8CIyLmLUnqUGJoWi7tHQn3JAhuLy2xjrcAmu+OZPQ2+0g +lgFHixSYBifGKhE+CeoH/hWyTB2/ik1A169kbJ++tV0K3+xUr8hDIgaz5qhP9liRLfSsxBJoF7Wo +WgbM9vCds2m7re+BUANWrQShXLjrKoKF9ZGwZJPyoLBf9dJ2vs7UJJv+Q/u3DyUXhq0GBFukTezf +p30euXybklV1ME6/1w7lI+SplOCuwDrIrKKJLxzT0mEwqqNAQUL5p+amN+jwareJ0FhxaghNd4sv +uIT/8QAhYP2eVxBVN2DS0ryrNPi4e+xtT0ms6RET7Rwr4sIAIbEeoxbqOGb87lM4O6zXVzNSQKFh +haeFFa4CVzCqX2yNzdhE4RfnSgZ5WaEwkGYAXAM7XX9djrqxnWwN9r7/wHAF0NEA3vsYAe6wacc7 +i5t0wA42P9HY1p4CZPUgeFTjSyYMalyWi94Cse7z5pYlcfiLb7b6y5LCbEy1nEsmSkmrQ6gRhcvQ +uzrZD+sN8z+XAwBYhsPSDkC4fJBovQxfnBwMp2laopUtDEVICm4eFTAiycbEYGhKuEuwJ5tSHc2d +B2AvvnHqnlNgB2fI0LqYfw17m9HkyL9JEUmCRT6LmORKtlF+Kli50ylI1d/VEbuPp/RGwBkd7fzf +kiF3lcUM+u9rO8LJKeNNHJhVjr3s+vzJGkk+PzcrbNjxXy3Z25QxiRreg4GDYH4Bg4kQya0EFJSR +R0XF9rfOBytfgtu8ivtZmUddueMsys04L4EUSo6TMGqNZlMdK9uv8KR4Yypp1W+dxhPrxKp3zqrN +c5XjY/ajfUiIthpfrUyoOpM6kBG8GcH3YtOC+5TdTH7YYUiEsfCjnMdO+Zq88vPmRXEw6ixO0tZX +Wy1JklnNIoARKwZfbl4fb04KkCgjEclfaLl/7ZvH7iLP3my6T0kw/cehIOd96ck/Xj8kIZLfinl9 +wtwgKSwWxsEDWuUFPsp+Dal7yGYKZ7Yrnyh1QXDRKvt7qCVdNNn85orHDd2EyB06DM79e/DclxQu +E/Oa0SOnwrW2qUYDg1/cNx8zE2ahNVHljVQyJFVBPB3niEQX52MxofKBnUltKbGAWNk/KvPv00g9 ++zijF1AEma9MDhJ2YS+8VidyR+nbKNa6iSenxoESCA2oW227jtF+IgABlE5aOCHX3gdVG5Od/Cmv +o+mbZ5/TD72LRjIrt2zSydre4x3cAn8yc1Z9FtFABPgV9ZyxaQ6ZaS0u9aFoWFH6NBxuLjE1K9mJ +tTP/JYCMhX9hJGX2WvQ/cnQFNcGQ5TzWF/9MioOzSe+3zuu0IbFYfOR9uVVDEHMBEVQXtiRbcX3k +bd+QrbTkUd6w8HtRIq982TQikwZFzcOR+uG0ohz2jPE9hzibTIGAopBHTp/3M+5zAc8xXRi3sIb3 +9dvycAnFQC8R8912Jnu8e6cnzMWmbzNoOf32wH4QnI2E+d0B+t7hcCCzRVXKmOAc7oC+dCN8w2oH +ftOVAEa85wrqMRM5dytNShVURzexi+/PSSKh7XLXAoVTQ+RK3AX5apoA8J9/yJkCi4/hs0twX+mA +z7g2ivBAe5uIMAJtpwyhuKZpFy1899ru/Z2uys0tOn5cYvI9bUuCx2UVH+/rDaYL0G4Ptp4HRxQQ +NPsNfVpsKtliQing75e+P6Z2FT9KmtH8Th7XZTxAe7XNoVuvyPHFB04BjvuLw26iz+XHO5L9/POq +ewIN9Ae7kVWn9emMk9Y9SeZIos9p4FmWt/uyrrUIoN5O5pDN6D4NcQoLuPxX34fZyUycafcR4MPg ++eUSiAkD0lOK9ORMEeTskn/ylAIeeeR/2vCaQvewRep2r9/N6nXeHczaqw/RqgwfCK0ARpqj87yX +RVEOC8HerpVsKh2KtWKpsBLDR/mBjy1xWet60DbHxYmHrRpkpAOdUc/MdlCUelYu7m1+GHr5IUC1 +BpgJtWShw51paxD1HuXUcXt8Lr4uwQ2nE1JChvBosRhRzieOdMitVch7RGxwq5aHVa1PbNfi6DBj +OR7FkBV+qFAHg9LcFr9pBuVZdwZEDhWiu9O81dXwP/me8JJhxp4mGseraWyjnDqL5ifxkAxDu6lc +1ngzw2XU77+4UmoHJZZjeXKA7IOg2o2jCMtw7FheNyO0qR61KnO1vegP+sWgGLdtoFb5QZpluctc +o4W7E4oLYi3rYvHOEK4Hg3MWG5i5zr6YQytUifO61U7BuHygMDXAHCH33YPp67SlDjS62+jaPm+T +PyoOPXH8RA78t0s4Jhz8fWrAEnWCg2sacOF6w3No4AuLEVp04jFe74/N7RSLYOdhx4frH8TYCjzF +OqWVSP6EszKmGNgHNSN+Og8+tC3vk7Y8bCw/tVq6eH3H7FMPdAiH54pC6ui/HoQJIuSsnx1P2rcn +MLvMVqLzn9csdOnA+vjNdzay2O6GtcNuogufYR4POt3gcd50RMUPZwjG4RjDAMD8Ht2eS6MeL8Fm +zP1arSVDTZ/07xgZ9Jxc1S9PvHVPteFruDKKB1q27KQdvlSW9gIxudjpRg2+58kLx+ZhMO6cVJUj +vFbs6yOqIA6mHr23tS6D25QxCIUsIb+1eDZLCBqQwq3/hlY6JIiRAgGPFwLM4I44ldAzRnwAhX/v +ervGlBxvhxQSRsAImj638BTCo2Sb6H+EK/mQBlqI/q4Uif5BKUrw0CGPf/A+R6EqXlT4yOMSAPKp +sF0fNG0Rk/nCL98dAERAyLe2Gt+J91PGUt9zhuiXFwYQMCHYdaYkOGSXCJemPwjd45d/jj50ws+J +Lv0NckiuLocAtiYChi2D5//JfaHKjhEqLcKj6jQFAdzJqgS4SBqYPKpHUv1FSk7T5/xhiwDCnjhd +IiRb9F7YBPNHE6ACTfpCQVoZ85zD62ImuTcG9isFH+uLWOfTnZw90gKY3WBIDP/Fyn9i4KzaMGhZ +RyrSII0Y3nmfgbHSIFgUj//+VSouXwlCGl5HAFSNNzp+ndHtIw4V8lM/FYzn0QKUcKUQXwuAXsZ+ +zigCStAdOLPQTgrVB3/r9X5UCkHscubTCc4rM41p3yx7Wux4lNQpPhCBmpID8Z0l16jmhSG0MGTL +3wu9Gw5dO15qv3szkjwI/HcmBVZqEpR6mm1dqC+gxZ5mi5KaGTBDAkm1MhkVe6t/47csFkvGHoFX +V/oaT64SiECRND4Yy4V21H4lZjBDRCpcfYAF3KhDFARW7U890+xhQZIRIoC5KOQjtPoB1BvZJsBP +E3K6m3Wriqczo7xLJY0GEX0JKP8PKhReSJy11INze+wgiJRKNYVoc0TnTJ6YGpXLbd9iKVK25GA9 +oyYshTbYXQQVKOxVEhPWB0qZAQAAYOlBFqq6M+Wjl5x6VPYVRm/WR3wPlcKdW7hdqrlYRrcFrKYm +yUqOroBdfGk1DhXC0h7+dsCF1XJddWWyAxPk75Xe6pfljryxUGZtysW+TK1Fi8RDSxA1AMfnLrEc +Ss432Uli6D2XBxb0gYrJpVFrNEHIxxjFCL06p0OQl90oztq21GyjcKuVPcDvkKrC87f23574wj1M +FDRpcDa4GMq+aUrwy8fwHljbBSdD+S2uu7jPFjS7ebD3CCRk4Rofi4d1/byzUIYINfPgs4qriVku +x5KaFUlT0ww3+xIJF8Dv+4Rbj76DtticlK2dtVDg4iOHEc7LD/ZQkS5W+uvTyI1V+gh0wYdOwFLg +yBZ1sDTvUzM9rdsPFc/Ou9p7ae4DrdVkRT7d5a6NU/0A65jxeyb1YHdiCO5PU+qKjOtlJvX62MRL +s7eEAmjyeGoQCbXY4Q23lTDwWTdqBtfPWKOYYUBRUL1Z59rE6iZi9RRbmQuLwI3cY0lq1ENgeiXe +jwVB5B3C+TuClqx9ErxZt3x7cJ8+ud2N1ouhAFp03FJbAG4y1WT1+iS1Fhdir5pS6WVyc9kxkoQ9 +2HlBLePrw9P6EZLyaKbcyeUw0ZIRiolp2frd2DiJoXIa1BHwuaYbiL2a3MksdTvoeZqHdlgFsq++ +g1pI4ShB6SMZ2qcR21Up+b8IQlViQYAPCHG3BbvMzCHFWOsvLmLe7IU+RgtAQRE02TRJq2GqP4zN +0DQW8V3G7GBvfaL6/PJTAAXuN/Kk9G9u/SFHn/l1bHwjmzp5OA3uzAHIREF0nFKWAMc3TwTg3dKa +19zDYbkhZUqO1q96EuFSHHohfsw2Mg4f80os5ako9E9TnVuuGKY917qFBHn8/c0QlpBTAYGCLtnK +5usi6JI1HaCPc4VUrbqxjCqSfkiJmHpfx4z8RiYqEkyXEKAwswDdlyvvSQEDpa77V1npirR0VbMQ +cBT8OdGGXYlfDcEQLRDRIJ8RnPejl8kEzHFcjOMyaGy08KZGX+nzG5Jl9/KkjVhbYOvc71ftpYvn +0OXUQA/TPq4SGP32bBF6/1ioMGSXB5QpIwBAAWq0kk9tX2bYqrbpPK2Hz1/DFm2BDJx17RdXDuXf +59mJnJ+gazkGMJTowvBHz8hUuwUDVWAFFQCnt1f2pSHthN4BSeUJggtYFWQnqznibKfBRWOZEAgd +H4Mqr5qjgiqhH1yAMpzcyGkklUPf5bG/m5cRVxNK97bLKh0HQhofx1+JWPlrOxh7/Xi+Lo3CfTJY +fmC4QRiE2gKPmL9QV+UZiwXZTNG8Eh2miuCl06+/X/0hp+CKFu3gN/QzHigoeXRASP99R2Pi+74k +kiivw9e1mWt+bpgLgNkz2G2Pr9j/ImUKL71ybPefu79gsbik6dGI8Rutd4K8oUsNQD7LnDXnEv6D +3lLybnrf8gp9OXj05zzw90infJBNQ1v53VUUVfcUr8snI2PWBV5vSJ9j4e8FzI/M74V3sb811VMq +NbJD0FAYvzyOr3k4ipIMURekAGpczWnqnm79heCtrgxf+F8PnsOQnv3cOSdMMNAzUSM430ctn53V +0rK+xG5D0F50amBBJiGQO7/1bM+kt0XHkopPRqgFkax/89Kn8We09OOkWMHrUhxOTvvZSJ2PHTPx +E1T5RQuY5hE7k03gy0hKQ250pKLciXVjTHNJQ2pPEwrNABDjPhWV+oCuBZ9TefFKDY+QeNju1bWf +2K6xA6HacGRc6X1YBkaVxOrHWtf7G2Bncw4BB1qZJWanZNlHrr69zx1FzOsMxSj21zCyVIdZVlT0 +nuZjaQhc4N3jfVI+ltTJiIPhcfv0fcRVWxwG61XiMZpXMxFls40k2vcexAmmZ2OEHZenHHFFDi+w +KhEkTdJqBtJDj3j54roerB2M9tmdNHx3NVtCANHlodaZ+0F9ciFDwGFhdwYRml4pl0AmT3F7j6ci +AINYyjjZOObxJQcGn7qe/naxSlPIrrruJF2p7tSCnBw350HW8NLGwTXb6wIu8fSUPqXChVXgsXk6 +RulD5C/1D99bDavKOuufxa+fmOlFt/OPEieHgCvu139+qtcDw0PAwzukgqpXWKuIxHLVVojtKdJd +6jXZnf9PWd1I/9+nU8pgmyL3VXQfEcbYEW0HJDP4weq4qgaB8miQLsFG4N8ks1747MCu7BBgMOkI +Hw8EZGi/BZwWS02E2B31+kJ+YXBCBt8SbqciKBjXVE9H9MLPj7v/t8yPbZSA5ma5e3nU9s+Ftw0T +GKK1hv3oOuN+jtAXwmqb6yzIGg2Ces9OlS+TgT/YSmshLpZUMSy4jxJy0lSLDY/og1QQgh70BZjt +fG1rLhus/jk+pI78gnMm4jP48tfZd2EwljxBfdWeNVaQWih8etyasFtxaYquNmgD1oXCBDP+GNym +128cFHRYQPHwcv9FFiKzi/n7miozuwFifmMYq99xxZuZqPoW/8DzKhXd3p2b2OPZt97hOsV2XpDE +Xvy4jrAMn4NHgOnyKA2Spp1CelRGjJQypCga7kDfxdAqve7tqndb8Z88VE0xNnZcQPbI7YjGDkjK +28PH9mWSdGLDofufvdA4FqzG+5Zc+UqLPGQ/wWdBhrp1FvpW+EsvFFVBPhW1rgiLwHw2iu0W0Y8H +xE+HUwxcOSLIzq3SSk7xTOT7w/7mUXqXdFHZebuTqBihUV60H+eag/AW1BW6s0t1Zvq6hVtgo4pC +N6SIbf8Eg+vDJFlYmXi0B4iGPPgcAvEU6JvdRoyumajpaPud4SLrbYw8MQ4QW3IWpIK620llLQi7 +jn2EaTc4uzylVVfTNKWvYFxDYyoaat9QiaG7lPm9VxFtJ4zt/EOyBHVsCUPUIYkIkAIVZf+mE933 +1PPlJRPMYurQgJ29EaT3w66niM+WnrFUWyL3bQOkFTZ3CoGj/cA8RDZKBwdQFBadRjXdTXDJFr4n +tam9hF2PORrMD/re5f2n1HR71AEoJ17FL8ppG/UKsv+pE6VJVuaPuVYR/YsN+vCN13MaY0m7FfZ5 +B96cEeqD2VCTt6izUAhPZ0SR/Qxd3/4/4MwN/2lAg7SYc250muqiFSnyJydluZ7SdwsznmZJ7RN7 +eAHKiOONPKAKqy4zCKJQRgj2jiR1d2Eb4g1dCVpI/yfnNvxZHsqC7lqwPmunzBlyiy+1+WEtJsIy +t8jEA1MEfw904aVE8i3vQ3Zhthn3cRFxJu/+8I70H+uD78gFNQHKqHNwrov4l2WS1O35fu5awQOI ++a3aiu6obK0/S5QyXCk+kOf7SMuPL+gKJyIskaoX5wZS+Vr+FpkvWzfafDxYDmCFwM4ij2GdEDm9 +K33Yg3kFRR+82J924KTQaKFD9mY8rLpJloxSldBRRB0rTyTj6WGEWBrnMPKVxnGMuTqloMMFz352 +u3VjDJqM7v7LS4RuClz1In5KEVEPn+NaSv4JVtGVFJgbczxv8Vh7Hxva40jXdmJPZSZcv8Z0TAXO +I8YfWGK+awJwLIM2d6WFZIUMKmTKjsIcv5C/56v8AYbESfV9cf3zObPwZrkj8EVzH+JbCF5biMIV +nIfnWbYzOC/u63bRqJKV7776VfJuoLAxcI8oJwhYm/mF4pFacZKN1Iz63WeJseoO3ixbzCq/FzSg +RB4DYHXG7x6ASS003weYEb9GScTHsN9GomK7PWvsMEITcCX8NT/X4FK+nhKo43FSLaiJ90+wAhGo +1XN5nbQJdx8C0n21DG9KhQJYc/08mNTzlb5ZmYCNjENsdzp+qZGTXXCWCpsFcLyfgVml0JdS+ZG5 +XqxPRgEI9bI68IzIEvlDWe1b12ktWB3k/OQ8BOpaTzF+VZ5ecDt4jW8NGHgMpNKcuMxh7Odd2BtO +mmNR0fvxG5yft9Ff3+pW+3L/JUhYbq7FX2pjMx6SQQNod1MGocteyMY6cP4nO6tXYTgm1arRmvBX +qgguTvSQXI8IhUu9o8LZ1WdcYYLJPxRA4xsLU+sypZ2JopWkj0V9uJ7tXpkioBIal5HNNPxChpuR +TkF+7/HE51YOaIKM7F3vWoTr2NrLB2Bdbkjr383cd03/+BixFbD1UzImMtUvDnZqVnrwdPO0stRM +3N57t46mNe1AJ9HM6IarTog4Wwrh7rUT+SnOakKXPxTiOHt5tDyPWUyDSb5ucAhabK64RW8vtYFg +VvRyiI8dHSe1BTVrY2CYLpGzYNyx7Y+rahvve6iUn9OBhQNLWRGZmR7grtlwPkuEhGy4mM7RIdVj +S6QiQ/SCEJLvu/Y9F32H5Lj3+SlHw3HZ+Np/+m3NoUMQzDGzRdhgpJjgtF2RFUTS8ugj3DYuvMzz +HllydDB398MaXIUqWkBmPs9q1m/OCah0YARi+YIWQU2qPtP8F+Mn36HdUQ8lM8HWufJr7uJs1uNr +kz66duPQyhM2Gj2cpm4N04V628oiDoJPVZkx6JhYv3At9sjwpmYH7MXZI23F595p3Es6CQQIkCs8 +GC3mcyG4IBsI+vZMsol8zQ//C8e1YjW+vCiYRuFxqF6ATFMOHSyDX5VLCCKgz6mxboV/Fgf0Oa8l +JKh3PsAlX+dB2HzHxrvL5fDpwrEIQ+vPXi4kQBRm5d62v4Dc2dlwXmoVo06Fl5tYUyR3/qMCdQra +hOlOJPm0ohdvalLRikZ5zMZftFFyRg2h7ELPHnfD04Nmo4bkksaXY4LgvTjrU29aonEfsCBy7y/H +02hKZKhi8eQUzbAiCVSc5Q1P7ya9+ogiEOu18cXxsKHqmakt9Aek/a6zjZCXlpl0qILi+fGdt8lb +OV/3S56TkMm0KpNQ3pNcg89IRgBkaiIHQK/gkeiLIKFtQzI0s4BPfxERWVROQcsAkv3xhkZOsHW9 +I3zHrPzFG/hsXNMq4G1pCvjbuWP6OGLOEApLuG/G2EyQEw0oCdZi1fKLVMBXbLFANOsxsLzt1v0W +nHM6XEtGGAIWfBkitmX4u3fKWy6QLR9THHCNZHBIdvV1zbym1ke2eGaqPKBcklvF06PMf7KilPEW +Fo/h1KG4GgZCaOUB9Cxd/21C00YDSBvb1sVNo5rzafwxUlW8pOx4uWnPo1hHC5Z6azchQLIP7GDW +2b0CXr/+9v6zqnKUQgdRFgoXJRQiTy5HAKpe37vzPASyZ71P6gqx7FQ4UITmRrUOWozSUf7pT5Vg +qsIgLBnAzW1pyf0EA1BuBiKSa68jFxV+qTenM78vKseTaoZfkitcIwMhgAwS1oyasV3U8ulKTpue +nDW1v7O6XNQRV0e/BXq30KtlrDOQ5QiRYT9fu0OoGc+jcdWLI8Xmp+Rh15/EjB67BnMwKndGt0lS +GwGBm9ojSocrqq2QaYpr15b1iUO2LaQoKkiOu9KYoyWrLWsqv9WXsuUQg4fun+zFdKIXz8iD0uHm +j4pIst1KoNbKROfO1lEqYK0YbrgOoTlKe74F+/2AhxlEodRh4hbOYKI1KHWFoD40+XHwssiaSnQL +IxvTJgSn+2Bc+kxuWTNMzCFnmlzwBkD6Y4fHnbzoFfgLQip9ZHZsBQYeuN1eRmlrzQjTwJlPwOJC +Qng6UnZpir/j9vzUmhgL9L3LmNCN104HRLXQcuZxlyuSHZpQmUoaQoqmTViDRfrGImk/fMqqebJV +YvlFBDP3APe5Uhh2pcslxcJ8FUs+UBax2BovemFxAEkazjqVC0FsPPv0Y4tvpT8YRVYIAh0OvvG6 +eb9bj8QVGAi6GSOxYApGN5nM8suYKRIOPB/7vDMnHqJ3rWBJ0eIz/oNKEi6FtumX7cNfkmstVPMl +Y1zlY1ahXiElAOb5X7qjt3e3X1jMbsuC52wheVnM4vU7MybhuMSww9rVg+n3ii/Hnl2TfSLrDnw9 +DlSZqBnUGMD944t2YwHovuw0JUlRZBGiCF76f6Gqhgl43GCeDQMXaBp3N7OR1peV5HEnZ30NW4Gz +91OgRN0ZqNHyZn7ewid78qIEkZdIvv46a8DXhQkkh74Te8vpUibFwDJuRahaC14vAHwF+pMlTqU/ +sJy2QXpfmJYsRijx2L8SJlGFQJs0lI6/XrXFmQRa3DR+N0kJUQ5VtH0gIWGX64ccI48xAEfROfy1 +hvupx5CaSvF0G4mEPztv2mBOC05U29nwGgE30Aux5uHVWFVsufZy2vo96rhYkz78w23FYmKIJu+o +8lOqlZymiDVLUMniwcnoIRpu97KQOQvCPj91ugEQd5c13shfNXEX134VmYlJnoqUM5f1jkVNAsb+ +HRvsEZ05+liAt3XQ+Eq34YbaSxsHRH+xA8WhsgTrlF3/gzmozqhaTj4K/BE8BCN0Sglvp+1qL67k +G/WmSB5Nv0RT0fVvjYynV5yJZZesBDXr7E7vaK+wtDBD4XEkGQSTpmXxFTfBT1FlHAXT3hK9fynR +xrcVxPZ2QpNFwt3+ySMsPMpRGGh9LQBNTcmstEzd2m1wHj9UP66mU0W9tguuBvSgjGOQLz9DEahz +ukyhTL13WP4RtxIOjH9bNRdE7Ktx0YmvsbTNgQeBC8i7g0tiLYRipXqSRtUeHvWCu7W6sky8TVz+ +Yg8mOo/2MrJ27nzPfuC0MYiDLJMAY8LIH1fM4ghjyRbqa8C7gUXuaLUySmmhjL7hAs07IMn8nZIW +umc2YJyKDwO3CtdwIt0yu5bWRJZPD096erXjzpycw3M0G1lLt4Mchp7dkOFYUcAEnKF21HJBILSv +NcFVz4vcE/te9yFYdfZH/lW4fogFx3UVgDksuhwW2BD/XrsVMbOBvEnPjMGku71mkr3Fw1f1aUwE +WKK47rUtwDJNSvbq42t2bGSkEwiZ11Vb6XguuFMWOhB9Ne/+OU21vbDXPmCxagYV6cngAnanem5p +2iF/ly+TIqEUQmDL42g7UKDW5cK/tx2BvtpXmt2chuK0XdxZJhWIRPiyT/JOzOCNR9T7ewXgFSDT +bvIBjvNkQ3Yj8FebW+o0rXpwJ0KiAVBjwt6tdxi6yr81LHRcUTvTmZwKaluOB+hgYtdITN5fCEYX +Il7QBmuhyda6S+Lc9mEqKMhwu8gxAac1Ltli70vSLZqXSw5NPb6bmX7PZYtRDkaVVgUULt4XN+z2 +JnzuONAtVrlf35tqck0evxRW98dxw4Pu3HRakgTZlwBmPTVlOItw9KAk5U7QRY9nErZmnGVXJ5Xn +/BKrf80DWoNPzxiSd05bJKG0yak14Fjz6lrzR+TcdsowHTGsbh2oxgFIkDhTehojkOeaTae5F5wx +wUt8A77AQsqvIzy3lbFhJ7ldsapEXh3iwSpV1xEs5zJloWqV2/Yp2tybMfmhtvr87Z5gFdYayCf4 +JPh9ZUtP/8hjyw9FvlEWk2GnMmauG7lPz2pu63z8oB03SbrYsZnUpTF57z+7nAbaMQFQlGIZNR+m +u/SWT4ooAsqZDS7F5zl3G15BzJ8iT9ajBoCSSChds6Sg2yDy81mrFmwPHwscYMTI0nVoWi8qeKnw +U7OLCxqZIBS4jkz86a4NLqQCFE/MXQMJ1CSur0zkOysMU33624PAadSjMY349gW4XCYyK7DtDyh+ +0touN8sBfofmBm5TpF6AHncAMs3yaIW9dqXG0kmTh08RXxt3vJXPUxI/HCJHyTUOXK751Wn6IwB+ +BRHTHrTasSmbtNhnt2Qldpvus1QFIHTc4KB8MltsKyQv9IRQWJAZPyd+h8Gh3m1NqXW87eJrPJpn +2smAJLzQuLBOFHWUi2p1sdOxIk+tsHTV1emEvxz/S+p3XKyhHYWU+infjixKwqfiUw2DhAWB4+ba +d8tnBuOd5T3z+BwXsQAT0sc2lay8SAptnfnZwQAaFEu/Tg9/PPjDehNhC4yVAHWHac5bmn+YTocV +I2fY8xQPO73h78yhZjEmwRye1dp2xGW2kyolTVyy/39nNJi6UjuPKOPG1Qu7+J2bMGexc0CXww9h +22MgmUN60n1wYREy5nK9KwbKytmiRduBTN+ry4iqRze7R6JMgIP3idZIM0CdsjI9PCHKfwELOf5C +0IbTXsZZ7sBWglX7PyAr7qAX46dy+7/MFfvd2GphXHurJGQ6v6q1p87UH30Pm9RgO94jesQ8Gt/g +R6NW29uxiCK+gAjN2FKNpcT7DMg2oXcK4YjL0ZMwq3+bH+KEm8KZhsQWcRmJ8yd0HQDoGLd1zfkP +j6a8cKKiacak1d+RbtMTjQ3dDnzTVpKZsMaAs/wvaUCsmUEPvl3guWJPY47L102ZefFzDdQb918f +1lD49CyYCbPyMOcWaZuwOwXWQ8e9eJ2WcP2oQn4OP8Ga5Y4XmF3HxXpxFNV6H3IrnDFd/n2ri4ML +inAt4rS01Zl+gjz/7VR1Q7RXFORoT/OLm0UKMAElTu5tUzWQ0onyNfFA91EVksJWq2h6UDEOoAR8 +9i9a6wcv2RWEn2MtP9NhrWCbM68tQ52VkWBrDgojR1FaJiEeTbc4Rx55bH0dAyvftv/5ydX3XAxu +nt7dXwscPlN6VKKv0kgtX/2kswnpNRTKJkpHT/mTVO0hGLJwh6LOx2ZwQxozO3eksrF0TIQuX/7y +q2Yk3SJdedSlQdCBwqF13cl7wV4ipPKpGWBpElqihQQRHVcuOBLmFxBcJgPlnufqfMVuXbRAzpeY +07vFE7/77ba5ircbgQwgX6dQ4updNYPvry8B/pvPPXVT0X0iMPTfHFZgI5++kSbZcTlGleKT3nbp +2xlZLnwPGuScMMyaarvUYaO3BJxJl6QH+u8ZeB0frNI4FyyZjndYghZX1B5PWWENGVDR9u6AXMeP +XM5M/JB0timMiO3JWEec+wbBaDgSEb5JrPIu7OiEP2ufSaIdGemtKYLSt4iAI29u/SOrWW4ieyN2 +qmHW0C+SO3H84lTxzKSl/hFmdbeNF97Suo8FjEl+gKCK9rZFqDJKXd9v2WSeaCf3x5VlASmPTlLJ +I2VzY1wk/jMWD8+/4fFkBfrrltf1DriEAVMCiCndKaORA875TCkDd8x+wxVuveTeql7SJ1GQyX2a +Q9bQ+Q4/kxJTanV7qssuE9xIQhLlslF5EO8fuNTPIjKWl/RzZ16dMSGyM+Nlxt/IvocnAA0QOec9 +kXvs2PFQvCRrmFYQcaI2koWlydrC3uEnevXyb5cRlwriRM/yWPeiW3RZgdztfJ0BiEuY7Sa6doGm +eGkvIm8ON7X/WGGBHiC3qynZNpHGUAwk2BQIX4YRBmyIdhTMi13cu9oeA/icTX//XpIVZqlmafXq +xvSvhXcqbR8quOlPzRD7xk+/2xkaooa3SAQ8OG3G1wOpxnn+XxJ8YlpSlg7xqzOv5LnBtP2apuJq +R/56oFivKOUtIUve+pSk6B+QtIhkv0hPtMUdYy4Ql3RtYp2KVoAHwNrN0jOLh3LPwLL0eVDk5hKz +kNxvwczEgZ1NZoOz0iweRI690u5Bxe/GDeunSvDeLJRLXmQjO+awdY9xlZrEY1Wm1baZzvusC6+X +px40UsdfblKW2iXPO6N00+9Gwn34BK9WtxIYMT0Yqd4EZpCDM9e6Z8Vd/nuaIiBMiCC+JzbBAffn ++pxvOP8WIz4oDiO5/IIAzzWlf7I5Q1AbXyYze1wDoilroQAFrP/mZCzGE5yhFpmvQhgQLvX12I0J +S3FSzqhQrX+n+FGdIdHzhGaDPFNtnbdfE95DtZdxXrioY/MEZ3M+B5YgGfkLbq1eZizaRZsJGW3J +VHzVAskyirfhFp1DeNxUWIs6ljgA9y6l3UsTptp6Gx8CRM3iVzkm+YXD9HNTII6Ftn71yMRMurY+ +oo19NcgYOSbkBmcKVN7SXtlQm4dcK8mVujdaf2FnrmjY5S1cg8mqoG6eJGjaRKH3V/2OfgVfqYLH +LKR4kEkBPOfAq77bF15xGFYCdgTiQEnEyM+5ukIaWcWa9BQFvgeC+BhG44WqE27h61k/k61N7wt1 +q8PxqU06xAcvUu2HJ7Ai3fySM8jTIaj3CFV3//uc7pdrgKS/2NLDUguQ6ovNxT8UwRi6yDoA+kyO +ECe2oBx+WgGJuEMGYZ0LgAzOiqR6rkWa9cEMhf0J+vaELGL4IWvY+ffDlRfsrFzEhrScUFBZOc9T +3K9KXETVvlIDU6mw7dVIJ7+CAQM/+/lC/sWTzKY8jLmBCs7+myO7+0XpJ0FR5FcXuuChXIi/ygEx +zLybuZ2fP6WAtPSplrph3By2029+/j4qyDtRbIAnKkYfJ3UiA1AMpcjLgP1vhbXKHBT9Yel2UcvH +3Zqg8bjGLZbFdRlx6G84lT2J4vZsiM6UDMMh47AVCLRi/sNxbWq/vnB6EBOtwaAf1oqfUkqrpxsG +twRgvPZVeiT11K4v6nNg4/pzay241mkn25ktjHx5igCS0FYs2wJ7x7oYBnkxz5PtLhiAxwWzJoEc +SqJDI8+BvIMUlIvSRZNSaRr5po+Q4FXYibQWYeJBT1u1s9eJP1nVPKpMxNYQNQ51KULNqBp9zBGV +PGdvQd0PVeGvAhBTugxzpdiFSubYFHJsyS2JDcw94GR/TxeG6Q0M9L+XByrbWQ3NhLiuuyMdvtDu +G3VWYTLf0b9j4xl0oEStueiMGsd/ofsvXHhsdVjP0BLY0YvRrxP1aDq9HupjLxvfHnlWCJRUs89V +1BAQIbZg7i3/fKDImHN33c9ImMXUgoAFw3MItwNfUlQyHbmnMIiYb+dnZM4lhj2JB18tPPqL2vaX +YXKC57hAm5cAM8era8RI9KF9S5hpthGOv7Of4Qyr150WtbbUbXLD4cJGseKC7AZPM+IEdiGgJ08f +fsENtHKqDUPTule6AcJ5zEU1V9+5dlUOB23HNFVPVpv2wPpTe+BRhfUNPQjW1tMq99gOtuLVQ+W5 +p3nnkrVDeNWcIzsh0BY1CN6L2QvKQDnC2rfQ8/2/KGCOqmdNqwhB4sP964P1fy270prxGBOnbNHn +y5APTPKlA+J8/zm1my6rFalcuw6ziR7wWQwcyF3aoGODESxwwMjZcp0NWI1Q0HnEK0k7OJDwUW9W +Pd+4Z1ZQEsejpW1hoIIqKNfrqkvNuwteunWrw7CcKxaP1gZ9aCF+scbdsMoxH03hVi4grSTuUyLV +G+mpvmsgnGuP/U4moOgeclHuxiL4hhh5H9cPtm9p/Orhox6/mIQBCehrzEhjHTL6WkjvPJ76jpEF +EjDnuY58S6e30vt3p/lNkUFuFVKvkc8yvREiwUYGUxoolfHuvYsYkujCEykKJhUfSV4AsrK7nG8G +yjmRwceA9dVWZMUpzey27u4/D85LqIoRDB31E3vVZQuRnPnXhlj7XQ6jDwzPq0vm8hxJSk/DAe+R +YGUEKNcaK1tZ7Ny+tSevXy66TRIIRVLtEb/CMRzuMArbGoxOS1VoKH13hERQsTR7pCgTEX1jpHx1 +Xytod43Z++q1fUIqM3l0cwAfi8LmQzUBehl/jDJqWDAAzCcXjsKPNe5AwUSS2RQ+sRjJ2UGagmBA +qq8aePBDlYB2PNbPmkdNw+1mXyMFWRiceVWQPhv+j1VeaWLnjI9C3nvT2eiqh9AYuioQLzjD6JoR +ciRIjbn+Pl4AbNuxL2gw1g1Ly1OoSjcNouP9WsmGJ8HNSm9hvFbllO7O+abV6LFKP7/L4yH4ljZc +o3xULYjtbiTbEau5QyhY3Rdcqn74MHZOeB6KlahK4ECRWQWfi7vcEfidWE17QFSTlrVcII+APaCH +16GnDI4G2s48HI3EZtaXc15K1da981QM6x07Ng/w5IlFnuZpPjFcCe6GIpa4sJy5FRY9/L+zeNlq +8/f5E78LQXVskEqUakWr8DrM/+eg4ZvQvncUpG7d66r3qZYvfAkalI76CNWfNUIkcnFXept5qd5q +5jvM4HpJeKGva5f9ckHli69QmO3rmIfCXY+U67vYYMcXtr0Z4nnSf+KS9rSTcIpFw6S5QS5kBde5 +9QV3ZlQjM1O5GTn5VCWv63irForK+u5lPC0Y8FsDS/xvuQ9U0pW4Mbhmu6mBnCEWWDpqF7cQIv9b +uyt+vt0N0kGjng6B2w4dgj6h2Vrw9L68tLXa1lJOD5Zxy21wmAY4v6ns1gzg2JvurzO/CPZRf3dJ +FEh3jOkALVIfMlNg0i5H8DLvZMT4CpW+5SwWaPrETpngj8h5T9FqLiJP2p4fn99wNmCIKSKhmqW5 +aUWOgFl+zO35NTjxIdDu1JipC+bNEet6VUeosGqrV8X0BHpE/0JVOVh88hbuYgPkTYBTNLruzGP5 +jgiBMakBiMk73tf3W8xhUvHyEYb7Xj4YesGZejaR1YMBZWX4usVK0c+9nJ4h4WOFr0j25liFTMbm +TPsQmQWew7uuxjSGWAK0OORxlFwdk3VyX84rris7Ut8XJsjpnrf5i3xTDI1HcWK7mjbRFew9mqLl +o1SP6SoGmbyysi2N7YmxcaJEkSI/YPUt8Y//+jYxpbdxJXLygJ5WzfxJdmJNDWXOewSYESbgIDHA +kJ1UgnjabrzKx5gC0eY70bIVDsKvQLezV9R8P9sjicL49wsWyXVXf1w/GHstAgJ980ats61yefw0 +zGBkpq2BdNk7Hyeqmf8zF2RXItERVvLb30MoODrydTo1ab1Q6x8OCt4mClQe+6NrD7cHwO0Nx35f +ilMv3/HFJChNPUAIK2L3uW6GlzhiO3iT1ltMRqlACBUaGI47oztuX67SGKiABJkG17qbv+Ung89I +RSYPTwfIqNPX8B4no3LheiVp38eohShg6ITEwu+zclc/iUKSMc8zdaqX6hyeHBfvgkue2jB996Y7 +QSf5JBkqVO72H2grsT/740oQU8gdi6R/K6fZRk6129V/otsnQQElon3CPUGG9zyTd/7ifA1tIn5F +nyflbmjz3AWwaeOCl1R1GnHMbkrSsxd/+iwwrnDpnUw8Mi88R+qVKdCWsCgj7nb3u/HN3g/R0pCw +cjPKpCa5A6eLCo5Q6PTcRqReTWUz5opRCAdZx7tMo5yOPk9rtv75f9jyyCAebA28o6L82azM8hqx +8/1sSH8A9CbSdOXCmLLMZkLU4E0MV1WVFhmfDwMItKWR+flM6k2RTXOxx/1lToY79PR3PV7iV4HY +WPqd1dA9VVCK/ZIyxQytzcXimMEoto9PRdISODTUpW+dUGsqVVvyAV/w/nGrsz1yZkdNC5LyNo5g +WqMLGBrxD+bqpuk1tMiwivRc4EieGRiN/TjEyv3mh/F2+lPlTUof33XYzmntsB5l/jRt8LvPo+rR +ie4o+ah4tC6X4bGGu/ZIB6fB7SfMdXq9lPAWKtJ6Hf5a65m4STN9DT9g0YM/56JS9A0Z54SvS6Nt +AdXvehXK/CgtsglHUeOoloMGUAKPbG6h65Jnl1mijYLnrbj6NrWCsecxCuLc8tRqwwG6vHR+DkM5 +EzlhPAs0ZtWKDbp0WwztZNZAatDcmKGY0Ip4Z1zen9S7BQdRNKHInErfsSaXcMa2fyaNROUF+GAp +Td30Jb8+GHxlWe/VDwip+CThrq6+q0ivbuH9pfl9lVgWGhxOKYEVV9A8G5jfovrqQS09hJXlXuGW +FbW2oaEbGlfhV5DGbfsgdOLUFBlNZvyQXAgQag3205OCPApztBEw7NDzCV2bUrNsxXdG47Ik5x+1 +b1EzSDaLZm9iAL1dgFJj6MUQT2JTmqpOloy5BlscUW18X/xndcjQADZ/QuzfxU1ZvOLISfPn3/6O +b3eAQeFfqyKMGA1+5MWj+n5P8W8ydoZarL+3w8SCxzJqAnfEy4rn14iduSxGN6oU6axqXvVK4Uzp +n1JOzgIh6uGLW1aP6t00vMzyLMl47RZlKVF+c8nV+aXDXHt5ZX8gP7gJBOccdcUbYD4KhJDaEB8i +t9YrpFrmewXLsoQOmEymS9taWmaey8ymdLGFInZw5nMltiXMhvGxIB3/1wqYX4fsc5XTW9dBwUc0 +mU0qU6Qc9t/mK8sVM4lYBwyYLu2tyvC1osKtNhgr4zg5k9Cye7dR8DDo6hZrAXv6NHzT4iw7CEB1 +9CkXXkSFkV4Yx8i/Xh5JrgCRMggx44NJiajvIS9jR5B+OrUKdH9hrDGW8rQGFAGruoreH4DhLcyX +mgVivFSRTXY2mu7/XynBHgGvFlRAW07i34J+RtUzU0TTQOysgC8H5z092+N6IKrYYk7NBvh3qCuL +Ea+1HoaQUnpd9x6FYA7gxi9LEihzp3YJ2yAKAXyRxMtxhXsL5o8sDpFh/TDFqYvpIlpZsrSIOqbX +8hHqcYuihggOF3m+MAmZKkhiuiChL1inbdY9rC+m6q/Hr39uRl3fj4Qbii+kC7GToYR7wlEoHl+X +DbJs4VB2cNY5aCBpUTCtLVAhBFtNFYZEdrn8MRK60RhXDg0PDAIQlfS0iMcB8DuC7i40kDLwQDo6 +xmiMWPvlAeVMqVAz4UU7Qi9JZTOzTnjGmG4j8HLubM1haJQHEmg3Wwv9F4rDpiKDjeT2xAmcfhS+ +6mnHuz//JvhDUlyD+X6yfpVXzhGUBFZotbPu/PwEeEICaaAtJp3o9pN00d6/szB4+lGLtJUtSbKM +hTQbvJfT0v1Sdo7DS5bmAMa53whWoNZLX8remTjBQkq1PzRbFexgqfj+Wg1Y8GSudMp4J1fxtG7I +Ox24DHo+vAb86fA5A6k1TEfAxyGxrV2ySRAo2iEVia/05rPmqZmBYaHDL9LidnDYga13gokyY0xd +VcTvI7xr9x0ToanZixvm66s2rbq74ydtGDxKjMaQ7mhuby8ltSpfyDiammi01fPuvNiF0KHtHEOg +B+VJU/8KADuGbyduXmtMvKAB+z2X9cDXj7Fm6dKBCS62hKTvDtoMpoprrXrBO+Juy0a1PCgz1LEQ +oyuXrx4dY3I5q3w68vgI4tdSR8/DAYgoHm4d/dbw3uoKvHnLWbE2ELiZmNQZzb2GjV/gp21dFHGv +T98bD0wN8eeMNYpJ8fmee7afDKgE1S8tmkjBqCcpwJ1n0lLgE8vHh8ynFb/YH0lklJt/PS95JyyQ +As5sTNFY9nceFHZgeldWC99pY01QAOgRgLASjsPr1QILmb6FJqDL9eEG9WKTuiPbzitIaTWPOrYZ +TLwYcLhYwU8268r8UtbcTVmvdeGYVUeaNw+Stpmmy5fsYZr9Lf2F3g/u6Y7La1UmIATbC7BwCA9C +ogtyqby5mXcJsMfNgH9m2yubfPla+lj763rW78YHCl9govhfqcr84VdrVFouDQTKrvwlaCA71aZI +IKwRuozTUNJ2HZsCmRvvODhWXvh+BiHrZkn5g66MoaZzPYu8MmBPBBQeeVkioutGDxsVD8+K27U8 +myJCHFJRr+zGlwo8Qb7mlQkQ/lsnT6RiuOP6eCkNeiH5CODd5JCYYqmfCuj8RJWMmcQW5MFX2Fys +0OFLWzqZpYekTcC2hY9ZC9dNcM/dI+cZuxP0ub/oL9wZ/fclrMQl8B9ZWA5SUZu/fI1jnpcZbF8q +iNL0nE3ts6n2XzUFHKlllj/XK2Jkvx42Aa//JngX4cZxmPSyg0RcZZKeQYd1Ab57aH0un9A461z1 +Y7gi3IBhaUjPMB+ChnGhDmsXruQv0Pyi1OTZFz8D3rWLBfz+FsZHsUJB2pB48QE1UNNqtK1dnUx+ +PeG3xwCLJ9QuwgqZGDP2Bj0mkMjQU/Afdnxvr6pfobgK2G+BMLYE68bVQo3eofsp6h3O1zmjNuJf +kNca/5liT+/1UjGxhcZiPFf6aNKIVpK/Zs6sHbvSlKcNDepuQ3WbFeEezgwHFjTDtXFx/aeQQZv/ +PBXbXbHnBjjSiLypX3zrqqZIzJL2qbvno8n5KMcEE2BKR3ChTaNADOGDdIJc3ya7m6P2Id++mwoj +mg4ZY7wc7i07pcaAxxcS4qV2PPIvaftnaRH57/PJBJBUdH7qk/N7s37Q+7rsrI0Neu4lvYWIzpCJ +3Juqob1Q6QzHKeeKqExH876+lLiRbjkgZP1f1w7pEFXp8up19eSxQlyohdn4bG+eJ5WgtyHWXn12 +/WGfLjFJJckkAlzSg0xtn3OWRLiKZzMpcwo2XN4u3LIZK5GGItcvSR5nXvTru6hnQlQ72jUSkmmz +qhJKMQsIbHEj0EK3y5AFLfC6EiZ9kWpYGDOjY1ji0r8d5a9TuTVuvgm8Ik7O3isny17dydQSqCeR +8LxyN8d4oxNyuubWE3bZ9108UeYlp08GVX5xX1UE23lyWLqof2jUM40Ytm67HtVbsprW9d19Y7F8 +f6qcNsslbhX8P9cWXwJMX5TXhZDd+RrzhRtid94FnprrVs5JRw4z3MG+S+o8xqkwI70V/mGWRiNc +H/Jv3/8/TizWZcxgVbQRfPBvW50ji+e9WU2ajwnkGgX0Uec+pEjgxFyrvGRIe68R5vAvkbA5r6D/ +bq2jH0nkHaEI8Df0JpRcIQC43a1ivGL/VMg/rlEFgIeSJS16Mg4SJA2XB8etSyH/2osI7DSf8ZfX +8J7bo+c/msYiVMzyP+9EpC5++VVGEJCKKIWLYA1SB4iAGxtEGqXn+GWpfQdtk/JXD8sfaHpRwvbl +6/g3wtC9PsgjbldHGlCRvzSVEGHE/e+3UkWErpVT/aGkQEh6U0q4lLq8iAVrhgpqnoZFs/wICl4L +GqKXtaorJOef338NcS2PyjTGILufYPsJJ0bM6zDrTX1SEB2P5A4vms9cllYSXhCdJQ1GPNFPAqMt +1UKS9L63R+l/QqBcuqrzGb/B4WdZrpaFL525GtOwh+sFbPwQx1IYjv0A9zhKsBntLOZAO4RTwp5M +HhznawCp93fK4d/UhBBRGmWAA433CXL/JhaYjvbcdpYB7VqyakfmENgvzIpC2CyLMzb8NEuH3nPb +tvEVaPAMahtjitdEp7X8Hd/KcwoVBX1d2nFP6Bl8aCc731uJzShthzhAmaJWe+KUIoJ1L+OkJ4UZ +YYTj86xPrFztTSo8HreuYMr6GU+9JBIbmHY1SJ4J3zR4BGx2QMOOHXJm+YiaFeJwgHjIwk+5B4kP +laikhFJFsUNz54nBir396oGp7Y2E9EeJrhfrR1rjY6nxVFm7Ip9Nqdi5ZVnShLzI9EBDFfS7eFHI +Ap6XTCAhJXzE2zCWrZ1Sjoulqqo1tsQarnVbQ5qrdzc3DkhfFNid3z3419v/PgaW1LocksrvsEwk +u+FCruik9pC+K5Xh5R2KSnq1GEA1Mdm6TbBau4RFTzqtueULVKzwgeEWRINjyVWxXWLtUudwcaKH +MxcRyIkdn7C4zmJKLfZmPtDUfoN1BrlJHYewcxe8E1mb0tLWTRbIpTI4CtGdqoeL+vWZBpFVXVga +E5IZkdyy6pU/R4I0+lxSWtBoSywr7Vgtq5+Z4IybxsOK6MV0tlLiSb6Fm9u7zYq12cVOFIA/3OEE +cF1XUBgHxJBFGx+EQ4erNnYSLJ4MDNme2RGO3zgI2c7rQ6ZvSagy6Chsqr9n8D7Ar1yAfO8b/VRO +LvXLFLBRyBakp3mqOVmPqDHJDNfd9K60D+FxZPd9R5zaK432E3n0TJpnJ85L6oHtD2WA8TeFg7Oe +b9iscUyCyIhzL1UX2yl2voBoWgaMAlDPKeJ8LVmwcXtPwqCYWc/MDL04WktG2IqGBq0iRAnyABpw +1AIsFCHO9LxDg4lcOl4yr7JPaAML3xD864Ys+RW6REKVA/2dJtDN9XDaocKfD1OeTBmKjPXGZU5v +v5j0i/L8ZFUhaTUuvD7RHaJ3+KK2bLCyiQxppIt7fPuMbqQxl+GmnHHOVTVwV5dftLotxhXnBUQr +q1OA+Zn+MAc+1IL6Qk7+C7WD2UJeBY5OJfqPMUvk+DbwTe+udiDFhNtBuHQs6cLk112OCrSf7646 +eqRPrsuZzXGWMnbrdFgDwyzf69TpYKzZfNqVoTDcwpeZefqpHMWjP3Bv2rm3864FBL9M1exkahov +8MwHJvCdPHRiSBPgM5VrrOlTyhIFwfdSQJCNOfk5DTc5pX8aLkKhceZ3sCj9WH7kYt8IB2ehO+EF +Uk2rz9B2IdcgYLcYJITcB03xCKIu6bsXlcTBERjAaG2NwM1TVIK2yOB4FXzA6AYjAtBLz6XajoTT +j+Byc5XoNQxGw8uErqGwMsf7UMqYjVdQfWYz0vTRRFft8D/Wt0Lz75desgkToC7EyhMASam5sOOD +4oIXY1OA9H5Ok63u+SYZF2XwUkDV0jf802kThchMetFlSr8vUDvSB+Aob4s5nrZEO2GcuFn1prBg +YOb7wfbWC4xYaDMaQ5EeYNYaIrAysqhn/WAftAj3pagNzE9m4ogivfjc1zUVL5Nl+ntmwdQ/fnWF +nqyenmI7Vr4cEc4peTby/soixGxQGfJ4XVe+oqPpiTQFDPzkgDUoSguQQ6ut2w0APw60CnCc7e4W +njeWH9fFnwH2xI+8PdZm00IGaBQxZg5IwFZJ7bw3Ygw3MFYmtrhkSTzoAk4pDbQC8xsLd7wz80Kl +wptXoFc+PCKiwTlWShMkQPCmgcUFsKWfJKmsk192bcKnUaxYlZ5ux/ox9AfyWIqKITRlANGiRmmr +s911D414Q24nnvlRV/9Mb+HLmsKh/yG96/HI1rVX7P4qX3SRJjoWmg3+q6IngSIecLZk8clqHTLH +ndTm8WOq86IicE5EsGaBawPpXa5voZRPhLNAr8v86yjWDwrx30sc7sE2J7CCms3F6dlUyjqFddSJ +CaXI+Wbw7nkTJx+/t5JnoCJ/ehBxm+x5i9/RxQJhINORXtFo6u7L3E34A/u5q0ILUKjx6CI5nNyh +4bwTY5Uxz4tMH0zjKdyC9XiB5P6JCPmnFAXQ+dcj3T+w8VrDaGaj9+/23L8qqo6rr9lPLU9hrDRu +tr3N7Ot/CF+KAjcO8VmuZwZt/ds6sYzgeC0WjFPxqoh2ve5GVVvbXZSKyWYgoAU4GrrnzAlAR7/Y +w4E9AC6cvaCKM9T5hcn+ubXfJq6i+VMm6RwZhcR3FT3D2KIttXm45pGZkrjAm7ApcQ20Yvjmqb5h +jA7gz6vvP2xJheB0IoqF5/HZSlLGn3GrkpZ6TAU8PFU0F8n13QgjAwEOrbfxGLGBgGFOfL7iJmqO +MO2joP3yVETBcbMSC8mGojMkPyxNmyQnm3AmDI4S+3W8bzHDgtkrBQGi7aq+9HKlat/e4powugES +0cqxTAymhL0eK8GwMJ/TAN8OJXSTOTR8pIbx9KHL42qCM5Gv/hdG96QIjp/ChPYvkJYpSBEnSHTS +24E69OVep4MH/Icp5zwpFXO9eTDfQTgJMakpmHIFNZAWH//srTND7iFCig+vJqw5632PNQTM3kK3 +q5LZZ5UFT4VzwH7BBKcSG23h9qvwylulDx0c8BZvTQ4/1gsCwkSXVyTgcHeMOzXCDRKjJP1jrXDo +ieHk6GVpQdHZZ5G3msk7LKey7PSLkF00D5MrYz2KWSXEmKaUo38A0/XkopkqmiHHQRDL1V14fFlj +kbPQoRDFEYhZAkOw8MZHArGASeziYq1iY4MDhaHGNMk671VMkcAde21ecGygzmAlH0HzbFSMtZ8T +hTSDVbXSATxL7y69Q0o3f3kNIsFH17GTZhvNjQn0Vxc0lZlvaE0hUNm76/MTeUI/EKHtRnQFDwTw +AScQXwEk743NmLgRlsZ1E4SES7+Pzt478vXYBzgNJrJXasfrLO8OXSRRtaaE0VAKU7VaZSbfQHl8 +gnN7f6VjXyvwSkH9p1bpDrqkyYe4ZAN6EIgmtYlXm4gH+rAM2ZrNXcb/nqHI1BQ74tUKJtw36oHw +X7kEDdRM6MkEOHsP9zplHIe7lbq1+5FvXT/5SfBQ90xfghfw2V1ypWV9I/tW1XPTfaUQqVYGbbNW +6p8lrRcDq6Fh1d53YYAmr6PeG058f1qjhsR0UDBl0aAescUwTiZmv5RUIqI8LYGwiLAS2ztbHRFz +EbX5s5N51NZJ+WUJQfLnuQdrrU9FTrpNy0gM9vlDGhowRqdlhhGZ9fhqTKFCzupp0b5TS8AxCOwh +r2lJ3U5ogsw6/Zb9com0UOSo9y+ybghsrvPTFRRpRHcc6SeIFANBiIbwjuxVelk0Xlt5+B7KWQ9O +57LntgIrce9Z9HuujuSwZN8tYdxAhekwa02nR+Be41ta2YpX+6Xqn+7feIh+HtUX+lNNdmHZ3Fl/ +N2IPc0tJxIjr8ghwTHb8JDK3mElFQrQTYJIZz90mPlpNlkAMCELyTwCoEwHr+KzhHMMGtJx48Yic +i1/RCkA4Mq8UysfbnDCqDU+Tg9T0XXsKvfyX78PNyPvqlTXNm5bFCSjCbErqwLVWMGNX/+tJq1r+ +65XJFTW+kNN7xnmq/4ubXs3pvFU76FEi5ZCrS7y7u4aZxjieTrAKhs7RcEneiggEXD/k/XNyv0Kg +suRzkJ7e7OAnHfJ+yD/Oxg8YDS8+wWl1QuVbjqlt/greW4SZERq4c8+aPiOoqoQdRjQ/xoGmGuGA +T59z9bjc0MhX/KDie9RlJ67aewubbxZMtbPD8Qv+SfnFKiZ9GIXHIeeLqtpcc9j652HS1+ARP1mr ++j40JZPtW9QbokJEZpUDibQFyqOOEiC2kp57seqq9zsKxuI4DBbWeqqd4Ap6IU4hHus5W53GPxWC +AVqG6KkhWYbKJP+bLdoq1L+6+5bKsTmZiJZOxG73W4POJcMK3qi19z12aujUbfy8Da3X0DLjtsdg +OBBdwWvRp8VYkaz4hiUG9y2MtL6yPO4nd8NXvPYkZ+ncNBA+eBl4gsr9Cpt1y6pPzHoeQaGeX9Oi +5bPw0wQXBADjFppuP5e/QBQ+gftAtiSs4jYpoVbvRQDp9jgPBDuFpYHj60Xcp5KPrXi0y9rkv7ga +bwaNWWOVQLb/1ULJCbSQL7VIzu5YlCcPmdbCS0jv0Unm8UjEzOBpnSeaa+/BY2fxjfhrgEcwyC7P +tqeN1ZItyhPNyqWokT//VqoiFyovE3zBmByCMwkZmlulETmqqwlnr+KdaAEHr25bUeNuud+kTxrV +ma0k1fXEHVYXgt9jnRoXSh121e8dfrMtS76CHE13zUxLgzCRMtgRZgH3ooh3uSIdGyJYC26/ugGa +b2oh0clpdSLZ4AhaeGT9yEocpfq00YxtdVtd1pfw+FCQNspsrNWThxEZUbvSnpDv2w180GaQje50 +6Y4fj0FQqvL3qL0ohN5idvQVIIYcIjEsEQvDKocNBmiiWja1RGICLM6O1sCRXw9Newo8rhk7X5M4 +hQYtnSxlLU8P6T2jO7zEnMB6APldXyrUeVy22hISg0rTuGuLwsgGe9mBrE8imUd30rZ5AedZon1S +o05VsjIz/KbxYR71A6S/2KRMMX7sXyXiGquclq4JXk18O+aEvrx0qClvhmnWNeJYv6WzRBYjpWua +2rFHqMTNuWTj22rEtuD3Pv/yqcFFVeC0znUTWWOu9MbV1FVQm8iyfcbXHwXqbAEbVIdfFkj4tVKM +07Dc3xO9j4UmtKV2HM1ddo63yFllxU6Dz4oyf1FJ7IahayY3e+pZVHMt7GIpZc4w/b4CEEaqMjbT +76nLuOKj5yVXivEjE/j0wPEpZq3V6u3uV0hK/bM80ZS5f3AmP4T3uqyJH2pqosBWeSEZRxhu5DFz +PzknRorbb/GZJDeTZXDrC0SsEMBYg8X/9/j2qfm5t/b6BN11Gyx4jq0OA0WhzeIpBO4EnpSr7i1S +G2Nxjmd+Rx9TLHfKKyUFohqK6fnpikxsyjAIZX2f5CMWgGZ2m5YztkiPU4r55siWJIN6I/8ueA65 +gAOAESPqv6V1fw2p62FJ6pB8JOC94dEpymBRgZb0pVWoI/yw8KQWlnAEn84sXDqOju+TaqzNaji2 +LwWHptQIKvcBNk7Q41Zg0WU2ek5wnVdZ2IUuqpov43fdj4nAW4w7ZjUodoquR/iW/Dmlwg8c4mnT +PypYZ7HYOcuTyXbi2bnKRyAXzY8gPaYSidaFJULkZ6cZeJvefn/YlnNcfLBgvI/3/JPoD2dadz7A +/oB1lNt0qNIMrDj2oOqnEseecRqCoaBBLTXtHzP+ZSZK8NM7OvNRHsDu10W46QSzYQfMqg53ZLSk +HKGLZZvlHcIKjPokRS99BUHWOncqGG8/zt5dFtR6wUiCD7eLFmgmYxRWQZ9LSyzstXy9eIT35+M9 +az85MMOpm0mrYeI7lyCgTOJkPYTV1BQAuqgn/OSCfDdTB5el0t//zK0q249s/WcjC9GtWJq9ovne +XKFNVNnrddHueMPZe04t4NvBVTJk+vibmPwWJSd2agKSm6VgFsHPKt4WXUiW7XbmJVdJxQCoj9KN +IetSks6fg5H9PZ/sVcswBSeeXXWd7+mNCTwL85IvBpdIo3ujI45Y+XPh9Y+v0Z29GSysuPMsgNle +Kahr6niOjhBbM7kwwjwjfboTem2riEHvu4mMbEJNJBbLuxM6Zp6wFKPhMyFAYGaCe6VhOxnLLjwQ +pwGXi/gbOYRPFSIVYqK4ft3EN+poDGU+hPzeCLhmOiTJn4GqGND5rP4EDnYpdea+feyKldLp84Je +MngQ1+GyBzTnBbZx9OQ4aZSrNinK8CQLxc/v2qhTPUBlBFWwRFUaJwZBFdjULgdCqU9KPBWklXpD +DAS+oVDUs4AJYs9UkMSbKiJAbueuku1aiPCOGgqlC1+ltZ0MuOqtTutb9rtjYmZeP5UJTP60H3FD +WN3HePZzBAGv73MVB1Jy7Rpja+4gGzNWBQqUQ5wGluW4+QbJuvtqCvprTzQSC1RqbnjfdtGpZxp9 +CAwj4F26A0oRUmEKfTxKr69kBOu6YN/5Mx2gWZt+6Kvza8JnVvz7ix44+/zXe357nS3wmF3qaL8c +w09IYuY9cA2GLBtV4hoYGtytgY4r/9j5HhPJvwnm3biSM2chA/eN7MaY2lSQD/AbgMjkuMWNzov8 +yQ9eZqjUe/iYbbFdN2Fl7RazqW7V/Wry7vfjc+QpIPoUtWGm/bOuV46KgnvMLhvLEh4z+LRP7gl0 +dCTvqiLHgPR//ndDI89+16DeM499HPJfRcdLjMAC8jCoBJfy4+M2Jpg/ONwzuTx9ZVVmMqKxCxB2 +BWT87hGqsJZAC5FMZJR3o4FjBR+9li89k+jcSVawWX8sG9nxFYhO9re4wvcWVTzVi1qv7y87taVt +Y0eNPGhNn6P1yIpKEQ1jmduon8DyJ+i+9md3+fJGtiXBWApuAQ+sBThUY0ZSQETUzx7ttDxUm993 +de1i8bpDfFJlnc5sf+x5zVbzTGUOvXQHmGu2APqTU0fqLvjt3GUeEQlEHzfTkfQUuw+ifCYylz2k +xT5R5D52S5sR9L4SHPOEx90UGqzTQXZiGtg+tEJ6ZclY2Ziiz0FoFCrBUilInIAwJXkdoPpr1a27 +W3B7cNVE3HsUywUd/f+hc2Ygj0qu0TwTB+i/AzvcDtXxVJQUJ8R3LeWZTp+Pmig0DCeeFEsYQuN2 +iEjyjEvTnIOsAFdzpAowROPRqNP6TyVD8sjMIth8VXRoNOTeiwL266URNMVTW+gBBVDgd2EdPn21 +HcLm2T+64DB62UJwUrZrItOgtGjrxNR0swqZ4jx3KKOT/GqOuHpmbty2mYEmxRi08zb4YAH41LDc +5rV8A6cpk2uZ1kQzr/S9cO8KzyEGpAMe3y4zW2X/WnZpTwQIQ05Z/G22Xrq28ADzO7smbqDwWojE +smmNYF8aBLyioDEr0psn7LAnkY4WHZmCLyJMZgKsjFCpXiWSiPqdguwJ1YW9R5UsAE0JEYiSvDHS +3P6BvWGnzoPYtX8D2XHc/ej34eE8PsCStjJICCIjsIVUYHBuK+InkPxMLNk8krjUzTNRLO5q39wB +lgehPwakO4KUld7dVbYZ+BN88Ykmz6tGX8XZVK0UN0jwDfZCLYsylRPHDNns9/buXFJAPYhXnGQB +OYm6PQlmLrhu1xx0/aDQhm0pGgXESUztSOvczVDKjwkmadDiMye8HBgxk6ASr7Lj8jMX2yDJQ6Db +0vhK9Dk6bUH6p3I2rVynBe4KSZuR1Mekq0XgnbknWltJ76pTy8DXBLvjqzSOAGXTCCrg0jhRZ7WW +lIRlG3dehvAYpeDff3rGbfppRYrN/tS42q0Yp2CaXClSmdLH4T5KpyeB+RfWZNDmgtgQYIdJWllY +WLhSu4QkrzhMw1XNh7QFYlHngm9UpTPf0hx0Bz2riTPtKJRwLxP9qYg2YIK+FHznaJUKbIjMoyOb +IYaIAajGqapRvOqjOG7BA01y4BwZvuX0gLaSUhks8vUOj/JduPPt/jXJ/DTuY6CYhRE+avysnBu2 +VuYzWM9hmkEoYcVIbFUDQ0pSB9QbV3b0BO0MGwzSJlB0oH7TJ5OVgTMnUkeXr0fuLiWV42DzSF33 +nUZrSqu55y08/fZuiarSecf9KQkfJ5NL9mMgExa0tTG9AltPVA6KVZ8UAHzAuwRgObO3ikUBCagj +kll4xfPKuTL1OcG4y1VZDJeV70y+scuIICytQOT8rVMGyElUtWCBjRPd6mxnvKrPDzkEsksKw7j1 +GWVVKbNYN3RKEdT/6fypKkikYVQ2AP2IU8ZSbEhiIBUAsD32F9QBz1YM7ma2yj5f69vtfUilkkfp +1U04DcH5ZpxqVjxjP8p96mdzfApdWTJ9gw8/b5kEWcfgDIHh74MhcBEO0+v2Wlz+/mB/WDzqQ8m8 +zbx73UGP3KS24n5nDuzMLXjY1AwpLCCJl7l5c3tGRrMuhZbVsfQ6VW0ZjOwxI06WvVoailrvNgwN +Qc78xSs/BOAHY6cDY+SCwFM60oyWXYsjG06juJZVT2GfZQLdA2gscEAOgse+NaXYPpHv4bYgUaP7 +k/1YAR7VSwPjosECQxoKt41vRvKFeCZ8MhEuV8GmIuxgBC2R+GgeH2mQ2VTYIOKKzcZ47LSihxeW +/qSIoN5UZ0ZgfNGiNE9PQicjeXt7s++LrTDMFTxrUsoZIpx963Qqc6B+AfCBAWkDZo+9KHbl7D9N +/8Kghv9Uk6e3lsdQJlPK/Nzc8RKzuvfCJhNJsvr+lgiSQrXz+xPtN2/+3/1tsvzUIw5vrJvbxHeS +/qg0xOD98jvEHVd8CKrBFIp4HE5HxBFTmAa6nVSYM2ZL7pClMciA4f5SGhUwjuvsTJfb3D97UljG +0zWyaPMK26E4F55M2VKAth6v20ou0kYzrPZz9L4Qu0aFju0VFPF4A30/BUjbg0b7Kc/DWl3RP72c +rqNpfmolmnzmXLD2X2RfM/XN0SP6tjFvg6pPY4xRI3UBOF/ibz4LOoV304TiC/3klrc8w5mayI1I +cmapb702RYOZhUScAc4ho8prgTZFAA77Y/B9nKvFY9HeOfGkSg6f2RM3RLGWuqGfTufxghAvwjr5 +6fHaQI7g6CDAxhGxvoser25/K+0oA0YSXHbThHpwM5n9ZwGW+PKOLmvyU6MCw1OjCYYbROwYO9ZJ +aSR9j9UjOVoLBH1pipjugaSXl7R6FkDsUVEfIa9qL0ukutS7hs5F4ZBDHW81QJ1g5zkDSNNhH2yt +Wi5ddg8J3+6cfGLmWOVahkS2ZIMP689PvQbyN3Z4JAvi/X8XyZU5TYDpq8TRpRNniYGoj5VgpdE2 +2vr2cscQB4Rq4SlFkLa334F3yxIanM9SB6UcebROB6v0J6SKU95S+4Vd/VFWGGYFaPtLBNsnfOt0 +QbgeADHLqc03rFILc3tL2JF73pECKfnedsVkW6paDHva+O4veKG9yZjqAUBPH5WaeKBRehcumdGQ +swie7xmx5/D6S9ktP07hW049PfS+6oV+JfJ+pJSvLWYw/jzIU2b+2j//Dh0nixTvK0Y6ZKdP4DF5 +Qme5wVAMo02EYFXOm7dZFMCm76UhMdBcf2uwfCXYT/5MNkraWFnNXv+RXx13K7oCluwZhmKN7XM+ +oBZ9dq2SmYf84S6qXOKvkjKz08RJKcpCBMrESM7KGIEIcXeqLx0XN+xRmnNVL6WqbAneQgDDw0fB +dCqQXBH+FCZ9WmA6vTro6nrHH8G9TS05lkplWtZAT7CxHO4B7GGpiPeNRpL7CUn5k8d+7d/UZve7 +QJ/waudMmQBH73CkPwJyR7esxYa3KDE+lH1DliV0gknnjvOp8lAqtCYUwaq8C/J1y72NHAQyKc6q +N/C06SYgW4ViTIdMq/YCeuaZu3oHld6agEO6hC2vyGfpSmynAgAYH8tjHF7P4hbXe+2IcMGpeJr8 +LyvWUF1/GLB6utZvwgaawIpdlRi85vlVQrAqxEVb5p3fqNzg5yU7xIxUT9lhpYawxaJ4nvcJo401 +9kO1tPxFXzC1rMV9a8nS7fgil08vf1/vx1r5tQNO5VkgthYwTafXzAYYpEPcvStwaskjJgTEl/Qd +KbE/yfiHfru9W+pptrS7+14lnivenLAMen377i77p2WM/yOy256+NBgH9LT6SEJO3BA8QTVguv1j +qnn/TrdnJx2N9kbwJlbF4jXPbj4O5T/1rDc/aj1SeNuwtbpIRg8VQq/Spyvy5w+OYkRcGXAus0Gk +dWI6vuor5Dd3wBWQo26CHmU3JQB+7jZHyvBISVSwThQzWOXC+u8kV6zGiIteaNWBgg2GM7DFn6i+ +ANkguj9QOFmN5znWq1UCMtKDgsRGezhU55vD6yyLwXMKQr1q/2IrEMFQnqkMDsytqsj9+E4UjTq6 +M1qfA3IkWGFX5g4HqqgzKx50UfJdZxxR1oHR4hb+u2BZrJe7UMN5mUrVivb6YGt5fqOjA9Vn7ccl +vwdv5nn7jHPVIh9BRLYKoFyU5eQexcAoBE8+uFVJifaeSlrO6BtacgwGsK52aHZ6tLQSp/HYtvZQ +51p1JV5+brjC9szorNPNMWKPFIyxJcvaYMQqkS6qt+RLIuOhkWQXtI96nitvFjc/5a4CmhAt7wru +xgi+U7qn9bhqx2M1dnJk0bSvKmGKu/5pBXx+jSOKaYmAMoQQWLCUpv2o1JXRw4Dgv8Az/ZWOpgE2 +jNmyKKra12iA2N7AVAabmNzcZFZJ4Q5vcz/AlVc6Kex2oFaLNbLyA/CPiEnYt5w+/0uvZyW+K0vc +S7vqK2ps4rT2cm41lo3B8wFtwzFe80v23yEC1QbU4UsOTy7VG5Umt/uEDp1qRkMEvVgzpw/s6BxT +EG3OWuKHTiRMmvWUsKzJFzr9B+s+aXSXvZBHIVSWIZWdeWNfylp+ImQ8ga2V6t7jtVtEb65u9zIJ +6uFYgtdgGcwcQoq2AbrTU/2nOy6xLik1GeflUs1ND5AB/UcV36z26O6XahrQ8AvsuWk1Gswp/R/j +i+/PfnFSLfB2/lP8Xv+2QXAas8Fq9DkTp6IaIY+eDZXzrPvw97VTF7fqYwrqqkruoetbbpBSE9Ds +503G+Rc8iACkSzQ7gMBfuz1uXJ9cN6kk0EerK82igen/kevLbdGPDsp+MBVgATLUkZBFwnSWC/6y +I54XVxkxuXVbOP0/wah9cqq3Xw7KMXcpLL5xaWklzrbR09GKSTwgZBhrt+JWB39Whc3l1TEhO8w4 +UopEqEOixn0p8OXr8fBHRAaRh5jV2rhji4PRTvLlxycMNAdKPeNsCrRAwAXOdzm9u2P9cuwyxg0K +v8kxBMWfzFakGeOSggvaYlej4kxDlBE6MuhmOt+399wydsG3/4okpohGQe2O0FfsjAzTaEVNGxqN +D5+apVaxJiuGs26g16KRCnHIARWtxsUy1Sb1zE7H/a/ZCKx9Rg+MFqEKQcEpeaFzp/yeMCpfL5uN +F89ZPJTrSz9ZedUOyqC+wayPJHJrj2fq1dQCtOOmZdTR+3qS/tHU5I5L6KMqlqkBfgVZr6o+cgt8 +2JHeCqU4jSDy8OtMW63L/PqzG1ciEcGDzOqFd5PlC94gkSdZpnndYGOLEP+d8QaXshksRLBszvWv +MFXsGGNDKiVHNZAl1Qk7FoLmi76sZ2rs/gR5uuDpPIOcm71R/O11apkZTsXfwnY+5b4eyWoBDJgR +3SXoobcBdm/KHz/LUASW+BIkrxJRyRaXGpacIW6iLmluLCVpKvX0HNgLvhb0/CMEQtLxJnLZ29a3 +eosvjyNd/1oJeKYDX7cULkObvYDTSFvYJ6v3bUBK/R8MOPTUrJ/L/8H4FBabs/WUiAIJzaIC9Gh8 +SC/CBTUxRDRAB2avXwLgLgD9LbBc1p5j8nL3MajNo2bVWTcEhTDpMOIr+v28q5mWEu1yMAyrGAI8 +i2T3CxhgOUyDkeBnxQh7NpPRpXQ0IkZ0bkMo4vR21NPWRQLrKzGtZOkhppZbdZna7sXZ9/GvK4WP +G1M5dYoorBiiVnwU4R7D/83oVGtSxXNdQ5yU77GeuN7I8BOs6xS7poghvg9WE0qnUMgkuFSe0/9m +eMDUKaBDpGNiMNQxvPl8MWKLN91mYhXpP09D9yrOB91i5dGrdnP9NcZjjxvUhQBtYGSZn/IBtSaE +unXhCwkmH8/ln72C41em8UPbIL/fZ8IJqqlCMr8R6Q62cc9Qn1G6Ja+dqTKL5I9O5wHZuRT6vedh +xcVRhVWdICi4GyS1fpPrfe2FTCx36ZxGmIe2KzZw/zgEILexPgguy5CflftkQybfcMor6lDa5aTu +SoDRgdWvWhe1/G8AQLBI/r6/SyPvJSYPF0t8cn5OhRDJ5QmBgTDw+o6MCkvZtIb3r8+rJS4cCXQC +eJeXa3HFNok0M/xjOZSe/lZQt/he4rzgweosXa2sLSYeporlGi56+FtutQyVFIc6Z0nBpubp9u5s +vTGsm4Ox/yJx46/N1r9yN40Xtu0iodjUK+OlKNBM7I2/AMF//tHQgelq4ZwqqTN+KIDZ3VIyTUmY +bL2CAys+WNjNSNOIcjOoqDe+MBZzC9Xnv3SGVCFm2o33kwlPCX0zN3/+Mvt+XiSzBwvmC/d5jnaW +aWiZu1/mkUyoGn10b2cB6vOj1hi3hAqUW8nJTMWkpWxSEbPNGTzciVV3E+VuNVa48qndBV/iGqmZ +nRlX6Ve/F1cYVDIlJTukCgxZo/L9Nevp2hTUjUEUud5AiZISHcgmg7mfjJRYCvsHbjlkpH632Qkf +UmKE4OAGs6VuDhxvmVjS6rTmhFEhcpfX2ynAIPSrmBQlI/UaDUKK7qNtpEVcidJZVf3GUOEotQH5 +4egpIMwdAOb3ZzeXQRDCuVxila4dk+u4903ZJNAz41D49QxEy9mRL9SPXPrnzYefxAbgOo6YhN+/ +1DUVdbuI8hrgHYG62X1onUiDN9ZLrN9iKX05opIXpZtV5B50Nevcwa2KkNTi+WzadvjkbTY+6hDe +PSDWTuct/RmJRMbVX7NKW9l8Eq/fXmWx0XmTrU/UdMm6/Ubp9mrDQCz7os2lFmGGygiFtPsFifZw +UvvPcse9/Y2YLMc9VOXzin7aPQPWA/ea0ZEozLnpaGd+8cZqjAZAfBpK6XHva5znHUvf8lB1N6RB +gjewAjHrRJJDdX0/uGdFKu+dti5ubshIC1eJs6el0IJJZHRuzlVTvyTNAz8CodC2P5Zei3oGYlcU +oAndDCPfBplB6JXnpg2byXKyFI2qZKEnhHKu05TmxDVSwbqAmiMxs7jf/trJKF2+NeuS1+Cz894s +B10GHmYTdqKbZvyc8z5mhmI/PYiqRJ7HWpGgDshzfw3hOn+ZpNp74NYznohBix0fEw6WxH396G1+ +R3yLQTtCddAZP01urs74i7NpgSBLxIE20vlBZFwaHTHXP9hYbntEuMoShfxs+9Nv89ljEX1Cwo5O +A43qZyXUYi3U0O+3S1CR9IYhoitlw5s1dzoq48KXIQHhGk/RnxYpHJcyvEqrjD2Yu5ugioRfLWge +qWrMqjI1H2xZoZB1Gm63YBKQJ4/IJxiFhqyqTipUtiUm42ufub17H7j29Km9vMjD44dXDrF13quj +lrFT6CKzt+/UO288S5jvdcpl6m+ib1dUnLbABtABBrkCk3HcgutfZmq0lVsYKT8+42KXK3uW91W/ +TL8r3wj/hrNXwXTyF9oOpoyAQlaw3kkdCW/W1/W07uvUnIm+VbG3KrdFeCeDq5k68v+vx3OqRm6n +KpORdk5UBfZ9TQUSFWXRE+1XVmj8yVBlZu60Ndj68rzFvj8ooLuslriRUYm9HbCM6S9dR6x/igTN +4axvaK90BOstcO1B4PaqhJjtxX/qOTHjIl04Hy9ycbWTpyUTrrbraDxwBiVgMQj07SYEYJIekdGI +rtwqEX7byiBEYirr5y9VDaBv2DnhgZ4bdcUqflX6+PoWa5pod7W5KanGew/3w/Yd76Eqbltg92bF +KH+gyd5ZaMqR6etTTxWudHJK8C6r4J0Dlv99tUtx86HigfJUGuDNDD3pDuGrL/s2rSkq2/mQRjsz +Y0UVdc8gtq6O5ntH2cX5r+m5RPnUYYboONhSR/E0xZijcwrQnZeuzzQoUeYsIK+LKN7DxKv1/luP +GZZ8rBUnGUqGUk27FdjJ5P/17/tmbHQsFN19vQOtuA+Pd2HV0tYMcXFRnBMQQkmA82+drQcS0WdL +rpT72501kNLriyOwGpJrIOHlYycMxNT/r/eTNyn/0O58OYD69rksG6xfz3NjNd+iw22r4ILKTmmi +QokKSslcG0QZEPwDNbWJKjNkJ8LrYc2v2FfHtVwlxxRjY+LIc4JEwwY5kXS3WM4BcjGuZ1iokIPb +EqjIWuKfpmy8YoAjZS5wH/E9wS9XwFmFua7yg7VOz8kQTz4L7v3kMFzl5MwrcEbCkEs5vXsaMpjf +bt8AeyX8U/7BLJWh5bcIZcKOKYj6fcmSQPD5xoIxdWEAVRVe3+Ql80Qq5ofMZ21fU2UMMHaOLZiL +cPbRtkrSANIw2oHuhFr9BU/PXf9yzmbCKWo9UgU26KUVsTE9yHjzocPJG60BodzDs21IEOwZtj9a +1uc2YnQsStbJgiBaLfzfz+VbDBrKsZ0+UMBYAbPo2DMOwm7dJMf/USra3FxU/oKU0NeKJYXD/vb4 +K+Os9j+xcM/0Fgk4rzcYBD4ngMEUDetNTdoi79qlbfbwlWVRiFTrCV2E2hXmGv/367D1WE8VBMPC ++pjOCiGnHx5c/sTnEMcGwhgBi1AFOwzcMik7BhwTm65VkmPMr7rYXI05cDd8Yos4NXOApQl9l5Bz +a4K29AAntYNblNQEKFxwP+7fQaa7KI0hxFj10wY7ylH2eiHUdkCs6GS/P4PiB99IsRERI7Wil5Zu +eCvQMJHz7oUDoCcHG2CPQb8UA6thzIsd4GeWpElaSVSzRSmvldsp1Fbx4HzqP9saiL039TMj1T1Y +dO+ZbalnG325aJosFs9U0ybIOXOCCqk2BNVmZfH+wY3ZGMM9M6cV5mm5AVKW7SVOU9zZdFMUoW+Y +rJjiM8m1ImIP9IKckp4CBuV09R4w6EDOjepZQOjGlAT59LbcdOUya62Gv2Q+07HUHYQArqvKOwV7 +cVVsh6vOPJjGPifj3Fst5Yv4/AMDRRTuEJRtzQ8PZznc4TJtW3QTUqGUXgw/C8IbQZ9hI8JQYbKG +pXGIfV3HcLdGFf06vYTCVA0JyEf2xZ6yTHW0NHGVd4MgaMgQXbQdWcztZrImzXJ90R5iewwf4c5L +0DzJorSZc4UmLwLoHp/oLmB4CCT51memZam3sE4uWIASe/245VSOKdNMWDy5JPf96IznQwi5hhWB +HT6dlmZX7+AaGYCe4+fL5o4XGyCPtocxxzoVMEAZ82e0t+kauWTQ+zokdoVFvgBLMDYwFwVUVEqu +F1KW+0aDRjfkRUTwLvKX5iEkGeHZQNsqg9G6Eby6SzVujQdvzcCf9ywfGc7mwvTSKIuj0FXtBhq0 +TKzrosa1J1a943g9bPdeArPB8DTCuYGqq2NYIy7btZbMWznT0k9hRlkjR5d8bSSCQiJy89rZlDGC +kZq+qyfz9C9PYECmzpgoHTtl7nOZ3J+qNVL4ihExNqlU6LfjXItTcOT99J/V62qVuTGqwL1AjXT0 +kJIgxlEVK76iZZecBxHRN3lWGt9ZL/6EjkJclbWV6iTBtzllQnDB5RGygrr1wpxwVjBq8VdbZlex +DXQEu724CmfunHzfoWOaUOafEhnW3MSevG3FiYiek5Dg/2lbpJBGAFGB8Cy0ciTBvhG7g3+aZ9TG +Zz++6GKOMJAAbsSd5nnYo4lV9rgmfGA6qtVoGd1m+SLVkLNMFFgc2YDV0AcE8kntq3VwnJViEqJC +psO5QRWMFrESkpmE3PtMyH6aJAdfe7pUno5Cbw4nOyE9Uozt5/T0fHAXG2VbXOTyGJFtgy+9AebI +gZjfWxTWst5/c+qr06B/vm2vn24TsE/NAjx5x1qCroF5nUuIbj4NgA7i1eVer+ge+AjL6B5fMqtJ +OCHf3nvFeDfupYhmDV7ylM4AK8z3vzZErDyLZu8/4otO63eQurnSNdeNglKfWwKzskLaK2+gW5uQ +oa36TuTMz2PsrlDz63+hIZi5gVNbFjLb3wCPFcEHFgg7R5/Gl4pHHIMtYiMoCXRL265U1DU1T+qR +4zxrF0zA1oZnMHlqZR06qD9NpWTaJFdkgnX4tKHM6jSS2wPvH93PQj5aH3JcWFNEEIyPrt6CPxwK +tFWYkh2kvjG7fmKl7QGeq5vNxsESbJahWDx2zrlql3Zy2VomEAz2LgNsbbsyIKj3etblJBytEyGG +jSOf5mueb9pdkajvAQJSPtA/oYSWe7gifglDBmwSnCER5HdQbQtpB8JA4xVBxSYZSGYfNsfBGOmG +n+CloAuwI/ZLAI2G7ZTc6eQVkdzis4kDvTGhPyqZK6zyyeENH2UmuwnKoXB6/GrP2Cfcxah/Vlt6 +alFGqTZnMj2PhM4Z5Mspnpm+QvlSrxKszQmNejWkguq1p/XhVVDKrUbp9xYhDsiHcleSDiCZNrJl +h0BXc3v+8L500ihmdviLZiICUqhWCkDvofdA6ZFf4U2Tr/2MTXOoC+wYHo1JGVNZx1QFUcb8SOvG +Rgg3qlYmHgrtlwmk9BJsQpu9Ygsm05ReJ68/dOkVdseex1R8vpr9jxZm9bX69lcbeRLVdnQfC+pK +d9tDbfhz8SCt74/F51KuFSZ4FoUT6jyCeHmYjMHuAXQEaqvtFh2mq+S6N1Eqj88+mNU8iUOPbHPZ +mP0sM2x4hE9X2PD/vJoXz6PT1qH5W1ZJyXrenWRY1sMOdEt7CNevb2V/ysm50NH/qT0e9CEbifHu +R7P0amLOSnF5OZ/hb3w+Nxlc2ezOfWERKv7cK7fLvPiaxg5+BGBh1PqOnHKE9vKVhM6Z6eb01H9F +9w3eFuNnavDYor9qwmyAYQLnBRsOma4NvpuKc+z33rKTzc5rNPWMXZLEiwELCACK1WGBPsncXiBw +PWFsjOAy9MU9eCVMW62wG/qPiuVL7NCIUMzOdEDsqjPSL3mnU9SbmCs/ewS8c8bU4QUwi8ek4aDg +m115v5o91md3SNN/ZZPHt7jYRcTSUpWXtOV5fbxD3vpXOrCo/Zq3ZFUW91HjKb0hGcZNJldrHobh +HIvwq0LbmWxnVcN3OlUjfiYNmMaOtx5JEAdGSawor7zOC879sJh+qbuEH873VCHs4GPNLo2yKx5P +a212q39JXE3rMfqfrZAKltHAT7jQFUjH14F4rwXvdNqPjsQ8uV+6mOhMNMLKPFB8j4MYRXDY+G19 +Pw28hbZrc/VOjQztI5IYXHX46vHottX+N4OACDBAw0/n03FqKM6Re4t2byw5dA2yqzTFEnS1Vakh +8JvcpB91JgMCsvNB9ArOK9etmnNTs2GgOOyZYxB0KsmR5zi8huZNKf23jzjDhdnIpqYjPEPwTfsf +DckZ0tSIu9NbDpUyhUqHcLWkVBdiB7/qbSR1JJPwU/l19RdMdUAb7T3/fxTHYvPGr1oq/Ggqvl8I +q0WZbsN3jW9KrkFjHhHK5yV49UYnkIu0U5D2j2uKTjtCCelg37o5eukh5RqNkRI4wCtkOn8DVH2H +G2u7yAm3BWPvLyG88c1I5LYx6rc4fbfRE+X+WTWQtHF7yF8BmNZ6O1IHS4psfMfEZfDnZWS+swSR +nFmdhzKvbR2DiTH1/AZOClAsd9liPkPNDex/r3wEF1DmDyM6+sHIG+gd8JO8vG3VCw6bIibYPRAA +alf4ThpXMhQMVerBJaaV/22ITTB2Q+oELi4VjwPRkIUbhzdCW14obpSBVGfa2pXTpyKar7jRT4Y0 +g9u8VRI4kpzH6ll3BZ9Kpeuvk7NeKfvO5V2dkZ66xt0uQ6etk5npT8ouAbLeKwyKIaaDCHOps1xq +Ve5qZfe1ss5UzuJJWzU/yNQ337EwNIhRLNoh5FpRT1jGXz3BMi1W3BbzVe/ZZ+u1kNwwHeec5X0D +7h4d7Gu9cB5MIDgTL+il1K9rWNfzkAiyZnCfTJsCcCwXh5BPdvVnFKua44Hlr0r3qSAbt41jNdaV +wTK7p1ma1nagZBiDyvINnuwZ+o9pn5GlPBhHRuPqDfse20gJDIwaWdpK87dQgnadUWixWDOrSVWv +inYsssP3RcXMpqdgtk4kJI5VGGoa4k+sTXL6oVEiIKwPHJtLQH/R9XkrWRApDkqnG2eOwrAm9eiZ +EfES5MV0Yh6TyHIH+2la5k0N9S6VBhsYN9MjyxjT8Ynhhiv/3rUy3JFElKlG1rxBgJlbgVFZyWaO +t0MNSIO9MkzcLB/2srvrEVaxIOCfar1OQn7KjVttCxarpVX0gIts7bJ0vn5ZYzaZe7ZqfVPZHyQc +CgXpdzYSZAhRbH9dog57Qq9N7F7ZfCnTq7Tvc+oM19N0IQV9jdkjAK9o0jab+0WLtRIba6C4lQxe +y8IlzU75Tt8HFs2fZsrULWpgKaT5eUpsWsjh1UtKwCiLm6gN4k8UgAsHc2XaOJRc72pKogAm+yvf +4lbhprBHD2OExAAzOzJObKyu0MTdA70V9AHpw/9+EXpoGj1L3UXNzfuyitdxBxYlV3mb4hmvcP3Q +cn7i7MwcR0BdEXxEqzwljsMaVHOapwZmY4yVKuRS9L6Skj4LlzbmLEcLOrkGzS65ZFfNhexYE8wI +rLmJGUSJiKcIEf5+iC8XGg+3NcvXu/gnmz5tHmVSxY4K3P21ZhDWPe/UcAaAXhTudl72TSWWVqxw +Oo6vnrjbYHLZS73E8K93BwOFmW+CfoKSOldFdwWfeKPS/i8qKZavP8utOxbMGXMgTuGimrlMdUUd +uUPpHWeErBjQR36fJmH26O/uzB7CINP7ny/hC+RDx0d6i+sqnm9lRICBvg66owWcJaejmXYOY6wh +voyyJ8hHMmNo/ndCL+IadKRJM794ciQSKAyCIQ9Npgc/jSwOW1GghEdH5aE9LPR4tZ1LzVYKR1iE +0opVpCN1WuHda7UzRfIPO0Mbjubr57jqDUetd/gufjL3d9uCVWCH+ascErhV+YJXhwlaGQCRBbrQ +/gY2mNKUtTYwnbaj7uinbnj9YBiNlDfqiPGeiq0SvTJ3qKdqqxPfytOSNVG3OJh244xnxRIKOQiO +a13WIfC/jJQKjQ3w+MoTbwqiB1DZKF1Pl5w1GPbRATbS4JIaTtbhAVBmoriIqKyKAbxAz3aNUVod +PLko4I+1mwqj3+WKZts/geUxIrcN8Mh74Ww66vZHoOdz5YWMsH3hFwt/2fgeSOJf2NTjOaZKyBmi +a/qpbF5u+m3QJtIfIE/Pq1fq4OJcyKliuxH6IHYMuu4AlgfaLqs0EMv7E0vUvMhybPn6wbbY1xSz +8nvrmUIkFna7QG4N4/5m5EqI5smPVw/R+cNCq39VJB/dMhb9ShU0Q9D0tsP7MqnAMlGUoaPEFOVt +a0eG+DcvCp/NdQrV+tdpJMWSmL9up0KYEnqn1OXaH+OXu3K+YS2hb2HrOD0UiiOPkJuYwM4Kcd4k +9bbg90XUiLwD8ELZgt0Hm91G6BzariLdeuzf+lhtURtf7SoDDj6pUxT2wojYNQaqVhf/mkx+9+uK +GBGRbncU882ysoBg4qlswDZePT5Km/RuchV5jTgfRpQ9M8xOUV/iPzDNlY910fF960fpljsTWVj9 +M5CStYuxTWViSOmkG0pTIGAcTFZAcieEWqEfzxN4wzpp/MsMKoYmqyK1OMxgw1W7v0lfFovMww+y +WoG8lMYLLigg0gSmuywua7bE03fE7WXncSWc93OnHmMy4qLnewqHgJ/MmgCn5O125QXdjcxqQXDm +0NqBP92CsW4v9Jkq7FT0roT8ri74R+TyKM++gtW1ySV+GFxSRUQ1yPEwvOT+XGZsROIgpHr+/4Ip +uzf++6C8gHrYA9tAh4Zzdfy8YnQBsN56i1sLvjMyNdJoA57w1lsSFkdUCNptPXXY2+eA9+6hUJLq +/tOhOZZzK6UtsFVXDDajXeCge5ANB6CbHip21drYytlNBKlyPRcEBm+BcDrxvGkryBJ4VoQbCgdX +fc0Y+yPtri/4/zA5i7crSmjvxM62B6lZvO5RUaqx3L1h8ZNXPSVk/k7HbY9WIElaVGnJLg1Y1TBp +kx7ShOIR7XOI5lsiNtayv3H/3dgG6mVVgHoM2srkBGNGj7uew4UhBEEEwe/EoBXvokO4rbvKBk4P +cz/DABIRZ5qHydmXInObbJEk7kb32O5bsBtzAgtiz+2US8pX3rzlXxdKW7i02zFfnJc/fGGn7mQv +GZaTUIxdQIj3KFR/80Zzh3jbiPGPVWVEfkQO2VfPKHJwlzmdzgIKkp3Gs+zIkTFL3BgLlbfZdrmW +Ws8xlP7p/hRGNoyNqb6u/MT3VFV9X8Qo/MAxSWiMfWh44WADnLVwlH1SGSpdq+DASpFR9IYC/Dja +6Y7CTl/Lq+SiWQ1jBgQoobBfCnUIXWI0T2nLfbGTExWIhDrYEeBbWSiWtZsaJdnEyuixZIsnbPrL +n6OxH1N66yZn3FccfI4TrVtvEVlVgFJmJHI4cQwbzcfl/9wQGY0SurbOX8WxZZgnnOaa66vfvnWt +iicGEZSWNogD8y/RtWIqX82dkA4a6X5jYnbaiKaWJqzeyITozCgrXI0d0IZdUs7gElpRwUFscYzF +reeJ5DMrWO7sPDnJ0W2ul3DbYV2zJZCq4Tb/77YBL/o2tC5YWCd4G99tPvJB3ZxvsU45CKgugdiY +V97O1skrTdDBUDOBIlQ0mmgwO8Sb97UHtv7TJMYS+TZuJ2a9w3U/JKK6zTDF3TQ71N3BluiJcNhg +K9KzFW9JPoJa7InABRtTGSWLu4rKsgBbtL8j4gKEcboW7QaxDxGEI94JLGzYmZn9sbDX/5fKu/CQ +Xw2JPFPIHAPucmOWwhfTBkCNgVOj6S6lDdpuoF6pNrZ0crixWgO0t5dw/P1YA0K1iYzJOeK9vraq +sv6AjIf12VpZT2jIhjGtUYlHb3Y31vuqBPgBP+pRzsM4fa6sSzUjSbn30xBCXj9QrUoA4GCVt0/h +bR9nsJThq3/fmJVW90OHqlmtoYLVMTHV0WcTvqgkB9kVdyUQlXjH6zLFaWXu4JlPNyhnogLx2dRu +Kuex3GMLAFNLnMt2m56OG70BF6bIkR0UdoJgt5kXBd0Pm7yp4flLSbyuUP4bve6UzKXpNnzaXPK/ +KaaveX4oTGHmcjbMuyk4Eol4P02BR8o/+CJVbVAPoZeqFMuTzvdI8a5JOxxJvrQPjRy8HC6yWAUe +N7OuGKUF1fRwVTQLDe1ER83vIMdyiv3Ehs0l039f3L9kYH0QrOT169kgVTTR8mfx3pMmuLX1hQ2R +8X6t1cFOF/nCB/mq4P4bbC0+LEmOD1c1ZI86XZ/Kwtj36gcPlhAFVeG4U75zbVS1xJZdli+0MFiZ +C1BCiNRGfiSW5XUkKMD/aJN43YQ1ezEdWhotS+vbOs3l6j3pC8cFpmLgH0yR9mWz+AXVk9f3Mead +AfKeKoThLqi3EwqYOeQ0Ce43XINUXPh0FaL3sZt+uw32wzilQrqzJiFpDSYeaA0zE1dJSS0+lpwx +ImOdsn6KY88N4JRpg5QnBeWwOtOnFEso9ZPeeOuFKckbAEIu2s55jCr9dVBStK2gEvUn9Fr0NKia +KoCluX4TIk8MfxfTnYh42eZtNBvLmoWAawR0zs2xel5WmWVsVs0HztjDNuZuKhiQFtAIluCr7meP +lkZZtSUdfqeRzcb0n6CrylHqazrMH9Xud15QNKcVDgXowze3SqZFSIh9N0kQd9LRTEV8NnQmpvsz +nsWkaTblOKLTsg7QAVIaLaLOX7HBfphIWfbbkzq9fbCng/gT4fnIB+D8MCt83jRwpIyNLu71prwQ +cxNMNRTQRrglwqwAeTmq3B6WxKQqcwz8Odorf9W5BvUEq5eUb7YR/9Rd8SRUtalmfIBSV1B23NeD +XhaeUJdUw+fyYSeS3uXNcuyWxKN8F2zA2AdcfsHKwHafJkHzgssYmJdmTBc2DNsqkyVh9YF5qU1S +0/FcYwOEuejCaw2Jgr1KYL4S91BvqP+58/75Y8rOKPNg5Dett8GI5rBcnycKJ54j76iyo4JdbgZZ +Mt1vU9ZWrRJGb7Z3snQFKDTAcfn4tZR3zUfWfPWLkepAjU0dQO9YTRzIgpP8Nik1uCNcVqzWtrQA +wjqOnXmrX6QQgWOirj2xVGfeBuCG/K+HgFMZO6L3/hjEQGE7cgm1fIJfP07kf1oPsZ6US20aq70Q +I1zaF27lgavkAfYZZ60UwldWMpHT991UfInXLRDjora5stMpxCZYKK6V+z3KykghF2cnsaTZ8GH5 +2XKMabs9RKWpoAp/2zwxILVoIWJMuTt11GsGIVjodA/sMs/ChJWarA2cOKJfPIYHV+qzC3rhnxX9 +LJ+gHolstdGmWor56mEo9PnrTSlJysCejvZbvrFcKDidt1eeX3ib2JoXOUaZa4HUCQTpTeaneepY +6AHY99CjnVCm878mDC4mhu8q9QzqYLsqKycmOrhrk6TOhu4DEEoFyA74b4KReYIrcGskpyPzv3Xu +Q/Q+zL86Cl53A09giUHWv+7oZwAi0/NzelEhaniuh7gmORwBS6jwY3h1iUFosWEGhIlcJEHI1t2E +EhyImL19FDRtld0onGOerpCxNn/Xpo0PZPsR0xuSat2SbymafsHWaV4ghNqOfHZbwed6uYvP8Mg+ +r4/CHV0GJiDdAB8LIVY1cBirPjttgCQCC6JtGgw8RsIPO1uRbtL0YKjrW5vwxcpKrbcrUhq1xcgX +Eg2J/o5AaQHKJ7fdyrKqcVMrKKJRYOJrkcdo8u0HPE0LF935Kk6/pM3YQYedP5Gg5o2YOhnHo4et +0O6Bk0KgmCMM/sfKO9Er7cHjZbjXduN9tWrCaoqfzKL+7GFSUTxKxPuczNy5g9GDMGwdqc4EDDV5 +0K0vF9F/4vQHUE3lO7qA0bWjZOjXzcPcz1j6aCBv+HYKM7K9VUX/TIYF/MPNfctJXurdWm0fxUFw +I+Ic+tVSBUSZjgpBAIOEvut9FQG2Q5PAOkTFH+qv7HhSHhsEwBNX4gO8fIRoG1AnN9itNwfHNVMW +Yfrp0eWJ790Fg6AoO3Vrn8LqD2eMNweoGiOpXKUj0Unnn1ZJ/P94BhwDaouSd+t5+CvzeoD3Ab71 +B/r9z1HQGpgy2Ue5vPyCXT1yrpGe+qoFtHeY4gskWI11JgFtZfXZWCw2/oz7Puf9cIjvWZ+i49Rs +OxXCkC3rd0XgE4ZSG4c3ePIvSW/1Q0sc1FlD4gFZlEKXHWAdpO0TzuGWt/08wm2zjz8I9DLqDRFK +X2UPHXUECwMpGnDaP95POZfnCmMzGDo+wTH22S1R74Wl9dGAgJ0ciUmd/zpWObaQuX+zsQRB8Tm6 +7YUhHEgkwk3ltPjUNs6NeivVjUiz56X/I6mSNe8rcVsjVzdhzD6bbodwoGdKbtX7GGVaVwTAgAe1 +Uyyo8qXjjXJKprcGq/xZAmBLwbodHxFXONY/7Qib1w38dvJtu0+hTdUYwlNNOuuRGSZCoXBQ2vbI +HU1bJFkpR3jPKPSs9RITOwijF+PC56bT6Dv3su9mFrOGKhEojhdLdq2nvJcyit0qCioBeKHJZihZ +STBK3OSDmGTFH1uy36vsYe5LCgbsfjZcODgcMqJGC4mO8ZKGBImVNmlvVV0NwtGn9pga25300Hsf +7ZqwIsoec75qvLIGfrHmQQEAzXSvMecwn4U+mYUgqY65GDiBnltOidh2rIo15tMYkXxQ4OxS65sc +fU16d+2bA5C8xrvNptN0sqnGzoIVmK1SoCof5xLSmRbaMp/4erGeIR6C3cnmfKUa9MIJfKOcvH4q +i+5cNqH/qFeq9M2LS7C+LNuroJfKJnThJDAHO2vPYpTwGJ54kHVHOG6VAWf1wFHZEF8ip+L9TB29 +eN+O/pUpeTTqoSWgYMArpjyv1ByKXdFeAEm3wyz7Q1D/Gv0w7NDQRcS7QjD1K4RcdK4Fc4X6O00C ++RSHk4eNeE80GxxX9j7BUaVNSx1qHJEviYJltQ7KfEjQPmDC7icRNoq+p3TxAfNRYS3kXtlmTHfu +HXZRL5HMsXYuRrkT24l8X6N3SGmshe/TUa9C43vcUkybD9etHF1uYj86dacdCjKjto2xfaaIG5dJ +zCbRdOM2/a07SG2/G0lsZgQoj10gaDnCzg6jEPb5nm29SiDaHCke6TdMrhIG6jncFgbS2Q3wVTcH +nkDiSC5uOdwQqSskJ8mXrrpHtwljv/TjGKIebSLdQCMVSf+E34OIWj4eHV9wUmsulm56hWzRuu8u +O/Or/rNnyId3eJENNjPPg9sFK8O4L4JfYrg0LJ14SAfR0LJLvN/52hOgUD2SL5oHuN6Hq4hq8RMq +Rv6pFwfeXR+oVD+orW/JegKGLtARDuW0sWooJdXtzrMjpQLLQ6+/oNofYbZHNt+O8KPuG8YBIcM/ +XEXxU07Jj0iun5JR92ek0EGZM41NH6BbtaZAXdZ57kbtBVS1PBIV7svUhQjjqXMCIVOH416jNsRW +von0ZYkJ00t0xEEYhXNu8FqDEsyyG/S3NPXC7P3DbJEkHCFx1vtRBLKyDGCyJyxipRzDka9+mAbj +lZ3YDVesAqg8C8/HYHZzPOAtLa+titjm1AKwX3ZDY2nfOh2QpVD5MWIay14rAShPPtnsRP5UgyXD +uHcyMHfyMY7hLb29hsIuvHTgzudO7Ka51Qhx+vXkjTXIMVj7Df4RZuI4PBCUocCKgMpoBT1NT7zm +XTPSMBHyYoMnSg3vzr+JqB7o3bZ+o9JsKM1HM2J8v2KLg5UZziWzNN4MReShPKqV9XhjZwN8EznJ +dqcQf+42hHIU2/QW5PlNGN9WQmlspuWYy0LJEIIg+hB8tkJjXHbk9Up3RHDPmZdhfs3dRVMNrN7Z +Vk7I6itD5Y3qwtWgrvayyYCs9w4Bvmz+lbOl8Z4W6meofS8fXLGAgz9FMJchZrxvNQ7bBmlbgoig +/mW4iK1YwuCXUFiAp2aRJv4WnEJ4dKL3zMVqor6jh9nBXQD/9ztqQh2NlrZRvSyFscrBhALKqXUj +b3ztYhB0WK6vCZs9JzIpTnl4klxvIMoR0xhEMgYSmB4pbLpF7jLFbm84Pv9AFb77ZUE9v5EcNWOs +AGJeK6Mjxjo7TamOIijEZZuQe3T3cjNR4N3Ew33AE95fABLa+wMrvbzUMMly9ioDj1B/m5hkUpl5 +jq/vjFMo0UcpjfdPcGN2q96m0o7m4JQq8WBDyk0PgfN6dj1XBPzBaVbLhulNJmtr1XpKYI0caJdo +JK4NwwIXuPQgdUDXGPIej3ylXK3nIUw2HsEU7gyyIarKAWugtfbC2LizsWJ/iUH29AkMRkR1Piml +jIeo85R5M9a80E4/Qo58QDeMcyGN5bRSUvklCR2v0/k4biUQNGEqE0Y8Fr5S6ySQ5fJE1zgxyRPI +PynpgDqOAtQAmcIc5hKZghJX+x4d7aeB9BqGohjQU32ydIKqTrJRrZkmj8ViZ+MDicGsmMzaMlrb +9Od4EShGhwN67dtHbj0h3Rw7tw9hFliXfPNpk6zpXXgt+j0Q9pkizurCTEH9fvWYBWiJ/YdNr2MJ +cN2dZu4foNoHhQb53TpXZqWELzTV4jjT5luGvXWP6H7ofpsCAkFxIfnLQLQ+LXmahQ4lbJ4yGwhw +dFp9WsfwkilXquutXr8I643tn+qDdO/T6rwhtHUf3ZcmCHaVY60S5bFXBPlYvgyp2LHYw+oW9/PF +fHdJxrsKB8rRGoOFDcJASWYw0vyOgSb1tLp8f2/7j0p+M460vhlNtWs+x7g+Rd8VDF3eQ1faSKV2 +mxqLGuzT+TdgCZ05MOCsY5adR9gvXUk1HTXoEuTyvi8rzCf4FnPNeQQH176IjmsoVlPIM0uYnikY +cQsC5Q0nOQb9OTW0zKElCrjNBuPYx3As1E5xQjA4IeLaGZeUzuXaTasc3Y1cIWsHG4F8EAwv+HI+ +pnSfpiSWq83lsyK1nEgWnnGmwMSIvvOdLcqWZnPuK8yLVh9UDPtP5dQKv1qOLWuq9UDk4kU/KoYL +5eM6PBf2i0lz+EKjAG5gSfN8OlDHxiX+S+yinalzfewUogPYrt5WNXW0IMAb/UGEQPlqVSediXr/ +czD07/ZA+3u1ileTnJBYodp4MAeQ6dU4JhAqEfTW7FPU7stj3ESbNCpxsMOz7VDEuwfued16RNn5 +lDqVNWs1HUij4hXfk8fQ1G6Gn6JFR1+qp0YYhFXX30BklwZ9oq1mxKpNhxVHTWELLa0K4Uf9wR5Y +RIOGOmf+i9k/Ft8rPl80MQXd5nYziq/gdz0do0+5G9hfui47vXJUPh0inNjn0fCw0/3ehf+hT671 +zTj3OntwRWvwJdNNKW/V5ZgZqgVS+oJJvAhgYYMeCrei0zXN80HOLTTE/PXBydBowpAjA+ClW3hZ +cglYdTKUB+1wCC3+zj7hJLZOmmKOVnDU3NqfMFEq13/l+vYYeDT0+CRKlWudSBCPRbboxRKs8R96 +hFBlNc9yr9zW57/7jbmiEAl8aGSuCutRoqQ2vgCW9lhDRzfN9NvYFtFLtL1CuG7hwxrhn+ni0PgV +PVEEm1lgRO+7va6xdUzM6WDaMuru2bqozJ6rHyTJmiCKQrbg86UzbwAnAf0VjOundQ3sqHUzdQHq +yqeMnuUZU3UFks40240TkfhA0a/4VML89Euen8CEF6s5KHO9aIQSQOgIUE0kX5aefvlcXAX4pFYn +0jlGyML8wUwllU+tyWOhJz6YhxHLbnjci26Vv8m4rEBafX34l4ztTOmWIyLpffoJRVki6vlPXVRZ +Csv0bv1kTvdd+luunjI+pbZjakrHW2T/hbP5fczXhO3x1kVDTsjRXG5LaGemChphOyoZkCT/NOLb +PwAg3MjNJV+GWvMbDgPM7u+92+Fzh9BPahXp/DeTHnYC7xLFmn9+15JTQe+RzIhOfIIQNE5Gam/h +RlxRXPUBidVflWG9rXL1UnOpHYH13oE6kWyRarsupjrP/R5/hmgiLAzIO7nvFBXMMG6n/QffPgvt +N07lkXLGCNVsTjkR7jRCZxldUz6ol3nuTFYOtoAzUlUaJSDVCCyInyAQ84AG1o2twu67leID6vTK +lVe+TNnoaPukODc2J08+FYMnDMYVKDeMpBoxTKVl4VHzat+eBZvG7LrqE8Wj5tHaJKP76J/Jg5F9 +MbULI1doJPrzSFgDWyzlUnYjQ+TOtaabnc72DdyhVfkcAK+cXBPgqSTy27r/3cxaiCcXDZYVc54I +3GtLmeLYWbwvAqOxFGcOWR/ppnyvcFFD6hAekIZE40+F+jvx4qICrd1VpTFb9XAFfELAoBmkMAcH +x7oCxk/DRTB/cWwCm8lkeAgPpuAlO7hpafRp8ZGaeSzBPFryBhmQSvLlB1CzY3U/MfvuBEo2Hk1N +kWTWGN27kdx1p8NkI8OiUIu0fOCpBJROmbC+8XWRd5XY/8WbrQLKLDdCFmWoWhWpd1os2+UfaX02 +yQoCvFSf1FQWKyxHrnVImC7JWbDYgygaLok8TrSdHRY0srcdejxur+HL1VicPDyB7OCeDa7QmBfi +vNs3hZmPVZTAgjVnZ9IRbYUws7fUPQnDAdGaAzDZc4jsajOxAiO0GqVGAeP544FNhkbCdHtuaPye +O76rP6tLATdXr+3HsmqSw+MsNOerl+8rsugPtql/Q7SfF5R/h5x6rR4sxSEwHC56XwfpIJiewzWJ +5aKVu8PngxKDwXzLIHLtjt0QpU4vz6HjosRZBl5KU2CveZjJDyu9uxrUEMsb0PsLHdFQEN2rFmSZ +n9g3mn7aJBue6bYMZOONdUffZNVDb3NQ6cfhMwUfRvB7jD9Jhw40bfJ0TWpog4BqmuJBS9YHWpAg +jWIGevXKFLdwT/HeaqOelrYc0zBooV/H9VtLW3EzkzX0QmvtTpBIHg+okw0k473CnqPJAzy5vlnv +cXfBWAHGPPaxewtfnePoLWVdwmliwU+C3OCo0vYKiDSG5SZF41Al89gKATsrICysXcrLqqVoeCMZ +LhbnaAHL0rxCrXJOQWWo7xb3AbcF+CsOWKpfewU2UcminvcVl9RdzMAngizrlUu/prw3fJQ/gQge +yImRvUU4pAytekhOjmjlyCeXT7iDPGX9QtivPtsAbKjax0/BS+m9RqoGyexzdlww4V4m+E+5Mw/7 +c7EKuRnQNxkU2WsXkLYw8vOeNCdykhgBJNNWk9qFyKIomDxgfVfUI9HNW6MfnFQBR/KFzmv3beJ6 +LtOnH+AuSJxWCsc8qlKUzafVHGB5iv6268Jts5+pE6XI/B83HcPvAvp6Nc3gWc4HvvFNvD8tYw2W +I5IIqOZYvRiW/Ti9CtjjgVGBNk6slToEG3vc2N/IKOhc+oD3vSSQT+kG6pxEra1Q9Uz9YfFcRCI7 +WwR4jB3fIZUa1AUxoZdq6MrMHUew8xSRdydLtC/rqtu9jGlDj/ARpV/fun7II2EvBM0+6vtX8UuP +jSjBonhIW/zDMl2lGa1XXsrPe2Yw/7oAXkJXpG8yT66US0OWFkcTkCZifCx3MkVfEOnMawQM5vUl +DPiRVXaUtjhSZhu6ZqGZwtqqVFFi0TJ8PozUZtg34ajqZHTHOH3g5LtL+NWMYcLBflsthKxEqvGF +dT0nOB1sjN6VvhikBioAg5yu1CyqKrLLniVckQtT5uzZ5k5GV+xPoavsV1bL/I/ydJqfGz2mFaiS +qeubgYT3sPjyoJe+pes4WlYYOuY8F5CJegMnLQgkG3Ooa/f/4wNdPbzy/C5YMk0R2dhaBxXJp1C7 +pyGz/WXlymVMhyo63r1WST8iS39R2ToB5yKymCryeuxxeTWJsv6Vn677U1bX9d6I2KUVCpfKRIEF +c9uuJ0mip6NT8l7NMrpPBY2Z3wCAK3q37Ee/Wxelaf4OY23Qt7B/gK/h7c+pB5ebILjZTb8VBCbE +b+hr1hcrbidkVGh1zMMdfEQT9s3Y0uSIB8q0gD5GYMCjQTYms9tkE0tafWLCLXYHeHosGKQpum4l +USCmZ8hfRanWVgwcMXpGndYvio/3XQurSHjyvqiQ/229GgFTRgaOaNN3e+brBtPe7E25AZQrdoZt +dHI4fv7PtG9ss+J6mI5yLRo9ilePog2mVxfx/mGbGEBJwGzww15Esp0PKZHgH75byFytt6b8k/b/ +sc861R2S/OfHx8Z4RYjWOT96fz8ecwEJZ+nxitPgd3lBsNP4764CEkE9WCjoYCnvyF3cUYxcnccK +1qWU1+FQDS55DVeoZxK1elBgQpwb43BhLELD0ykTtqee4aHHQ6jMqBr8uogkHxneYFs5DzuyI5aP +jU8wMjB514TnTivcLUEkWdH4Wrad8N5tg2KHLuAJfEEATePDaj6yPIbdlFVEFcihndqlOfFFUIfd +K9wvu00jd7plOHuTU0m+oF/ew4hOSIT25Zr8YprbDMYaqP4fNSzKADHbePtQNmig+jI9LY7iVUx1 +hbbOqAOsiQcNX6A6DIXc7ak0Gk8HHbwi21xbBVlOcPEjdyJNt7FmAwnGndUtQsde5jdXRUWDzhzj +0x5VUFZgj1R++kxVGDvoltMomt9qTgAjigcuf8wq2OBtIZhdF/y9bwe6GyWG9Lha2zkUicZHgjEB +X+wkDKbBVSBFtHScKqyXULcEghxCnxz/148gAqxKx6KCta7Mf5ZqXzw4SG7MzGiYcdV5HA0NGtHu +JpEjW6SDka+Qj68mdHVj2Oq7FcE/zIOrgPDdSsUARdP+7UZjxatadFhuen6NxcH+ZYaNpwiwfCdM +j66DckGkwcYWIioHzBY6f6PLIIFzlqtyFvD6N0friY1jzWJB6Yf/m35JLo/QE87j5tqRSj44GZMM +oHlGgdz/Jm+DRE1IF588r4uQ0+GeutDcGRPrBPC8hzou8f0Q7P9SM+AN0NzW1V+X+zHaVhADpuVo +Xd7zA27D3uC1lriiwwrepvdfaIGD2Dn4OGYW4bO+qfClrVCOJv1F/fUc2UEVhiFw09O8eONFS9an +q8nEsJhjyR/H9TQNqWw/HtyO9/7NFlV+0LphB0XAA0Py1XcmHCioXSmSNk1ptWuUnGCmqiuF8DQH +1sFFtjFrU7V0McRjFHSuiPMAZ22rZj6TpEHbOJm3FZyZvRDs/JL6Xb5C9wXbVomwi+wunuIz8plu +7PgUp5NB8AvG4xCuRv1qJXsmAGzZ2dGK782tXgR75sUtP7RchF8tonNk5OLwV+3nL+BkkRl+t5jM +ji31SsDKX6ZoF8aDeva9rk2HqKLCuW+pZsnz1rRQvLcfRVuC92q4onOuelOaRUV1uXNjjFm5vJYr +P4r6xcc8BVRWIDuD8crNxaiA7+UpvkvOg+2e8dPZMtTdICVi7u6UFR+HAK95dAz8crxzK9OdSxOj +13u35kBmZSXIdRYXh+yVcy/gwVqGVTkoByyeW+pj5h0am71k8fTG1Yy/bi413J5vYVes2T8BMp82 +NfAOXlX/afDBNjFdfYwsv6rCLoA9WEi7qxvA+lPLTj7Wthpauj5+Cfw7sbnZWAZR2Bjc3se6O1b6 +z5M9RKsHvbLrSkKp9d6gXvBMev9sUd+DyRzgRNEbJVAWyxm4ffnWZSB/AfW0FHo+Kz3Vctpco9Tz +azUMI3tZoh9e85QkgKwGClJJERs9tB161z8FqOW3t8rd87H+IiX/FzhhhXCoFJ793nRwPFDx807t +FxiAcLfad1QhngGQTq1QHWGkoCgAm0JWYGgks7THjjhlrx4VDxTBzxkACfswHnZnhvzLWSlyxhHe +tYOShZ6sRCYN9P9C/rR46aRONzF8balvwbxeHD9d+w/GI82Wfoh4gpYd1e/CyoABG5xuy1w2CYyJ +mZ4MYYMyfLI+H4KDHkcYg2003kvaFrvYvHOiE9pypAk1YMQ/9ML1uwGdhci7I9k5TIsvC1KT19/W +PKnPSQrjK/DI59/2ZKR2hpLSjfILDUzbwvKLHJPRzwJlStyON/AH4Uh1VIcIljVZgMjIInuyW0Gg +JR/SQA4YNbXrn53rZjtnh7ar/91dax0r40FtA5lhNu0Sf7ARrg8zwa5DaeVuXxG+XZtt2CCq0fV8 +7m4fRHKIW50gj6nl17tflz03ACAmQruRtbwQOVG/62YSmRjI5hshtQW87T27OfeqZ+ucGTFzo1Yy +5hF28SdvstBN+b9ACYZ/Vamneuy7hv9z+kzSfzZLAS3lR6oKv0X8LhH6ldji8i8cdeM27oeewCJ2 +8xMNWZLEMDUznHNL6GHFNt0sTVnCmDpC7FwmcpoBMxILy1XkfNFl2zot1wkkNfmnCte/tvMQaDDa +MHXoa6892FYYPofRiWZ3xw3qsulSaXn4m+gg0VeXJX4KUVqVtgtQS+XvoWcrey/Z4AZPXKkeonwp +i6sJjeAkgAeiSlAlAPp0WdTZo6MEiXzHEiDF7IqwX73mU9uTFeR+nYQ/BxuY19ny+/Su17FE4wpw +kQuAGGXYZmBI4b39gezv4e5zP0nAxTbfqOOZ+BoUDYqqFE/cTZbtMOyiMROtSM/y+B7888r1vldL +J/+5meVD8HzykP3N0EtdWm0LCJRdwlQ5fJWbSuvCDXAZ5jXoQXJVqU6HoyEP8yi6Sk7dTrpryxxE +I58Ms6hu4LVmBbEiUaryDP+QFQtip7ZGkwLzpJAylQRiGe/Ioa8tQXZesj7EZhshpgqGrVgKeL1K +J60+q94qDHRz2kFPXcTghqoFI400yzD0PZNPShFD1abxDXF/QSekBWu7H7+zIA62M5hbfwt3fleI +xU32wm92ggFQXJN0b+SPXMWTq/Gpbp+K96plkVmOIdRpHWpNIIuJLJsQsmgXkH5Vuxv3wiWgqavF +F3LkYz8eaZ4geofFjUdmwQGD8U3zlbsNN53TpogL6B7fKN0qNjG+/+7YCJOlVAY5WmJSKFNxp645 +KjixnWigP7swksPW9pA2l68tQllZUGZLrv/6izoPXw54wY4xdhwgtt9IAOppzdmshKy+AQj6hQR9 +ZzZHZigUr98J+/Xgcp/KTI6sd65EmzSrM2SlnUGzUrDAlxk7ZnfGIdt5/MkUN8QN57CWl/ef3c6a +n/6b/t8KFBpBnOZBR7ERkcCkuJAPlkfcdqNJ4JFz5aNPdpE+68+kWpwdu91chJAh4cNerZW0ObV/ ++Ab30ilwU9ZictBLEuSyOasNJCYNQI2sICZb+9OyT8cat7HK0Jn70QO9oE3fk8aTm/vaviKxbIbS +nSQ0JjmOuU2Tv6o9Pk6fGe86Bjg1kyqwEtxU0j2XlBFNizRA9NaOTwzFOqUDf2chrTva1jl3V/FE +XqOD9spYx55IFpJ7drfuWAJH16p8rbp7uv83tVr5W23b+ky2qWe5qAr4udCtMkcX803OP6mCvNPP +irxJeb1ZZFXZ/5T1Zxf0a0b2EQiRqgnUvKlWce2obO7uK+EXQEYT1w3Buz5TAwcQ8+WgTG73Qe0v +D1WmZVQMT69XB4LYj9i+52P4IG3EnyEXv9yNKkuy6/wT7fnvPZCXFmcuTU6a+L4Zy6zRtl3poe2A +qxpiiQmO9sow0e0fyOyYbE2lxaIk3933aaQbn7Jvfowko8TBCSn8HhbCSB2mK5iz+ORpudVij22O +i5ghA2//WnHKf96IQTl/bbnAiwTYf2tLqnlvCS7ur5NNEJQ7hi1LrzOM/nQNU0VvObk9lagSAmjo +r39q+MKDN6SxNvUwhNSIrrW/5YToAowv2dbKGne+oxXPniQ5e1ovvRqLgrL2M711Y4TU1pbaGWcr +YUUEZTAXaQ/d8vVbi/ZtEAabf4P1ndTCqcsk2AvgsQYEpbaIc8sjWOYcYmWPCpR3rlHA+AxQfOqp +v6BU1hb6+AbssvWqOHL5g/+9csLCQUuqvZMsOfbnAjcsrpo4+EVu+KCJoQQI6K4bTAsoFr95U/Sl +05K0nQBpseZ+8kzki70NsDYtD93Evcli19Nddrb4Vh+LT1s5LRW6PQL2A7Rz+YjAhMm5ld2Q9268 +ay/DRy6L6/u8dmhgStMIc2c4+4v9dxOB1suiVjE4nPLdLxLe9fnVX/PaCPRrXYubqdPn8ZtdgdUQ +5Nv5sHqp+rorSKic6GJ5mwi74sAFsWcvs9oTAM1xCAaBsy5DePGE9yVsLUkQKtC2w2eOGXyfldbv +1NPE2v9Q2DOZ2B2lEQzggX6o6aVVJPd8pec0+yp2CuBzsaorxG85Z3FnX98fcEdudXLwLlIvJtZz +/SYEBZ54kRC7JwO2PhAgYnK3wGf88/GeudFiE2YKG6YtDyOC6ZnbIwMN9ZxaGtjwhYckfNvDgOfp ++QzafzyVRJL6rDRUmri5FEF1iXSULJKkmUs+cj0pjLhmjQbk/pWVHVRtf7hSj2wrN37aLQvIIV3N +mlYachZRvUsWoFMifTm7cfg2uPo8ucNIpg1Br0Ow/s6gsvIUuLTpeaRsI7I2bhfGCxbfuHFq2O1t +5Z8Yd6AtvVcQA3X1ke2pAFmjd/vB7YRS08GZNyMdFxj0ddclc55/7urfWTg2Wf03v4bF8lAeXBdl +/UfaeS/gqWAehNAGsLqkmo+pYrkomATjlxM8AJcotkscH5Hf043fyKILQ67fokI6mzA+Pu/OnrMU +mTwUPT+fNW0HBD/DegZC6gSiwuxsLzA4cyTI3FIrY8mX0K83PP9M0vm/6XEFo3mLnWZmnf+F93Gb +MCcsx9bFYvy763xzrK0h8+Y3VVsi5pnX/GuQbxmiWL0ngXvQM5cSjXfQkmc+anONPowsAt9LOIsM +AooN0NByTtJmM8QNUhYRg6aed9JlHts8Q8y8a327eFbLZBrKDgJ3Uz1bQELRrBxsWQG1ljj2wDKR +FsFF7TmMT8cGgc9hgoSFuVENVM9O++VyEQa0DzXFSxKnLRm/87CISwheW32+40CYtS18/qGjSA7U +bIayCAdU0/+pvuSKkynJLOHv1N/X4OKYYH5GGFUSJipJwV//BBdL4Mvy63LxRy1q5s6DOq7u5fDO +5e6KnRTdFGtuaOJfGBcWVrC+EbnCmY0Tl1JVdXQAErqFXraBS5umae/dmUyr/AxbobGa2zz/WSWE +ceF1IZEiDClgiPIdz8xUSpAveHDevGay7EB6xB6eGUXdlxzl9XObAjyoT89qQkD+HdMgxAS/jgD6 +c69skmepeJ1l1NDKJOevR440cCdDd7zG1Ow40wO90cbyOoMKWdY9y5JucjVWhv9EDbewtz2GLfz7 +9JOeSDC+HTYq6XdN78CGBDsIlMyiZuUk7MvEo15ZJngegQoTgdXufWQ2cpo5gBTlFYK9ujg+Pcw/ +DQGu/y6R8ReKzVXmR+kRTYCVhthuij0X/1oE6roxyuXIH/XFXscrdgk+FpyEhOW9tmo7H1XYIbbK +rDRNT/X2lvLFmh+df3umFZegaN+Ao7POtdzOMhyQb7zUaDTjKK87rOMJ1fXeoambGa6Y6zDsJzka +9a9HOyA4Qzf0AfZGH9f3upNkNWST7QnamIurBphSrlq2JxNDNJnZFJZF2izfLLuwu92hZdX+fIx9 +MFH2PdeBs7KCsoGC6QO/40Bq+iDzvj+wvhMxVANgNi5vPfQWFJ4HhVRYrykOYQENE8awARdTQCaH +FuadXxzEuBS11lHD0OWP5u/buPPZtpI0K7qSQTVLnoHdsgrRslB52vTXV2mOiASrHT3VoBubNdS3 +D5k1zFu1I2oWYK0LV2LB3OPSeHzx8QFnRrQJzYF4S2R+TL4FWA267acWPudbk4BGVjnVnQSrhuo5 +x8FZg9kEhDIZ3JwCzmd2Hn27zf/kGkBLKe8aB2BM400OWPNz7iAKz6KhOvh7FirIi9PWwSpFLmqe +1ZXYDng1gV6OhaDDBXudI6SA2ILkMTT+3K7whzLQwP50ZeuPpaH69Gur7BEUhiVtIzSVHeUJO891 +v0K7zbQ8FVrekdJTr0nmn9+YgOIFPVc6UHsB2t+tJbKIawPiazK+pKpZDuHTHCPdbuLnIzCAcgYp +zJbi6amvaWl1wv6T7NGkRGae/SmsUqR9qCxOlSSotfMlMWvOFeSMbvfl4HODKv74x2dz7tw564jW +qpdfHuym1dW6z617m+GzpAArgiTEszYkNoCpM67yWl1pjiIapoIBgVbMieMRun4pdcpQ11E7rivk +7r3hmwpLCWbF/L3k1R2gFAFyj5f0QpWdnC34Ayq89E/B9AlU5BDd9G+c8frFhoW0yieeDlQS6Abo +dOSAbb4eJE/rs0Nr5fvejZG/OHFi5opZ+HMMGEe5NG25ywroxe1QPOanxmvlgZARfHh1K8XKTiNz +rM9JZ+m0lhS+iPowpCBokrBpiZi4EvMspa3XxEA1+MJOj15KlXsUH3J4EoJITrgcOC1OnREeDxl1 +9sBoX21riSXPekwNnWciBXT7AxK2R3477ik3RYCKDIoNC1lywaUv2Scs2netSqiN5E7qdCuR5jM8 +uh+J78os7UhhRGqOtK1HOOKDLzXioCHtWlL6dvtUDdFQo2a1ST49sUURkrNv9MF5Wy4LANfyVbJN +KLYxiOfYB1SGFH/FBkc5M4nImTL9epsZwHSMWDTDJ/ezqSbwDxd9ALGDWx5TO1VLeGELM3rRPK4D +WfBtYuNubJial3a4bwrflRdB+pxvCkG3UkgKHUwct4CmZh5uEeJX2eOQd38+sfhkZYKFWVfUV4Rs +g8pIlEBzaqbP0zgJrsJZ6qm2nrGHeq2k8LR10FKXtUzo8t6AElXlbaa03XC5QxNWHa2dz7DT3iBj +WgtuCbxWj8dhoPC7LPaAzWC6PqjG5Thh/4GoVvsaBJk1niXktNHzklyiJBt/DFOO7Aezp7dvKD27 +4h/2d6CDOOs6UIMuKMEEDe/7u/3nPK3THT2dqGQnJcQAmHQqQyOWYZu1TCURe5x7Ydm9RoZ8jSFd +T3UNOR6G1pYY23y4iWSL3OAvyZ8ALsO4XysAEjVURI50WEP9LzQBJEY9E0hJ6NnqjKq2DOcNCygs ++TuIAoAdcCVSU3L6HXcpJLLc7vWL5RbbaOB0echQmXLbdnGQU78z3Cpq2PhWG2EmKQL9Lamsb3j1 +DHkx0rOEpC8lDADTbyS+cHch0l+qwAw/cnnfPSL9IZis4SA9YLuZ9P1hBB19hGQFXEgRv5Dl+tvy +coTn/micLRbVtDhX0YoYyvKPH4nNP44RT1hcgMprMRjRJfeP/aRHRlKw2yaS6FrAVBskIh1QGcXo +XGYILE0dxwDgsVmPAMaFGyfx8/LWh5lPMUKEd++SoJuG+Bf+0OU8rtrydxgB/9dcn41JNix/7tnC +PFzPsd+kN+3MyIR22uYGBeFHArT6ThrAAefr9wPgAl4n1M00UUnYRqL3CcArT52/OJjg0dkPTAMH +M30VHBEm2EAL7jX49k6W7vWnEU1naeyYzkV+v0I9mGDmwpnzCKDynjXJ5nkkauM04nnqvtePHDbE +KVShS3ufP+r5LRh94zrAV78TEB9DyXdMEpxABWHTkIu+N/c0+iB+z8RDurtAqrqG+3920QboeM0B +x0WxSKEuxFyvZ2l1rjFWbGmaKT/xUikPNz/q5ShPb48+oJNOIYu+dSeNXxJEZZ9EbLGnDAkOW5zF +GUGFr7VvyZxeIHH/xnenRf14g0/dp3H/ez59hcj0XY82+GdvU2Tg8A7s5CdzSCF2HaRF7eUjR8Hm +bwuYiPmpWkmVmiyuYcjTOzmZAp/sA0rTuWRr8dFf8XnDXhxjFUUya/NjvRzZknCGIkH4EWidjEQz +4nFNARA4T5yhPLBWzMSDyR4nhlCAq2QTDHb1IbchGi2/DguBvQknugN3jmFlhJ5zwRnx1ZjmpMS9 +uzY/pZ9u3gKw5zLd0Ba+PWx8QHKRQjl7ahj9A+RuEsrZjAhqjNSJum/48vrmtk0JnMGB8jVRXlwl +koQFmzWzaOxPTWAsI9SsZE8Y2flIEEU82+nDg0nVNmbZrryJqclgYP3D7dSbZdPrXKQs1Olr8wf2 +Y6teft4n/c8qYyiD6nXhqJyftwvp2DT9XvM11Bt81Kx2MeXrMySbZuXtbKZQUr9HHGCODmoYBIpV +kjjSci69LihiWsyIAQEol4j9KHan6sMptkyhQ9PzbIH2xrtMtr19X6ma3FPblBXhCdMErGQADKQW +6pc+VahesniUlKo6zoZQh2v7dskB130Ce5O9mK7wupnUPcf2EzHwBSZ0rSEXKhpsQ15DyLjKWTUf +/478Ltu5MZL11+GQgoJNq/jL43DKFuE4mujcvRwr/+YLspTrti9x1UYE1zlH5TGTaxJWmQ8ZRYC5 +h2yF4rTz6jpVdc4Xo9HlG5FrdE48N96CIDG7v1672+ZKRJOVtoOfSspHR0MZQCNrPi5LH2rltZal +QnEqJz78VkMm5bDL2GvCdx7wAl/EdFkGeVwbDP+A9vUVmAnVJebD+AIL8D3NMOm+7pcyYxgqi6lL +xmIQAUBuBjwLpAHvTaSfCuNnSse5RZfV3/177HOi61eZMXLV6I5ghcLFsAIYyeqI03TcYo/VqQVs +pDOIYzoZuOFbci4lxfLger8T84FOJD5TxQsSkm/TOVMC2Me8uaTqnmAQ+4sItDZEyYJRnzBt6eNY +Gg5XfYE2aCtFKpl8J0dQOPO95k0ZlkhBxkCYxL7lxbTzz0bah9cE6mP/WpnshuS2NY3I4IOMqr7u +Oh5zluZJcwLvQCOPO5c6GAERI88mqnr4/T3nEuPTYDjUqnoBuy9ZzlHe/uDIaGpWbcr/b0OyMHZu +X7SMc2dXJlbgNBD+d/qflmHaSux3xjsmHL8q7VOJO+pwQoH338jzvyGszX3VZTgKBSDaqsw/vaqL +Nqp6JBmuS3mBTIFA6uRqGcg/VCsFMSzpAG1xBWnOQQffNOX14TBKp7LBEl2lxC7ranezFjHPRyeL +wx/c24rdTKZ1mQ6IggyY1/qMojmVMm5xI6W14SCX1CVuCor2p6RCOwswdzDau9XIiIPek459ewbu +KnqFObaPigh+tF+CyV4XIaN9rCyKmstVmMSsjJvpBbNZ2U5yF3/l/oJlUJLA6N/AF7G56pJOzUDM +95bySqn3f+eLjrx5ePLJmpBAFM9jSMxLW8MRtgIqyX2eHYuXD02/U+OyA90zAwT4PRTQhZ2LddM/ +0D3ufJuytSOMNM3heSYoz6tu0cQF8wmQu0mor39fZIRIoAY0FTjadH/LpYRGy1ZOKnrnnzvOmFc1 +bshFPp2NaNzUZFog8mJ4PyOGH/cZAxsNf2z/QaShFO8veojgZdNlMEi+IT8lhZrndr2iQBiaAEvZ +du+V2npWrWy7oqMizPDUQ/LSgt03rXSsz2+b3uzTA1qR9cacZBZenngiOQT42Iib0KWJUxKPNm+b +lyW6BI2xorIzfdjH9/+Xu8X/h+0cYffrW9Zugh8wBtPwTpmG44fhj8Tg8Uxdud6tkE9Vrhf4225q +voiT6og0pssL7VHU6D15G2nO9K4FeS79WEGO5qLILtjPnPbMOVi6yQKsTf20UN3fCaRSEAKzZOOR +PkPIgiwWuB/jsieI3cNKul1SNBjKfPDXmklSbmhEd/TSiMTmp5G1d3CYF343PWbHvWSlVfvePd96 +c0e/KNf19iwRwm4rNhPuGqP86c/r0ZpxxJe1N1iTDYxAiv9DEFen4aYyH1+FVYyy++tH0tsrtDvP +2cgpt+/jqAmNizBpXysQ3Vel5qyHWH32MhCO+WMivewQYIK8RaX5TTQx6TER6epThCTCOJk3kNYv +mhGyMi6nBpGULO/MNVdFmXR8cA4McPBt/Q3BnMUsDp7B4IWRDCHAGif47W/0saNzl2t26QWzqEdU +czdvdhJYJSONP31ueQOZ/lJp0qKGS5LHacreQWAe5pewyCRf9lkTxf32x7XVIChfvjYvLwZ0lqFX +T55ZK/cNuIpkRp0VA0DNCVwwKR1AxzZdv4QHslUrdPi5Ml6fV6nm0bvVtguglb3eObFcCp4UFVgc +TW4wLoziQHjtLf3DIIJMm7KXrF9RTlVN83qY+Ida4MvGcD0k+8RPmIqoWlNWo8lBngNdTQvnjiBS +a+z3wCxlLUC7djO2oY1OS4320xGN4N2/zsg/+2MiyNo0B3dcd/W8lCgwxcZo10P36b9P348PHKp5 +0r8CNUCbH/a3aPTIDo+H8USg2UQ/E5EQ+wKp7CEgD7ad1zrUYCpECamHky1AaWwMlilY4wl4huy5 +Zu+b5Hx7Fss0uamP0fZrmWMlQdizqQpQUs620tTnDS3RQSWPAGyJRAtHO+83Sm39/HUy++9Qh0pO ++2HDfW5hbekcLIpqhS694/iwwCYjG+KLRSyy7RTljpEPBnKQVric6VX3xsR9S74bbj9AZhbKA+UJ +35aENCj33r1GeXmXVB2+vd0eFVgS6HJVuVAl76b0Pd/ZS0pIFy0G5kvhzwy0TtbGr9DW1qRxAeCG +Yud1cVvYwq/q82X2CjzNonLkEpbyk1/KawfCf+58Gl71yYP7Ey/3coIt2cSpGCuBhsHNzL6DHseb +VDjHORUw+Aj4BJ71sbBGJNAN3rFLc+oKXTzYHHMnhoYSwhoNd6rXYYu8orKbUBwp7Rngmw+yfqdQ +JRRxVWsic4evjZWokCO3ddUB3oQpgBFkXEZXIheProoz2bik9I/HI+jDL+eoAAYETVL1tsskR1Zg +q75NlvZde6mkBEyORxzGh9pDImvays71mMZDTw43I6iWG2/D0hvhw7z6PLHw3Ex1sAIEQ5LFhSXL +1ffi0YZJccoNMZYwvcFtchaSQ0tDH3sfxVi1PkmkBoC1wc7nItiNJkT9mGm7ZsWgsCFaz1Bao0t0 +tmkdr/b+mVuh85kULtk44LquC1nbLxiSKo1Uofkah+T+BT/0xEB5yGok+cqUnDuPbypwWr175E0e +65DkyssMC5sSh4PTm6tvpObfvsInWM8Rs/GDruKU+PtWpUE4JsKRxtMrZ/7XS3jDdpWTJyiW11w5 +eTS5dXCDumGf/eqcyixtKy44GCuKvqsZDhSoOVl1lAtUuh6YXRxDx6mRMEFf2p/71EgXcvA91yDQ +PfQVUKzTrohC6IUNMnPnVlsXPfvhHFj8DsyKKkK6nY37oBGksA/0Gh148x7BG4QIyMwMwx8iWAUa +1MAffsIHY5dvb75/NP4rDGbodmjq5+bZX30eKcZa6IVsImXESdJx8RN/bIl67T4fJs/HgID6BOoX +ARAPE72gUMCrghaM2LYjaDhASTV0UiC7f5/CTg0AVPUhVxdKkjPVSjbnjiHtx7OANGg9B8ac1e73 +F93BN4S/0Fa9Xq6AfdmeMR1HqJs3HNsaWzHYKli9X1ztmy38ES4qPufRk1xjSpRobXNvOwCgFJZ4 +bz940HNEKDwm2C5+Slmrfi61ZfWj3K3iUwVX0wEnsC5apZh75ovCXmQ4xIFI9alhmS4aVxrI/cdb +VewDYoEMjJII19F//t+lrgvWn9Z+0lijNsYZgRvj4F9D3aVvHRZGFGdPKqNGjbOYXMSw9BsJlyN7 +/xtdgoq7Tm4gIreg347/GNwE6EuPNE6CYmYOCJNjqM26dcsr20LIKmpsxEJGDC2wcX+yoi0tImP9 +zWVB6jfrbd/pptbIJkjRIrgrIOS7HeA/OjHwrxeUFG83I6wIiuH88tGaoht4WdFp9z5MDzrnDYFM +8jVpWbF48ioJChzCupxZmMn9UoZeH6rDJu+4MCvcXL3q9eV9dPqLQurFSy6zRuVaUm7EP6/XBuh3 +zPIQg3xpl1MGjRbuKTQnlyxAuk5yp9MgqoIq1677UxFsXvfiDFS/uNyrY5xQsYlyQ4hlUEdIG5Zj +rHSI0NClcEYiJaW2PNH0zEOB2NrY2CA+Oxw7HqYQaenPEKv20F7foUOBfsoklvmDnhpvzo0UCszM +yzZBE5VrVkX2KTAsOgas8X8vvDeoto4af93fA6mT6EUhZJ+8dq8f9TpRwwmVNtuWWVkyrDN8+7ZO +Bc7woHLzxvMi0jTXBZwdLhdLTWrT2PDMeNYib0uIhgAhnn8vRjp6Dh3XHUawiAhlpgnJjx/Xzxte +Klbc3U1pl26MPmvPxjr+E4FqywazlHNOkmR3VQIelG8OshbbG4jbPKdeSceXT9To7iJA6IwH4JBs +QaW72TZCzjDNaKX6QMx9vpenf+fmXwEmREQ7pxzbIMma7kINMu12SXVd3JFE3Zr6uU9ObVM1J67L +6VJ3De2A9zwgw48f2MwFu2c83xr0NMcdPGOpcuVcW/H3cWyMonfzkmhdFVXvE8Rf3NviBwfc6qfD +VmNYmT22T6ij8LIyszfCx+5brDH/a+GzoXAfpPcBCjoh3dFXKqEbn2M3dHCaU5AYrlyQMczNwQuM +IJ+N0LtkR7kQ6IYUO6rH6eHC8budh4XBAAWypJsMEJcgDpJEwhX36CWMA1TVVs4kJYvFLgsbwEk3 +xO9lI6Y7sMX6BUMXBReXPZgZDHOdlYq0UZ3ihL+Xaq2xsSEIBZtUVHtEVfNsusXbMJYQmvFZM249 +G9mj7H7WihGAq2OHFN4w5xPU4Rdx2LZErcnk2DJXtVhiiTVVolEdlKRxVrQcFkFH1kRBQc4Y+KIG +k4mZlNz8Wk3DUgzog5JqoAPVTh5/kuYt6JY7qlzZmSYoC6Vsz1ZYzxnrl9FJE9XASt8mxZoOes2b +8mQK0A66p2em5icJcNMQTq+vlnWaYh82V6mi7TFC7yhRX4JzlWiP1dwDenSsVheDOsyGwUhCA5Ks +IsnDlrWRvkMZaa92xCC2UT0ISM4riKLr3SRGVyP4izpSS1Z+Z8h0U7sojzZ5frVjNfcbfMxnGfuM +/Rbt8tyUd33NxuNBdLLdGghidfjFWRB+0yC4TTafedJBYVXvUVmst0YjDj+UirH6T93P/xkUfrrg +JokjVJBQL2Sc/AZqv3YiiJgcodPMuL2dBMF13cFoC0j0Dym1MVuJFljIQvodhKKxeWOgOee3DQGE +bewhwltbU5QD5tr7fV/Qbyi5Fwp7nBUWcBqBSQjwHe/S0HRBl2HqPvhF7o6GiZolwSVSNm/7xRtE +ke5YU2gwPcMcBOknwkLEx/goEBdI40cCyRDTqq1tnXcBIlB7B8rdCFX081Zld/idlXvf2ZXebWvA +TuWUGjuxcFd+EZOn+D2OI63v9aWD0eglFdC8TN7Ee8/SCryR9tk5Lwxbz7y5itFTeTulxqm4f9LR +U63cdhUBdEC7VW+GjFtGNWkrG8keUANLTfOi9kEvByB3yhFaJ5lS5V0JghC4Uh/JBVi2ZCnONRE/ +pf13KU7PczboLM8wyW8OPcywTzm62dnICPbpHSLn5syQE2JuAxG4xDeAJ4vF849E92mY3jMhsNX4 +VlKOdfwuG/k1bWB9rHsCPYbR5AHHPhRMdJbzZrRbawnJ2GU8dGu2wwFYrntpkG9oqNqtM7PVKCGJ +ogKl2LhqQaStFt0wq6cRWdabqtq+n4dyfpncaw8f0ZMb3roG3rbambZgWN8QW7vBTYf6yhtZa5GL +aAJPfqQqwQwOsLQvehfvaMcDEe7xULl7EJ+S5Pgz00KU787T6vWfqO3AX0KjvV+oUX8aS8+z9POb +YXn/P928GG19kDVL5uVVMyS/2nWbQPoVujH/R5njbDa9769avSEhJv6AZ7YTatBGIC4u3C7xAOQ7 +fiZYb5IYEJPs8zUkgfZXuOnjViS5foqpOoPEnQFcYWL4h+UDqWVlM0Bc3XmGpTVaz49CBE6vXxSa +WmN+xksEz7YWIwHlKbzzVjcSwkA85gKo6AUv/RdalRUr2rkQQxrcXoSR8qxLPgSbmVHfj95VlO4z +S95wtNZc2Ht10pXfPh2EE5j9HpEjCA693dVwM0ZRVdGT0OpWkjHz0xxl7SorzyaWT6DowOs5gbmA +DGc8pTI2NLujB5mgSxALfdJspYI9vtjtZHwGSMalojfYYg8PgygxdbmFtwS3Degdp415XnnchlV3 +S9xp4YqjPWoczjFbrnPOaDVAA8r60aO5NfPnzYkZcTiAjkzYYBDmJv8cgYSNXlJQmcUHSFvNRphb +FfsmeUr8uy6FgkNeLPD56Qu7YSLxS42TKmxUgUHy7I33jwS/QSzznJMPdnwwyvGm/6jgr8sBvkqo +lfLSC0ChQpKtsl9iw3uIciPuQ6WabCkVGt/cY4SyVvnhhB3Zo9vtDIWYw6maGdmNxQGq+7BLdYU5 +UIXpVAqfLYQi76MvARhMu2GGhMjJIbXh23n6iQhQRXQabkYfzE1mnTEXwd16/x0vm+65ZZeFPzuF +1uJngqjZwKvF4MUYX0XNl9RaIwGhgbdOoDwKTnY9Qqq7TofGZyDd5LwU3TmuXnbyUsAJaTYWF7uI +btDwxN2G8QzrwVZbcqwnpiQGDBPQJpQMC58JGbA4bUYQR/3AInl5hSdG7gGiVJb9aZvdFSXaEH1y ++CaAYYgpvCzCfSQKa9K0juYc8HRJxzJxj20MYMYe79clHFod0BJRVQgKZOtaXHrgwMOQ8qT4dvUm +gL1iwa3OaPhOJMD0MNkirmb84nOgAoMa7CSxgPLW24Ys3V8wIQKbxXHywHVs6aP/ouPCHFTluB1G +eFk0bTfLG5GtSmtzOQOotELuTJGxwZ8KFBJ7123B/nYNmcN8or/XK+vBl8Qff8zsiem0TibBDHTI +rwNIcum9L1Ln150blBHx8+b8hsTKSEJQi2ZbKdsI3xOmVqLgH902GGkCSrevBZ1iaT3x1BKiMose +eEdnOirOJV/HF5gDBU12NjUdWGKRcjs0kazY5ASbg0Ms1NfbknAGgSOyl/T56KhdaL6PmdVhXnCz +/6xaLtWrmCn7Qs8qvXNOa2mrUd/mwoXswVEWH3MsjbGvsEd4jyOYxryLYFYqEQKPQ29JbJQ/DKEB +6PgdTTrDbGUvebjNy9anubIMdcXc0e4dD8ZLVKN0/0wnivZ6GFmVLqLWmEi/u6mvov4eQ6Eryw3s +DPMgDXe48Gl2Z5of+dh8IXeBheKi8gO/0mIGniRE6tZJQXkdpWf2f1z3T2S7nasRbRxgNk2j8tib +mcg8sK5NdOv7kcrkJXNYF8CB92lo10Rr/Fm02Ushq3EgxDP0OEsZMyFhZP0xPOI1jIZNOrkeyqlH +FbTMrB4FIWqzR3/UCofgOiYmBigjZ55Rehugf//XoeN0hpTRk28gVXn+Li5vydQw/uWHeN73En3n +VTtHpX+5K+vC+dRB6LdTlV8YoDWpIoddaVykMv8veWttuKdeNYUekEALTBRJleUfw5r5TXWj0+XH +LsUmOsDG7MMiCHVRFIXIaZGVPHKGb5DHAFo3EwY+ofVyYbDXaWpIHmOSUyh/X2QDdpb0DuRgumZh +3w5seNAcN3OwpvWUE0UgKi+d3HrFt41RVELpBOtJadnl9bcXMMZmdvGJRr2BpnuTW95mlbgq+x8l +MNUWECw72tb++XSMmk6lH9bqVYM7bFRO0K52CPxh+2v1uaDP2puAIqjfaqmwm3CA+ACYMAXQ9FfG +frdRTzE7qRbcbZ/rKrN0e3u0Gag0eH9NGWTIUVJJvKdJsvYAAIB9OUqVHL6a6IoOFYm3cp5DKcJW +8bRXjIVzejnxB29HIdrUvLEp4/XTletE8dW1Q09b4HV9RC6/83hROhVwCfYtNtcxmiNYMQhD7hO+ +gdyVHaaUP5t1gvCp8qjZ3i6uI3q4QmkeGLqimus4Y6BtYu1kHc5OW0lViI9ULSQ1okPqCdQ6pzpm +NpYJpEJNboEg7JLcbui32Yq+5zH138UAE1BipHE9/GeUOS0FNL67gdzRzK/liFvvxEwQBIcwhzNQ +Rg7RUMF8UTtBMTlxJm4e/ooWI6dUBOZPPlm9cVjj9VqBGIawHZatIj3/0RPNjsnAEkSIxnaQrLeT +by2C8s8L8hLB5DX7v+N6aVUh/uIIcjy8h/XPudC4yku4iHjeciildUuGGWMa7ZbBPE+8LAvgFsg1 +FvY7k/xd5JmIwf/uZ4I6WA77i1WDyfPQC04c+/4/wbJQtv2H1SvsYcUHaNRDz8NoEfWF9VaJqjXb +0zGb96l53JzkXjs09XoFL8FsLiYeOZzRaYYSozbn/cPSX9gNLf9pkFHRRJUZCb9v6MA5r0LGLdit +sSYq8EVebcFuPyub9Yotn2KbBdJuaQpCTpMLK8FwOs65LXCK2da9omfIP8uR4dbpjq9AbLsyP32N +kzVgIw0ejZ2cvX9UHdeJvOulvGqKCLb2TrM2hhkfggln4LsKolRtXxYHZpEqOSmSYUcrfjCX5AWS +wLYLNL1E4yg2GocukpqB03ES7xtFimEhRHmehnnQT7eqc8mrGye+4+UqSsgZr8hFf0BkZexzoU2W +sn8TAUeTcfdqa3zwIhJTGu8P99sWxo6MmuMw9S9hlhFX4xv5/FyZYQGMvAWqw0s1JK2MvJR0mp6L +TCbYMm1JnlX6h8tBOtSJ9ViA7Bst4SjEp+jMySOlQaCBJTyjzvDll+eY9Wi4+PIDrBGAByu6IOxj +wXE0CoqDJjJYp8599b+hOA5BrSqVSQQbZATB0JzIT30j5uXlZKRKAo6lEAfwfHrrPBgs+elaFzru +RvafJNRPtwTOft43PTfsPicU4hJdkqPioYjAU3eGZwqZ7ejSKHATTTZAM1tim4CWHSqinB45KMuy +GuGDEoy99+JWyL/liPzmLEH5CcsNo8GsmhQpifd+Lw9X/z8tL1oPNMxDE2een02Ab2dwL1+5Iaga +fgUi7U9tOPiSiVc1ixTwU6xbISRc3ghbAwbRTXBjpzZKqwmSvMthzoJIoKsASeUYSIYpzWRuX6wc +nEncsL0WawV1MJhzkglnZmPCCJawwm4oVHYn/YwADPm+rFab1hTeZD05FKO15Q01pnAgGq6lURvw +VrPMll98vGFzJKJNkDjJq67Oxrf4I/yBizrjCKcehY+S4jPOoTdjPxK+PKXVPlKAHsAaOfzznZRl +T4qMPg3aGFc9y7sicb/PhJ8IIA8rWgqi/q78Gu/7bWE6quA0JC8LZBpYoO8eQDVEItPM2xezbbOQ ++LLLAFq7KAuPGckiM5xn/X2sC37r065cyDnzFEnZMJirJPS0wHXkQz8VUJlMNFxiGoUezpguKVQR +RUVintFoRYrbCd54qRBjBDLGSETNgyznMTj5KgXJ/v3i347lHjtb7fFj4YSC+kiOoYmrUdKfmn/7 +WQnM1X+uKP9ie6mmm8tOsArF/CwRPe3VjJ28N18AhcjeVRt5PQc5SmRRNfj5DnCWHpb4Kqk1t7gA +Qi5Hy8rZ/Euoh3KHvlojJv1biSu9Ii4qaHv2IuBxMR9x/2/lyBPsBxw8Qpd29K83nW8YS07CvrPT +YUi0QtLb6qCg43sliCDPyLQaJjRAISEIkW/sO92isRR0qw+Uy5W5MKpYCq+9EqWqdx9ZCw1IMaMQ +lFrRwDkbUXDko/cn3ry132Y0Bqq9GJM1A2nuwNgHsxXQGkl/0H+foq52KJnumeuXT/wqhLv9vT+S +wNKb2ORDerZkdvbaZQtNqSbbtrnDomlNc80oZYDyNHK/zCqhd+/JRthZ4MPHo/oGI4gvRI3sakvZ +GD9u+zXB5++EBRwKzfZrH72ynZN13tB/W7DwERo4OBi1HIMyry8fAaiqcwue3xA3Wecw9rORR/vT +vXT7S3gpjRdKWkX8eE631YKw/UUI/9virYtaOwdwIRWIEwqigyNO6PXClvufR5nuNrl0wlrlTTyh +TPGzTl0SIcNcmwajD/XMgeDWfiOjld3+YB3aZ3cNWx6MO4AXyOgI0O0pLCCOEWftGC/skd1qez6S +i6UUXnkAkONKU9ripIzLs+MgkvKBMmlNrohLpTg4odntVcx+++xxLIk72wkvto+VasfeXYtR+2co +M+o+oFb8CHar/VVljDkuYNFte5/gdM7XObXt8EihPS++cXvvGRoGfis5GHN1AJzFLtTgp6z5bu1X +3ieFaJ9Otsz+Q/w1MZp5eudXxVE53xC9XpBhHpioYa64+aWw0jj4kgz14xWAqXtdLeTnpu1k7CnW +p7krsxCfKs+lEch6ocTqtqCIvGeXv1DteY8nmPLiRqbkL17d6PdAwWp+cLNXH5GJLmBh4PERk1/F +hnj+1HW2S9IOjjRAD1adZgr5egmP+V6h0iyFV7XoU4WZNsY5BZtw6wAJwQHiN5QqSChA+LXQeYjO +iCLYikHzKLEF0bHikPvdBrDPVGFLybpqbmIAQfef1b7FDfXAlIBddgBFV5qAWdqitjWxjPXWZX7T +axQt+j1WIkqzAMfRYEncp/Z3ng1M85AGcE5tRTimu0Mq+rilbaGsJKtCuutccRo2chK0HOA8K1kS +no9pQqfYluzk5eeo8/tEsSbgzUzGWTgfiG1q1jqtpazCkBNXPdenPd6ECp3N6bROwNsBmK50Eazp +dQIVLVbUis3dK7o0y1l6pK0utYoYwcs7EfChg/DedDDzbP5IhQsSorAtEJF/gLu0b3R+lFjqGedL +AWhD4lzix2aQy1+UgH5WmGqpXWbiJfMmpix7uir+Zf01+DnBb1tfbspzqgq4dBQ5HMzmAqn6vIK4 +vlPK7DNkwZhy2Qtw+3NENA7oMcX5vHdjhRr7dJZfQCvQF09cYZ0E9OaNHDd3ki4ePnLSwJ6/apKi +bb3/R3lW/xM5j5M8xpqUlBj7ALzwT+s1R9r62gPS2CvdmjPeQp9sta/dvAsUPt9CVwFayX/Inris +B04BmN/6MyZl9VgcTpRuMCSHFHM9ufdK4o2Zs3Elz0iFuV27MA1w7cYOj120f7Ficwbu6WczN/Y7 +gTDpX+6pbSdbGtzw7zgwJWR47iySRJHlFY/xHhLmeTl9JHc6HleQUWMGAD8ZSOyME1tpDyfT5O0R +DxavfR6WradWzdCrg6leJwj78UGouXRLkIrzCabs/sOm7ZBpt1saaTOzxkBAs/f1JNzVqhcGhUZ4 +dxEA4BH9DVRjVD69cbFEn0CKwO2wGVOcWqG0vtjmOUXNoSuhb1mbGIcGD5vI5ejSTR4GfOAiNkxY +Oy4Sq73Hw1CF1Nh+eHl7fGrg6v3Ig4aQPviSQtZJXBTQDmIPb0GNVRVFlLnTVoa3NxJsb/zcy96l +tGSt4owBmE89ruzCnuhIieltcfHRJjcMsUFhc2Oeoz38Ll+Qv2nIXVoXH0c4j86gPuPZ93LKYkYK +VRK5RYm+aMa+24GUb23+GMasYLvQBKW8PxMLXHSJOHMIofcvHFMXI8z4xEdWajW7P20ZwJOJqbk8 +b0E3IDR0R/lrWlOagIiN/HDGAcj6/wAYye6tWTeiKcUTUFDRmg2fj1kxrsLHwk4nTDUPehpB92Ne +Xu8I9Kc4S2V4cOK0LDrnYKwaKoFmopYR/iOmSpyVVyeySUC2ggza273PRdhXSmyd3z71+Ef08jJ4 +lfpnT4qr1+0eNz9/tgA9Zd+armzuHBxGpuUMzSG3rHCpdcRjLAVLHpdSgtTT79bzHUEmJmydsLcF +1OxmaKCLzWxYFtDPN4JywgDSJIeRLXaw9iSg+A+miUTCKMwfQId4VyhvoXCkN+NDrrUqXb6V734L +Px3DF2emkeIxXMSlc+bikWkFIwQ2WhMwpzrX/gvetXzFJXSzTnOKe9MtTRUbq1hLF9fnEDiaXgy9 +8/dOoMhkfMlxqcvTggU33VdTfqDrYDT1qaKWq2SmNjG2MVgVig7ypT4CUbkFF/VzUo6i4ScZqXTp +ZRYFkdAkW1/rtPCh2M/rfJ55DRhSPvn9MusKh+TuCYZVkDeqrNyx4zdEcqcigk0wXnfFNLMFKjlr +ER9N75M4UkAATe6nrAKYu/VU+otvB0RIHvQICq5sOuN7eMn0TKpbkMf/qYwappXlnbIR2lSSjguV +PvJxx2lCfaFG+FdmOxVQboQjLemhidejzyCSKqg3A9O7ID9OxbDtvWj8BaEZV4cUY1e+OSA2UZ+i +JLsR1LO1wMLDniZlhakhL/zUJVkmkrrF9hQDqgSlCBxbybD/em2yfZlv/GCfdBcRPtFQ+wN/wxfA +/g3raT24OYsNwIyyMNjXVnOncc/LUWRz8k3SEPWU+SutdXr4RDM/+k8q6QjI3o2wYn5MFLKTVO99 +DnaZAGITe0K/HJfKSks7/LElf20X/aqe6TmDzYUkXbsjo2L+sksyMj+LcQo9W+Rf7SEHuaM7eDJM +dqsCpepAO7ZutPh9nx6M3zIJUMAbNff6VMPRSda8SYEUBYXM+M9kC86iUwD2er7nzBAj929WSUVG +T7yCzGshnVYA9I8EZbd8XFOimqvUcwBCvPE5j/nf0GAvVbraTqbSz5jAqkmCCfR9NvwnBAdnq8bn +868ra8zstVYFmp57nBw17NRp8aEK4Rv5El7uqpKc46nOSCI2rKxGeFcZMb2D7f3kHUY/ZUfoCXw0 +WzpXDOVb6LUmaOLY0DuVnajfsw9ZWiCxEI6nhGaZfnDvro/Bi1Y1qgoPVmagB3Mzm4w9asLObAPV +j5/k1pF/Ek1RMjMmKvLlfBDv+zEjqyW6KyOwJgH7CUxxLFlKYe+mrSf8/xwV6+gU/m2lj5Go9p4y +XVibT473kQHJgz6MFGppoFmGc4SdpmReiyrpWIO0JpjayH+7bogKUzx1wgShyXr9UvNdv3L5QvtB +EkT24LcGTnhGJCp1dNXzNaLo4YCWVFcAZkWkcc7tDHYk2t2f6+pIKP1Ss6l1FeoSsrGb+mg0Z+bZ +27XZZiRnW20UXPB0DG/xCRz8ZhgR0s592s28VpvgXF1DcPrh8fA7TvdTV91rIMP/GsHJm1NjDTt7 +Pcd6Q4B5tun5XNgIu1XCEMIwDnZ+Llc4J8zsevTQwAK1CRMiccPGF9RyMYhA3IkRbWGKux4P4ca0 +Sd3uCTvscOvmTL3GXxWDj0f53PBx1n5bKUf8ELYQ9ulG/bp4AmGRgdkDDkliRgXvaY2fyphUoi6m +W/H1io44UsbPmtxrAD4IVfk8aDjsqP1IpBSROWfY7aJ2eISQTw9ql6NmqQ9Akrw5JtadN53m4fE0 +RqG6qbmPrRPJaCbKs+OH7nAKwaAozOqEFbWoZSvwnIiI8YnxpzsdOaAFIeCQzctgro2TLFXL5pSN +mGjF697ToYUqCt/pnWnQm9RfwPZ/zyz7mKMhgHmgq5KPj33+eQTpe3/j5YIlq1S2XH/B/tjX1N1a +stCV15oFPZE0erNWuAljqJasfH6cnOch25KkAbYAQNwAfXHVYQgwvzb0ihRAUm703BLP83OEyAFf +ldeFVLiSqiifPtvZD3+PyjZTY8qDsGSUTgNrm+XkOddyngvH1i+AD+9fVVBZCy1xOGPc4UU1kReB +ovd/qE6jG86I5M7lzUWK+cDmDCk8/mGoNzAkRtdmGIMA7OcIku1ggR9ltu2RlD2wVWGQoyjRLDLU +wMo1E9xDvawrlnonqLfeAXvZmeTORqZWQtwPbjNjsZMvR/wwGyGwfzix0gP4g8dnCwTz7jQQil3z +gdoJGmxKeCKG3QdmOSrZ3Qsx3RaxUYSmhoioSoyXBG7PDEEQCBk8Nc/dbq3Xsagfs22wStcXIEVd +2Dyf1ax9/abM88GShARAMPIRoV3eHZKdMY3jW61U9HSDOsLLxUXTkcT301EW8ClNjApdT4HUxNeS +jpb1AtEumTadx83wgI1p9Nr8sDT9rIwUzNt0rY3D90i/wwdgjVb6JFTnyXiT2YekQP1jcm9pYl4/ +nMNr/gqBQJaLAJa/83lWorxHoKRltBu4ymG9RwWOTOGGFUZIpUusqgsaCOuBCfKsxV4/3DHMmHzp +7vzmuRvO39J4ogBK7OckUeYCXTyZcK5YPL+4Ax1blqhPZyAyQ/nWpPrD47cHIyjoZkuXSe0/p2oF +t3WOXf9FmlWljU3MIg6znDKGQhfJAau5ntfNbm6a7NVihGYC6d7w6JytbhD+hxf98/itJtNQD9c3 +Dhqt8qA5udnea4bn35jOgybSWV1L3PDrZ3+spb/rA2B/VOMqXke3cZNE9oDSQEtIT0IMv2adH5s1 +6kydIalVsoJs74jiN5QZHmAlbC45owKQkK3r01Zao9Xn6VlrTxjpmmTTI07k3PKJpA/u4aWuNwAg +5uLnAiFU6MkpSJitlW3LFQg3BWh1WmDcDKjOE19J4xTLSLTHzlBxIsMgAWI0y/ilL/S/QbCq0k5c +GLj9GcSr2dfyRJsfrzVIHUem6OS7JCn3m750srz+3wXsbcjzCrlcaCLJLkRHa3vjlRq1tFwg9tX3 +DJwJOi3luHmqYiVYdJdhy+qdU6QHUqz202PEPPEvRZDYQnxztsnBXx/jEoXYoTXZAhzg0CMVZyfe +7OsUpXjsoZKMkFljhFBkC3cZf7hBbp5IPv1sSQDxcBo6vfuKve6UsJQwEN7KxSVuTC9ZZvYESxi5 +KttINGMhtdbG5e92E0DXLpltHzqaB5C5ijETOmZvt/nvk7ftSD/lW09asz8aRhlBZ7AQq208QzeL +9WybMoNW5eaHi1UF2fi7V9p/pMxNZlxSiydqx+IvuuYJ6i0w91hlOJhMDvoR28cr4AO8SwyXnAM7 +l+3DbfABTRQ3/0F49xbrskCJORIUmyxdhkneXXmGoLukLtOwL7eplPzqw2DVmdB4n3rIQ3kBcsuC +BA0algfLJmMA/WFVb2H8aDv70Bh8B9Qhv4iQXCzIO3QW8M3H5PPETH+wmpvZlmaHOi4H16WTmJwY +Wmpe2sw8siNT2IG4faJCVrcoQunml2mJLt/2Xh2GDQvTv7VxxVtfGYyH1OrA1iY15QXkNRiH3xzL +UyA8GYYMc1h87f0Rp6uUfb9DD54R6Y2oqGi8+UdxTu4VjCr+bX9WllE7kUBlvW7ascwLALLEj/P1 +lqrQrtVAoHrMC93beVOTnlLfv+MaW/+AlPQNSTVoO4USSppLZK1JXPWdhv8hbtrGHVrmakOpaKkL +MweUHf0IAaoTK7f2GFevoFAv7bFeJJhlxvNHoIPx5cM44zKbYsl2myrMSmYiZSZaPi0pU2wFC+bZ +2EJIBu8lFpwi8bndS2YCAvzP/is9TSucPun4m4Dz93BNx0Gr30Cl5fMzETPYeFMXDLeqSxLkuBx0 +DZ2y2oH5++yJWHh0bn4hCARStrDcOSGA4xKv4DQS01WF5BsKUy5k97YCPOwE2f7sK8ReWjniiI0o +f77StdHXoe4O8swzJU0Klc5XoIe9mHh8XTghmHOp1tH6EnGWs/2mCPjYkzWLq5hKlKe4RbQbhbuy +JwmlUBfTmi+E0vtd2YJzUUtKJARa55A0VBzUI7f03SL1C/dFHw9bKQcP7VsgDiql2cL9luKLOoMY +p77W2th56FS301asO75/lGkIA/xDhSNj/gH69aPi3zRobpC6R9Z+TebtIrZTYhmPwJZbch67g4e1 +zwx+TF6AGz9jrgJQN4u7CfSpW+sMYfscTE+TiYAb74bs9KzBM6nVorN1KSzQArQ0w1P8wix3XZGi +SKfA44NLIFBd9TWkiO8muubxbdTZu0mL4Do0JaSUcdEm354TVUvSAvAOv2+kPv517eY/G8LypSpI +0um+wSQJNDG2pogqiKzx6brUOlNPsVl144LLwu7FiGkqpxeJDfVFNjmVWdwMTm1tMFqwVE8tXeN/ +bWPQxWW0yvPq4A417K1YN1P5Z/VngKr/f1P8q404LkdWTr2Ov9flwwSzKDugO2rfo1uLD+WQ8mXw +7HeGNcasg/E7g0IV4NstEV/MysvyWf8natZ2U4lORuUHarHnRdYnhDpEZqfHCNrGW+g6G213gE/x +uRbrkjX85rpJn7n79koAroYesQbQHLPBKT3fd2xh/rcs+9l/fBoegtzlkBu4MsGW+rAhkK8lBpf5 +vLlgKuT7s/SUs/75i49fq/LTNg/Z7Jr1rFxTDCENsz2Tty5kyWIfBj6PHEtdC2WP2jR7/37tSzAB +StST8Xbnyimd5rPCn4g0ZpgfY7LIu5LJ0z3Ji5bGGUc65zsieIMhSDz3vAErrqvvoaXWbfpw4t3I +LowIMzYgb6cFrQ/KydkUb8jUliW7pwjl/1aaxHRxJcDm5DCPowJuxxcohHk4idQPi61CSmmIZP6Z +QX2xg9yydIRC7UgvCiQmRUhGsbUCx4lb5FflZKHFcXFlPJinpStJLxsscU7gBgrYFw7JY9Kpjfpu +4Jx6TpL5jFAd1KJv3hGTwmjqfQdZ5mZ5shX7CeuFm2g8FiJigqj49aZJ69+oFW7/WjgVddtyK9Gr +GMs8SvQE53t53yCdqLdhqmIukhej8MfF/NcQgYotyJgex6GZX7fvXIB6B7XnaL56lUrRV69aVxRd +c0Mx3Q45ZQd5F/JJD+aTDZgDC7dLfJSAY8xOkkqTVc35/Knb0z2fjq+KU6zP7CP9xcnTt/D1xIR7 +M7D9VPoZlU1PawWKeo/r7nrU34QHXA6+AI5p4HbC3t4WC4PQQZsy7b5QinzmsVWKDFmNIukhpcf1 +hOcW0YO9xv9yNkV5bT+dChaz2tIzURIm7K4UiN49lHFKI4SsxAbdSBiYBXkbIfJWx0P9tEdsa6LU +vgop7bNhiwMmAgc0cLV0BbOSFTn5/oAxYIYlIye0wGre39sGrqhWre9FVkUqhL60JmycE79r5VQW +2pnvLmqyeEnHZwf9QE6i7qY3GV8VFa/CYMge33Vr79xKer0eKQJj3BjQEZeiFnZ1ZJ2teA94mzvd +jWn4zXr9GsIzP1yjPHeI7IdF8R2Uz2EOSbptQc9N/xD/nM6MKDUD6SbCLzA9BsL/cSG2Zf71fz6l +3EEpIHGWIszoGymFvOpMjKcFmy+jghEaPDKJN3xa+IBmAg8H/vgWyaLVh+KBdTGjonMsZqk0ZU0w +G703KKG7eTU8vG91L26/ukdGBo0Dy4q6Q47AEvRSgYqTISXza9HaqzEcNaWgRSaQN8LyQiAlf6Zx +UKf6PFWZKOW/sf8CJmraSUQBgGSbwgBnxsoOM/1zmutZnRA/vCrl04qi7q11sZsg5VVkNHwZXl7Z +aESr3n2jx8GSmKIKCO2oJwqWVOwviEsTdeQ2bVpevYH5NreJc5f29zdCg1+u6JGiBhek6QtKnCs5 +j9tYcEN3lKCi/c56ekfFFV9NJel6TGnnZ2fADk6zvU2UoaOeEMow7IF9ZgVRMcioMT3Bt/ZptEgZ +8vJRFxq6A6RFHl1fj032CZm4tEjugk6JpDo/2zD+Ptx3V5E/xMGv5SBtpgLzDf32UCDzls0eTb4s +4TsuDN7BEcVyCYc3PNf71PLhyHiC3NnFtTjiskAYiu5W1pIscer2vMR0t9ozGVjEiLZbPGEe9zBt +vWhj7dLifD80+bCxGGFVFokXDu8PbKmYbLXsuKqiRRM771sy2hRB3YaLkxQtQ8opX7lU/RDbVlsd +95pDBoDf3QQlSiNVC9gc82R8chksJLnltqbSsEvdznFRDylq9DTY30rVyBP7zB3sRAi1vjoSVoMS +RflcV8B+qXoiVfkPhWh0uZjVPg+Ji2mXVvKmWTcMh2AU6He93tnYf1mF57FstCc3E71YxFLIP30U +BsfxAoKSi42jVcIdKHTQCpD93JgKuIs2gairUgJeOREIq1doXoomZQfsCNhJm8mLaj5JgKKoMA7R +jQbpOytUbToXcZB9yn2rPnrK8o+dM/S12SSBReJlis+107VErGO4w67UF3fWxaVimqK7lB6zk4Fx +kw31iwTNBNx3WHp2DcTIYlnh2PptgRmboZgEb+wHel8EIpgBp8Gj9fGh42zIYS8q4fTv1j9KjVzU +Exi/Xkt0Tc2Ii/CALyA6VuINA2mLzsCU9ISaSwTb2me+/2iOqcbcey4miBW+SamPjDHs88kRIdwU +q/afB6BwSEzSF0t+yMzYAtWAgYH+x1rYlxloxiY16aTKaTQ/u7cEoWNXApdQ4tO2K5EI/3WVdJ1R +ScvtC1g7VUmcOZsqNKr6EJOmKeycacEDu4BlSC7ry7SxD9awesMefLA1L8+zfbnQ01yNYPtPzX9v +W/Pet3Xki6biS5NP0PG0sKvlb92U67sQID8H75JO9NNaKuNkNY0nx4BtZGyqatkCHM6x7Z2neoIJ +CnFhmzr9ULNvjYpzx2GpNV4ggYV3eIBHyagNaNrWLrc/NYkia1WugQlrT2/OJfTGLMZa0SKA4WBS +YImsNZ09gkyfPMIKlHFHi1MxxOojAAFCRTXCpKyDM3BwzMPj65herDIMmN6FnaaumiN6w2UkBNZL +vuQVg7y6AJauEohdh9zE3QOuz3lIDdFB2DJqv3GwI3g9CoccA+/TXa/HPD1MsIIlz9T+8pFCxHSq +S61JgntZMutedhMX9yRJtJT92rxHogyFutGnp+XSUPn5gPbsDV4iiM8GnAUz1cV6KDKnG/icCdtn +H93Aat2sK3ynO00ogPhK5uoPUNnCySn84DBtgKSzTEbt8pLxc6iq+oUc9tK4PrhPEwwOZLy6oIJC +gWUwUh3vMCDA9Gl9Mh8T7vxk14IyX+1o5OcSh7NYwKSKWCvnrMUyVjswsS1Z4NKRvNR8psakw/GS +SH1vCY6DQZkc+RNuD+EWvTKpYMylkc8NondjANYsh3GpB533wHoyUrok14DN4HpZm8wxVaL5PWBA +nWR9QyuJGDIcExnzWv/EHvOp0G3alAOFMmIkr7udJBd1HLNpw4AQNC8UFHdYAAOadC6PNotfvoJr +m3YWazclJbJL5RNByq1hB5cGxUoUPqoTBgCDfS1L/faHYMkJKR7zqx3K03aXTpfNs6Dz/IrTHErn +9Q/Vx2pzyR0wmFmQkCjWf3bpctIGIL+oLrfOpgws4HzqFEDTV2tozshJAMJk9hsyB6UFXeZ8uW2p +AnTBl31DqgvJkNqTVwlFiDK+5FSsIo7FdjdwlzVzexu3Wp7Vb2hdb6qrzBl5/NAAiGaubTMPq0a2 +Ly5bxC+4xQfra78cUAIKpl8ZVXE4bZxv1k8PPG3nO6YRP7EjDAx7AJxoIgZof9aQ1XdrGzajvfLf +pn4MAjWQvqJ+3lOHMkLxNmFiTqgbsnuzqdBmN+ZAFaxJqadM9bfCHNwxKQ+q2zr9jLYwYsf7eddi +M8fJj/HRvUbLjzso6+sMESVuFYX7ZU4Nc+jEwrB29Tlja9bwiAGAyc2cODDobksOHUM/wfym+n6f +6dX8Pc/Q7FLXvxEq+qcGXuwSqIMdFGNF3A68BZ693PAZZ+yxbOkETIf6O3jVwOThtOlE/WtdmSwI +2kON6DVanetU+LSy0++G1yV1zJ9lgNTTUdF/bL+gsfz14bmMMqj77Bj8rsjfzyZky+NH1Lwx/3Kf +ThMlbnjNKecS4EhY+D0s8q5oGEVMyE6AUjfmBf+f576AN3sGomi2iCCuFDs68zJcVWMf8xBQPnBC +jTXi64LpEOa5mKnFmglEcZ76b2ADnRHt5Dx7Juqsv0wt1i+dPpfxRnR5x/pe9FtU+XvppshkA0wQ +IgvhIc5STWPzHxbtX6p2H68uQRKT7CpkofPUrPIPsWdgaVUaOg6kV/xyWlXaj/udCHugF1AL9MQD +kl/34V2f7ErqEOLnTTD9Awauadkm8G6wyb7m7WYmccP5dhnTedERFWiKO4PCR/icb/slr1n/VyqL +v5KHMa8lr2afCuYMHKpwkP9f3K2XwibSi5QSqQeOJ/k0eBjuEtEPh0IgdpOnuWJHUDEH+z9AhPej +Hlo8EtqwwdITtAVQrrSQr/Ay5FSSYl6PnjpiujG86NW0r1zlM/w+u/9uJdRiCdsi1r5yWdyZscz9 +gvmUVjFgfL0WTzHmEA3UT00sLhTOy5X+OUpgp88raykjkfzzrcMrbnnKN+8/3redbvRryh1MQ203 +0bAPll5UzFniRaX5oCYlTNTsqOadj/IOarv+N9gBoUNLUMNw6bmoHSk3qtV87K6H2ISYUBbNOCNj +A3UZ9XIP0Cigrxvnz2un/QaRGfsJJtDrDwZmdf03dAugwwUAptiN87YC21oUkyVmp/wbPaoQKl2q +1VKwnuYAVcH2N93yLBWAeGq8YYE9eO9xx7Buci+iChTQav+CiKdGmZxzCmd2kVrhYX7q6aGox+vc +DL4AOK81WB9/mPb+za4JOc4ptBdnubqTXoIi54EHYCfhDwZ2jkVdYzVdMCEwawAm1rfvrU1C42IM +WE9Q4UpatilB9ATg9GNCl6OKxLn4BLy9fUCu5Ag9WEKKqCXs3T8aqXVUVgv7moEIMLA4fSviOcU5 +ePlOCxfZqLVn0JntVCrs5DQrOE6ThAk70+piBEufqbVkmicanA+6E2nL3AhXvBFxuwjRhya/zQ1V +r0wtxQmZS5SsZwnyFhu6AgA0Sg+/Td5SrFqkmxqF52nNRHD0yO3KuNhgi3kfJasTyfbQSkZkwQsX +JPKv2z1bffqh/MQBVHbM8L3uvKIyFt3MB47ZknbeyyBmiVoM5MuUcHmZinY86YIR8Hu6GCpv1opo +iYE167iS5ES/UP8rzTionwBvJ0YIbmdxLgoy+8b/6q9Y5+GkYbTVYCUnZ+uD/tqoJZ+/lN21VF+e +Cz7qzAidgVIBRbDbbk+lPw22jnE5Ug/QdLYEsw2C8fTOk8i0YuwW8Bp5tsgxb5agnA05F3H9klHl +kgRW2/8cr/t2FeTHDToRQZFUd6NT/DDykXkRV3PRyu6ouvSB1P7FWPRQ5HAF4I3UiwKtyQN23YDk +XWTieBhyWhQ7NkTmw/Eo8jWrCjA2P1aEjhAeLC/wWEaawGA9/7mLxd3Zv+G3Zm25sz1yKM4Sp0+C +7ZZUvj5amL7hb/eeEvv/sFINPTmuDB0NqJnHisJ56BY1mEK4jhXo/u315PrEDuWmcMq+dQ32/MxM +1o2WbJftKKPBOwGkDRmnWfVClOoyUd9YhBeO+tirzl7/zmTrXqgHFQDg/8V+NNGLKAlpBy7v3Hdm +tr6uokQZqm4gQrJnjekg/aLXv/yMQFYXA5raW7Xe/cYz/mZ9122ltmRC7jemWIvsdSQ3tCX8nUjb +szJyzD5ioTrRgHvQAYKSNYKCA051Hlnjxskc7OIv/0Ar7z+p97BmpVi38NGqaYkWZGX0sGob8Gce +D25X1mJ39KFjeCAcgGBShSdsuJ4VhUoeLahARAKIMSV3FUU13vIJ97q2bqRhA3Lyelc4pAfriahO +uotqa2QubV0teqjkymDXPhYstwBivJSPPJOaTCx2gEqDS+6YhPZYfd+c3QekKRfQDbN90O1uHgnM +EgWjzzG+5R9vksTTEpsuhIOdYExiudRfQACsVWQc/Ixp49MiuMx4IBTgYPkUTvq1VDNmW1tfiZ5E +CtUqZmgwJB71cZmyll+Hqrzr5gAAHP/YBjaDYP8wjoSTc9WovXb386BzH5V8lwdHxCKc74i2C3A4 +o/cBWnVcSKDmjPQK6+HLoOflRemvSagcACn7Cmg/8I6P6Aut2EyJagNOPPlQQk09ghHOF9RGQHD/ +GA5sC5zDdQuQ27PyL7ICh0fVquuiNq1e9EB79+0Qqu7Bnf2ejG6E0LYOiP19SfWact02G/L7eCSK +VzhPsaC789G89oPh7eGbLXOI5iYXW+rpFXtAUzu9uYZZ5T59ke17O9SBiMHfSXTQT4Img3IuMWlb +Xc15kNq3eJYuZ3uSubIQzmvfhjp7EIOgGLdXxrOc8zOwgk9sTboibPbchqDVsbyu7cOxjDSyCUPb +qi/r15KZOCawS05EK1Fk7aIdYLORF2w7U9q9X8UvAZ8Gk38R8IBMSx7fMEDWu5gqOYgg7BIWm4mM +VMDV2nhma2ppcO317ObKYROZOM9T9W6AQww5qDKF0CbP6EKzW0WB0Lknb6pUY3IO73glNV53Eg/e +5B8Gv9Gz70Wei1kkSUlE213JVGdalKQLH4aQhqpcG0PSZ4sGR/OA9zNCbFsYAt5BTWdm0AmlgGxw +vaxKGnkabDOM90HyQs0nDPmHjvEtN+oNvnjKIbfyGGPNUfVpfrlDr/GqMgnd4UcR0x5KcBXnE9ck +Qdm7+nKcGu/dl2V9TNnhdAicVthNindgY0z8CB3HMekirLy1DefloymSbmiH1alCt1pGDYsQMQ3T +NhIuj3CE+3DbtkGrKJnBDM2OauPV8B5V8juYZCWE81gvlg0mOUoP6x9kSjDfb4yD2HYgj0VMlWWg +tMyZU9NBe1xorFraZlSi7W1Lh/Y20dBWTSNZPw6SBYkt37IziumhV+nVN1hXVMjhjSA1dZqYf8yq +GEY1NQyPAAMN+r4TKZigy6S+x14PBI7tb82DIABoGj0hyLS+kHeG6dmrU6woxpFL5CnCUk3luwbM +eF9YHwe+vAAwKWE5JKSDJ/4f4dKaqnl5O0GPkD1SmVH2o1HGq3uIP6CtlQdEwJ5CecQqZHLg6L5N +cBzXhx/du+JQWklduIbcJavNRI6SqThGVGoQ02TBO3O+/KSP5QFNZPQ0csGYGRjq/xrkpKBgyK94 +z652cK7bZJG9Po/I8fswb5rm5ogNDlytRH3YKKNQnQITsN3AHtyXve7EZGTJUqZiVuWu5Wg2RHJY +ooSe9cUbveVcHO7dV351HYJwGNO0ZTJyqMgqFRONt1x+rMQbDgSZ1V9966gEKjxrgmHsdlir+dhL +eZl1BYExZi9G+0ty0p2YtZ7Otfqmtoxh0ljorsm7wP5eTM/Vi9UPM4qKKAaRqEO8bAXMfqgLzn0t +SF/eVaegzcjt1FwZI+yK2xmIonsqr5/DpmS4YiWAvplFf9pVJBYdAkFadz0l8EmcWDdDt8yaOZTG +QFBHirZzW+9Ud+72gI8eLGS6uAbMyWyCXJLJH2nk3jIQda1+fEI2sE7Ck8CkLE1bgChxGazybu71 +D0FArMz2BBmZOMKi0nL5UgeE7hKZ7BD3hRVGPnUDFCqjT+2m7/BmDr7YM36inE2b9tEqy6mcf783 +KEiIUsec8Zsco604sQAAxcZIJlvfzOyg2ERl4HNO1yO6lqs4eoKXN+WfaRkDiwaAB1dnK13s/puV +uKS8ZS3Ja9b3uD9pB3Ek4RyDYrMDwOjh5YwyXrQViwJYCwPcnLl9hJ4OGMRtvELFZSJLpjTSl8W7 +I7QenMTJbuEKBfrxKBNzECw7F5vjyyMgs99vbZ00L0+dfNMNrq4/5cfm6RVEGgY0QQmj81zCIJ+p +01U9joijNJ04Sh+axGu/AOcngZoShxu7Ii5ePiG7P56VSYOB/qKO3Ppn3GnE/fUj8K/VHZFAXoJE +izotIvWzfcr5j37UdwHMH+udnn8hZc69JOShWvuWYMPg1PPcF/Jl71peP40RDhDumRlLT+EQajXB +dW1wI/sbQnRhDa43p/X+eWRThzfapy2XrH8V+FHHHoZfxhOUG2okMrK0bwe+61ISxpHdGs5/tU2D +o2yI/jVBkrKhEGVGfCiql/XMJEPubgn5soSwXBBMB8tiixwJyDDU83IrpgAbfyuqp6gcRndWRluQ +SIR4qdw92ifsQZbECsPVNbWqyqpF4WJT7kMI2H4YjIcH7zQimbO57u2GTjwK4Lbh4uuNNcCDy7/N +tRRDrLqy3qFR8FjfOXXw/OJDP7AMcui6AdbhjDaPuvxN0ff20ZGouRIBCMbVupvesOmg9K1VLESI +WjBbbSyWx+sMGBl1W77cX5h4RtZqKY/igbGLHUulE5t7YWa6oBsjM8flE8X/Zc+2069voZp7eVoS +1N0k+y4Kch4hWzkHWnbxYaGU6f2jGCI4YUpybO+NN51PXe7OL/Sssz6sNnmDpNI8+m23VhGlhFjM +UjNtAeS/A90Frk2kcgOeoyh5ix4i6NOHq1LdBjU5ti8806pIBcnJ6TrBfM2XpmGfz1v1cfMCMUQc +rUqEdVSWXH153JwNjTcMkpVbEcdfogLYbtMdK8ZTpjaQffLW+hVlzHZAL/Z1Vpdc5uTq1t7Blm/g +aLWTzZORS9o6A8039+s/n2rTR5zP1VF5912A2ckxy6VZKz5oW3tXB894h9R34kQVDeQgSW93zKy+ +V17KE4QYD+aXtdbu3zcz/CvPRK0Rv9v0MLdLylVuhFX0leumePSHAAVSsKF8m6eP5eUbiIQzBkpj +jyOzxEvwAk0YSdixG3jeATiJ47KPAdkkEz7jEdEd1bidcX02VRyE09Gdm9hLULjE8rcfPz9oYNny +5IykQSISLWFwkXAZp3y1BpjBPsq7bZwVlvZPCtsOsvwV3HYMUrnIOXEnX1Cpog4lrNXKgqtKgoe5 +inLM/0aCLz3O8JOn6Pq7Z2W/hRrju099HNlKj1agc+vkLwSogVPx/6OIETNRqEmEVln6/c5OAFt/ +m7Z24+/ZhrQ8p6BtbLzbnhyLnRg8e384p5j+/yVO60e5BzwL2nZ6WJBRUY8OaPX2GSuGU3S8ESPq +2rr+mXHplRNf45WN9O2GQxGPd++IbxXVhFOYMSUf4ozD4thSPzp330qQhchzQsUmbySMJxGnzUUI +03ianIf9l8i9VhHBbSa9iHpgpxRgw21bnoyvqK2T6h0a5mBSidkoLtc1krr6iDin557bC4mBMtrD +UhGRjqc93rhQuIaMDhKBQfa4PwTTDYPBLWw2e6UlHdvlk/iC16WtSXFwRDO8sUEgnmBR2V2DAqEn +tt/+nRIQUjcDyEkxnccXZjJeKFK4UK8XqDibacdOZBlHCvURDyLgrA1l+tahKPw8ubOsqn3TVg0+ +Ry4KCYbKkvej6LnGVTWlTW3LiuvyUG9Rz5CTbTeWypvl+KE0J04hA2vrqJryybWVCP0xLpyzaVQw +ZC1ops40wOhY3Kt4a4tbI0Dq/ohhxkLJGyK5AziS+n7GKn23qLOktPxx1yjSQn2jE3/LX1kpKZdJ +wMo0BspOqKA2DncS9cgo8+7VxebrdxU/p9Vu9x4PbPlV+bUFaaWGyBtlUJBa5n9MTU4aDaGGs4md +AGnJiuzEaeL1fSRvj+q7Th8ae6VZVYHbYd4Go/XASK9z3EIBrLWxBUQeiz0EaTuB/rmZLN0NNFbg ++5PuT8pgxehG3JrFGLP+CAGLHax7My7MkXtiiloAkrIKpqdd407pRYKScwp7fp/irjZhsD8hKiHq +lMDMXPlVsKHfWGrWrHtLKMrzJTCMf0Be6dUWLMCgkS1hgKgXbqUgalhHiXroyIqVYs98xQWUElsH +3zpoyPBxDqWNoGFpfwaHDp+C8YKethkoyK4bEahqFqIIRDPtVkB5pPlyUhU9Wf2O1ZTjE+9XgPpN +aNrJ6DHBE6SCR5LCYsKcdjjM2zNK9Mp7IyG9UlPlyvCRq8f5F2Em5au58YA+dfzpr7IQnPijAXDF +MGIZLR1idM8+yAvGNMxzTkM96Ugxg1UBIANtDNeDytph823CYzgQKwyNnnxQMOxSyMFRVGCmbEA9 ++TPyZCV8zPMK9xt2PBJG27bPVMAMyYcV7ozUJSIjG+qDJX4Gr6dmrru1XX6oDcGB20Iac9CySxZF +/zPPsRhajKLPEAb9zvX9KzrHVUU4CY/1NUBksJkMuZf2nTF/FgiEFNHUhANKst48GEMJp0LooUtd +ANQMiW6EULcQjGeAmnkDvmHo4EjDfntEJZcVuW3olUQW6yFrbuprPmMf+QA13RpL5XKAA62Vb/ni +Khdszt2sRgpPz0bANpesz9MCro7ShPK+yfZjD/LvvzIwAn/IabIsNnH43sPVutEQep5v4Sglqcm7 +sl3bHIw20xn9QWQyZvj/UmWVpEKU/Pa4Kq56WnAoenX5h4oLftKCB/JvfSwReHMorOLsfoNr2EFJ +3p8PEEoW1o2baAABivR75b1ZmncBkewRCpOiPdKN1fztYUGd7eJHqdiSYPcxLkrOY+pO4tnwWktd +zUDDSOxrC/speJx03jRj+g4sfTKl1GN6kmpvr5Ia2XyrQyjDUt5EGohLrFqg0h2tEOO3OSkgnJk2 +2TNMh2VzfnsadAK3plS4Pe4vBF9CmAjxlPBcpxEjzXKgQph1G1mWUQLAly3A404IV3/dBHYH/dmA +SdRNQbV/fYuy6yjSzqsyzFXoYTgYI1/U5h20Z+wQjRno6GK8AbVJDwXyzuVPcRgHWEvg7R1kR0Q/ +HxzNTlBCgEd8yihL82F0BDerWMZ4k7GER3n59iTry87pmLAZp5tdoNJtWUl/dYYlGtrAWvvuxIey +ZB2JzP4n592skRQBipWjYPWwHRfHAQGTotlS8mXZoli20cdh2aHV4QL4lhndVcdNyx8h931rWQnH +iuJSdazA1FEIY1gFXzpJs1/SpoX9MBoCs0G9em8nRQ+Min83hMdrIOImxXtr4FU+UhCX9NLDXm0W +RbGwsHeSDM2V1mdy5QTd7R8rNfo/2H6kBGxNS1X/8lcfj3f2VWxjI6S74Xz35HYrMsnhmgwm3LSP +9bWAd8kJ83TYjmBTa8krOb0AwyNkd4ZdM72zjC/AfE5oc2HGNg7bpIZpVt2NaceSsa2Y5MFIcOkh +AFOgiIXpeaLy53T97ct43WvKZ5rIYtfNSq9WKHK88sIONemw4lZc+30e2lKmWsEn0hzEYp17GTDK +KPppcaV6Qnp/lGwaWh+0tWEkAokb+ooGjyzlRO9dQ12VQSvctAF5JF2nn/+bL9dVAHGiI1ow2cBw +QD3vmkJLd07CNOyYx1MAxMyUdtU41zvxqlf6Hibc9Jux7XkXnHRbn6RZaTyrSb0d7hma3ZaiBn+r +c/EZXSc0yh18mVqYlv9G51vktw9iv2Kkio3/XipDlpD5wEkdkdyWMejq9OICl46ja2kyG1Y8ceje +4GkfUqv4JLarqYBPvd03PskTLgDnkwq1D4g3X/MnQIANbE8AXLpMcep5W9qmQIBKgAokSFQUtFGs +I1XSxj9iPWrysgTFzITwZ/L9SDouZ1g8EtgiXh7F2iTELoEqtRCA7yzk1R5mXjKhfbZHThK/Fn6g +TT2AOfeMiQ0cQQ1ROBbBBjxnorkniPrXL2t/bAueeDEpHpJmPy+5hqLD7me70l/Y7BAF4zER0YZS +7VTYrM0kguzVhvGBNjCPacORDWmNzdsY1S/h8vKPJ7IP1+vIl2+utQOZYDspzWPTUP2anrT9XGYA +Ni98SgpQDggCtqGyflZ1m25TdbiQU6zHnROhDUFm5yT7Ob4xMqs8uDCYxbwlEP4TkafO+SQLqj8+ +2n/t6ubRBMNbroalDOFjXxeT6SsOYjkCfAOybINEYpnqA92lN2HQD/m2WSz47HHbBW71O1qLgqYm +VJ2OmEjKS3LIs93d3Hhrt5jyxrxN9VUlG60XdCmfo+jS+bP0GYhs2r1sCBi66lhL765I9Q+o5oWU +tN/FIQPwDYQ9eCt6lql2n/I88iFG3NqOR7fyEsx9jcvT7erc4yxyTyUPtN4htmugo3U0OvIx9Lc+ +w3JIH7Dsk6sELinWYaVkB1sw3bt8hwZd2nqQtmO/f2C2A0CNWTddd6svz74GALUPWsgjiOtQWhu3 +G+sVSnNgFztF4rw79V812G3W2NrzYMLpObSo6qM3/b/F70KrimP7+2bmeig7biUsnzfQ85/VFEP+ +9br1CW4ofk82IltYCKb9kQ/pl1wKZkl9/AjhAUgXrPa0cH1aG0a7vZHLU8BLrFT3nbwHNLVFSFHh +tER6yYDOAbFVwNS1CieQOWGAOuDXIDOCEC8RnYfp7r8gTyqtVj9oLe7sfWBDALvGEOeo0qFugucE +gaSIETonrLjWHT4AULd6caUrpzRGDEzY8co99PqL3iWCTQSxMoLz90VVO3PNlUcg+i8PAX/K4w2u +XqGaBwryOERIcaBm8C3h0z7T5a6yJJjGc16d8bTr1OwVAloU1EAcpk7yTR4LYI6stnUDw++V21ns +US7PYkD8SgwO3J3PxH3D7s20NIkp8npkQbeHcsfeAAm1J3uBieqZQot11D8F4Ep9krzlK0Wt7yY+ +MezmQz4jqSsG8Rwh+fiaL4XEXwGlmJ0MaZUpeuAOqI8BoiFEO4ZLepFEgJ/Te4wAAcYnpywRHcMu +6BTXbOZyHODf520rCTllYoBSgIM9wkXcat+Xmatszu1NGws9qwd6nFinoWAkemUikiFEfkhEh0xs +OIUJa5S1eoqXXBbQoman1ka5VZ2Y9CpmPxYFrZk2kxYja/1CFbPHBobb6BdEAxpZTO9BXJdCOJ0M +NDWnctHWRk7ItYQoGk/J3yht4JcFNvHURcJSw70kjxDasiL9Y4mXQY61zKQfANj9MkeU16k+btZW +AlN9Fh2ckWyt+G0tzW/nAUjQyLCCd8urdSDZz635Rm0pikOvwfLwm6YPdH8FMJyliyseRRDB+e3J +fE91Q6U7KYNaZeN6b8LKVcolbiit/XurrPnTWqB89Ie5xhBCcBejF/Ke4OYw3yM2PEB9+QYkQjXq +SJE4UBwVxpK9iGIky63zcMU+hAMB0ai8jw4ZujC24ShC6XudsApf81XFwaSUiu81W/QPmozCw8fc +TPq8c86gB56UwNchB5cNoVW38GJF3IA+NBXragV8rmjZMQ/BonHmH2hbzwrW6TPwcemtDSf5VYrZ +Cn05ryAVOrrsjxmSiy9o+EM2UTDqI2ARWSZopJ0Db1q3QGjhVdp3jVApW3oiLJ7KYVC3PP0q4uKN +JPS86j1tQVETb06mFNLcYaNeCiKCb21YjXqexQH8DYhtBOrP4+XTmsjcRTlmIN6gt5m5cOpne7/n +kYdu0hpxzCtZa7NOLcGHVwJR7i8NpjdaKKGwT56GfWVh2PzSGQrKHEDM5O7TtjtXhhkl3Uv/fdhG +hSXRQ+9UyPgyyqKH0Tzw37sowGxAodvoSYCLRfWxl6XWLvIZb8gIawVAfkaSme4jyqNMniiEwpaA +MupzAb3aeE3oqfLwjDDlbBCIF9l+FKk0NN0PnFTuB60RFJpo+SwRHKpz4L7Wjyz71CMyed0u38un +qGO/oXnB2tfx92Fx3fa21vrFjE3PD7CyuGj7w9EHTtQg+rr4GhZMiS8oAym07zZ2UmA+hb1cQoc1 +UA6eXCsyFP238TxYJQajqnPwsUQxUnL/m7QmFWt8P06HxshPHAz9XH8C6K6DO5D3/ZqDj/cHgNDB +IzzCDHhXWin4mVC/HlfMso+tY8hjIdIQGWAi8Au+VMpj9ZPSOE1ZWaOugJwouhQmq1L1HPAFdYZo +pPtHvN3pLzU5pe6wMANxIbjAxM2L2YufL17+F6+/2ONVEOipkop+TIfmKNfvjJzbVOcXC/Xk4jQP +oYiwNtgXE3EEF91aW0w1eMWhquUGeoqhdT8i4GJwr6cWJ/7NyYeh9BPd+oKvB6+bknB0TGtp7q50 +Ibl6Nb7/PChp9eMjYE11wF5GrpC+bN2ia0TXfsyGeVuH5OJZI/nwWBDPo14S3luaBHlPrVlA4v7f +immXueZG03FeCBV+j1hDxACsI3d4YGkEnH0WW6XdaQ8JrC3yBsgK+FtAe3z675JJmTlDDgGdqAVx +md0Ua54pqr/ykWhQll8MRkpKTRSJsLx2+GRQjxZKTR0Z5J2zUaUEAzpR+HOu3aJ1Efuu5eGt8+Eq +gMwUE/+9SS2CotwaaKutMeFkPKunuOUb1+rX0sAlwZ5EDGsCsXr3G82Dnax/ksmkDT3qZXygdd52 +O/Z7EYxqJ9qEbTvZ8PsknwyBCoExeM4PSb1bvb1eu5EhjQjfYE1QF46SD5M6fQiPGz8uUBxUyCiC +oEj+9rzLY7J1t75thGFeWzRlNFKrfXSSQWOCSSSC82yQzHWmbva5mhQ8bMN7oOKxCeU3nNzcJtub +seVdodhf1CsjwdwLsg1V6NYcCk/jhXy2fLezJmy7j67M1Vd6IG9tlW9BN4YQLZBmRQgzxT8EC82L +46h7Dx0EitXGqJ41ExQ8Hei5IYCuB2jxZuY9v4SOqpsPx9SR93nUwUfuUoCAcauhLx8UEuvNqEuY +TS00/RWmqJ3/5gvqWM8nnRyKh5Z5gbIP/NBehUYSVVbFCkZRCkfb19177rkRxASyXOz72enrar7P +QPq/Xzd0lYAl/47t9GlZS4JagXF5qoIdUz/24vyoAJUGvVOlctM0paip3K3C+ALoylz3CSY7mTNn +xUoK6ulAxZJw3yp1lmSQr/6VBUTNhRK5tnmNheE3QVxcjNjbINFeKZj/ZD6tLY1Y3arTa9gtFJxB +Qft1N+2c1YNSFNRv7T2KNxOkBo8DavuCAmxldxBya+uJ0JGuMysglh7dWFZ/9yHSTvf7t0XeINxV +vnlTDwyLMeKqlWwmmfdPrZ46/ZGzqK/dVLACEG5P68JA1UzEOaAPYeK+Q5gul6SHL7+TBGqJnjm2 +TJP0bSXp+5GhXPsCQrRtLCQ8//Yf3jQ4f2Nsb3muUBO3p9WasM4GrQcQ5mTiPacyX3bjBidZblpf +szFnrwyZJv8UuZzv0oXbpeAUyW7EH1iuTXWKosW+nI3lQuICSq8FrTneK9IWPNSY6ZruXsg/JVv9 +7xJFfHB6Xd1ftUoboBpN9fCe1X5Pyy9Cg0JDAAXqJzzXCOAnmEZfNehNw4o+cKChw5GsZMPGeKzv +HkdYePZLL8SdU0lF4OBBo5QlobQJ5C9ZDBXqbshW4X1MdXh9b7rTNB2yzzW2XasvUQ9/tTb6+zI6 +1wlaSGgDQb0EuhTreBSeTCbNUSliy41/3/wqG6kvc8HV47tSDgZuJOYogm+VaamI8/g+0auJnqYo +x3TxO/d2O2qk8okHUHu8JM1PCfMfqMMGVcIlTfeFDuexYKpmO5febvEerRO7DXs1nrgxdYhHYu2M +IkcY31T70fGPmbcChL1JMhcE/L/a5VXI2hZ82fRe4p6YR2tgjluarGwRgQskzzctJyK5PISD6prl +w3EWDsat3GzDcmny5y/vc9Ny1LsRT5C6SyqwaCAlWqRK6yUvT9iOKaeKz44GsWXdXpZ7lx+I0uDz +es8tORJ15svw4F+/8Eg1NAzXarjFrD7IBRMFc/hnOd6vOJ4jbVvP3LnI7XRTquvGvHThWOQvYbjO +NkNLN3/gsW6D6/RbSxj2vG7j6LsP8xejjWYl2H8hhHW/A1Ip6SBf+GAPdC+evZg3t6WMJgQ0wLt2 +4KWbTM1W9OIE8yVxbkZAEKEBGq/f240s/8TffZ1zOBQO1xmmOXvDT/ZUwVC2lIeA/CZhkeszV+KF +EG+5Gh0kvimTR9MdOKufH67Gi3QxK37/SBOB7O1Pc7y3RzrBUxWXnus748vOFVm2sLYR1w9uA6Ut +KTvqy4lAW2uQuJ5N2GdzzNfzot0luphprAlZtW5/5UAo2skkQeeTty55iGqf+54HFpgeBpaKWC56 +hkjr8Dffi/uX08ghYnsgO4WpEdyF5rN4CNxCve9EH286yvvGtrH2ZaZqST0NK6+zSm67YUumLsBf +S+jZRSWLflw6b1PrGBMQiUeQT0pkajGtp9slycQaPPGqTYv0YMdj3yyKz6MLdrAedKmuDUWhgG6a +hm+LEym6jSlA0Giu0kHOOH/ZP9A6kD57j7wW3d0WWM0wCt/9DIDqQQpA70J1FvxuK75O5aV9K/Ai +lsyxLaSCpm1S6OpIA/yIPvY2hL8FUkd+nqfFCrIYvCzvoYTWCJzxCyaS7ffohgFgWN4N1Yab1o1u +Hcuzi9U7Eq4V7Bz+Vp4SUQMlptTMk8B2wqh1c7B/QYMuNz2QwrDlYUTBSwgoEpbu4XRiBPtewztN +nkVbJP1soTyiFTr70kTEYMuP4h0QzhI7Uk/adgaOYuEgkM7rI5ylceOlkEuxvQu3P4ZVowHrHvVy +T87JoCrtaNPWndxAqCVGt24bGyqvWDozuKDyOcsk7Cp+f6HVlD7jrfwEku09FDO6V70jdhFh9Aoe +eyESLPLPJSTCRyTMi3wTHptIdpGXpQsaE+59ZO1yX+ZWyavSet6Vd8t1z3YfjqsOH4qtbHkNvBfs +ZcoAjJSH0ugbzYwKt/LYxpLEwNUxknj0rFcYsWlHJ7d63kne5x8T+qYIt5x3BlBwQmVxcUpI/bTy +ij5lfbJM0o1c3w/N9Ggde+3xOb6bpL3+20aLUUa7QAJKg23uEBjph0BR2qQ2MqL1GclPH7NUVV4g +vkEnCseiMNPu0eCkWu4FC/nhsDzMEf9dkRcKrG3iv1WerWKeiOp969UrDTy5AQP3fAPOCWW0LfTw +fgkzZM0uzNQqccXTQpZjDLB3INMophTEvp4BTKakhwsxTZgObvr1KHqTsWtbUYXh50U+zIpatCsN +y/WJyGIFmtxDgh3/kkgYLthO4ULxRZl50LRMHiSzyl18eBwO4/sQjdMiTFZLOaai/LqX/SN9l/CK +f6PcZLJQnO0RnK+IhHqrhfsYvSs1gKVUX1juljEsAEDlnrrTbMh2UngI0UD2mZZjAPtCvlCyyi0b +b0yFzZ+HoyEFhyu3PHeTt/mxtCAdQn/ZeJ6Wq/qCCoBYArGNWLR8kmnofm45H6rqvHDZ/HONnCv4 +CDARlxe7klNTXew5vRkaSvgpD0fLWX3d30sJmdgOkLk66JmY21VDO17wc/jPHZh9i92nLc1B8B59 +4t3SSqUF51U8qhXtpeis5DBNcap0sdvJOT4cb8YdxZzIADJF36tNkbGJtzVKJihK4nYD1+dIB2pO +klXRILZ/2MhFcMUKXTq0JekqLWDshqpsubG0yJ4ighUAVucGHcE+ReAw5Qs1WqsOrG9CIF3FLZRb +DiTRDsvO45v0DflGdClK6ClaAchuIKaIUcugcMMC/m2xw4+sKWOsljUJoa4IA/tefOqtnpu4UhwI +b6TeNvG/Hlr0oXwiXCusgHa+ah7VZz3tL8nhJ30fTQQOhzPGmtmR/4MkCL775qBbsPXBx1YOOiIQ +pKp5wA5xDoipO3V7zIffeg8EE6rVJzukKD/X0IUfJ2ilyRDBGgwEMppwvqSizBGzbIV9bb+vgMWm +XEn1E2vEiF/dwq1pleqsl/kdSbaqqqAJvRWU1ktGFCkaN5jkwOkgnQGhSLPazZXexOqkBGBm25Dq +Skfx/iZiu6pII15q8/cggU6hHAuSzRA9z4L7Qc9N2nxs+PhwPKkAjuI7i997hV1Bh7Y96iTJfcZm +nhEyHFSnEQPHnou+WXak0ZghPFzULLbeYTTlbldI4CnRRScm0imz9tfAtA7U3w0EgNBsTeT8JKn8 +xRQ25HoaliyvpOXhiryC3SWNww/w4oLt9Z5NVzZYj4zIdv7ZD3jRexQKTjKcbqA/DsfLjgl05ad1 +pyRxmD0JNYUzFp3TVeUR8re9KGbfsVmDR7QXc4hAmT2McyeKFBN1dzzONoGHFvqJkrkus7+PZNOi +QRW0cdAlfFmzGSNKtN28Bq3cEY4FG4HWt6ZoduSEOZp8/5SDXoVF3JA2ik/1UwfVd5kT9BhG6HoF +dqoA7KVPabbKMiYp6YBl3CfcBjlNIjr+Mwg8Bx967rp1tJSngnvHCPwBZOTt4rmP5tTJgIPaRCq8 +6AoAGqTA3NZ40hMxSAt2Lb+j6yP/AMx9+Q6AyRdmIUWXGpqmmlThbAz0PnjZsP4NlueeD1EeKDAu +b5aQQr7t7Hc9ACkJt9ZGfafBbi9/gP1rQyhSygoNmlo8pGZM/qWrcwMYkoqc9zjbybQxRz5klq6e +3ZhvkWzOEVweR7Z2DFj4hPLENXYz2S4IePCgN4gi9qL4ogvcf2r1RYS8BIhZfU8VCwdHtqluHUr7 +zcmkoN8Z806HfkMka2biGKl2ZLbrxbmeXUFUfmidNfFIhpPzE05/HHqqaWLpGdApZU2DbVSua/KA +zVTLBdqF7XDxS2nZBOEkzYf3ddYHNn52WNHbHeOMaec+CieRbuouKKDtTAM9BDF0sFQfyPO04pxb +/f2/s7bXgrBUjVJRXr8NBAanCFJlGoC16s+2ia6SFJb5OLYifkz2an1Qs+3xZDWTYFHU3/qnDcsQ ++snQjBQ6hI3Nhns/0+rHj6fJo347fN/od+mJJDjRxKyAHOEB6MNJtMb+gXSLV8GBD2jYN+SzOAhm +9EO7zxdkrTJUvTXBca3VkmrBU1nB9XteeS/lMXEDIasCQ0mCZkJKMta+48az4pUDhxBU59v87sjZ +DYTVP0MM4APtGL1Qcd/ym0OehhigiuR/5NKLE4tTbQsaEQ6s3mMd+EAB6oYpb9xaO8ZBS9GlIOhw +gzNFz3CeiwtcSVSRwGQmommQLROO06rTdbess1HrRRgGvnwN9UKStY4oVxz1HQae/gynZ0uhgCix +Fja/5NhbtaVKrrKX1GRMnfo+fTq4sS7TPDFrPpAQQghjT9ZH64siSQDEV3w88GXedDkhac3Tg5Fp +WDBxvQcndd6teZ74JYsjQm7s/qTl8SpNp8UkeIX2PQI0AqPNinTr0yJDbAYTeL5jwnBm5Xi9KLYU +K2vpOhQnzV0CGzBEwWo9gIrTmnkh7Pw7Am/MdqlIEmZu+LuWLrMpo22f5YnS/4PKxBGk0vX28jg2 +VxGYjEfd17kcIE+aJUqPrWe+EdaK8H50+CAydQ5+CxyEXAVSCwvO5ze21WpLNxNY0oNQfKkYDlC9 +zgx5pZdyF/+GOp5PULFJIE5P3mF7xWYqtHHevpK/Om0RPjjnwqak/3ADE4BxK6PJdBTgG7PaMA7h +oNkxOrdML8BvIeub7j5Z+rS6tU3hrGJLvBJCvDebpsrA7kTzdeqq7ACVc0hExnib+b5TMo+22fKC +/kNbfUnhINSJWceuDd5l1tLdnI3nWU8qpB7Bp0KVV4XzY8fBJlVMpt7pxOySyZRMYYjC8Z+jSCVV +fYTdZGpW+5CrMZDiaNMQLGB1nktFXfALa+3EoEvt6CWgv1zRA3B0B214hGf6oeYfvOo2eCTvpbuT +NTrJogO4e3E5vmmKlC1n+z6MSjii01sH1fNAFlM8g266ShFI4afYWxMYkb/meHJ00nmqC7oIGOIq +VCLJUM9DX4Go35rG1+ClhEeZJWWeHSBIsY3bsBrVLJVS/+ugJ8ZfNmTGyQkelPu8biBC1JBaJmwn +DYwmbtf3Rhs3hyHiOJzJAS4aJ3QixNkN+eR/+gO/+9ltEnv71K+fmlsKhLSecrRi3vGibhtdHvht +BY1j2aWdvREL8lI1SXRlDGRFu3J80Y4MHizCqE0RTNGjJ129v3fKTYOYaKbyogcWUK4fIzQ9OwbT +LbH2sML/zZW+IFI6KS00l9qYtL/RgwDrmBubmAd1xLoINNipA8em+k9ovy6j0qeEBmeaSGkHik8B +L4i3NK4iAn6e/FR76DgoaHjtyC4+4vjSxV/v/eNx8nsg/55LR5LVo7uS8HElVpbUbcsiDPCPPsNL +k+KxFmxDzXeMmWeL12enuSqTDp9PArOqModSLOKkkPCnitLTiTwkSRCggcBU9Be292M82zvssI2W +nh+mAEcbcEcWMxUq3OfdMyKLQ0hpJaD44/fqnobtn9FNj+kpy3D6+XPzljU+t0Sf9iX3gQOtYtW9 +WAN0S+d46dZTIcpR4NMwEaXxBKdH7b4IbM7xyWVmUyE4/uCboamIZrRWdAj2m+pUw+dTKjkAOZ53 +RRIQQ8SLeYAL8rDwDOqPRifUFemIGWbawkGG0gvvXD4FjTp05tXCbAf0jbbeW0IJDYA4OadcBLNA +zHTUGV8yh6TBqt8n2GcVkQ1X9Cf+qZaOiwFTSrhWd7Flt4c94Ykb7BqwQMo+BP3oX5kWfvNIQHhL +otD+CMPKKp6THdFe2c/QIAVdEkX1bmRQuWUSMo/Q7gvB6ymoS57OflTJL6sCTcaszTIIDPmIBDmJ +Z8xoqR0kYBIMTqAn0h4oRo5TwNY7voS8imHStRfjQCSPTyIpRJ9bG6RCLhlT6uMAfFIl42gYGq8u +EvjzyphacsBB5Au6mUckmEANSnQHq+iQTZQO3kyukqnZiDhsItTf4S/0Bixqb1RaJh7kl8oHYQdN +Up9UQwjWJoZ+i2JRCVX85eaXrtoMTXjCo1Y+7Zik/+NEXPzISlaXDC/e2/GBP2CgFE4Hx8EvAfeT +C6jkc/3oNKuq/tfgJ05Vd46YQ3Bdz8yQ9ny7Y40ZKQeMC+PVKy0FFwanXiofjWKCWTWIV8DZCbZl +Mc/z6Lnd1JOX9Kxdwxt+VY7eIJRRMPPkZXHQgXX/YHUvG7PMle9G7rHyuJEDXNmLOBmrhTX827vu +BnyTERyeM8zbqNuAP+OsMUKir5O+4uh4GlrP0PnRBd0qkuxAbEj5y55gRrbV0hfh7fskbPTvvQho +XNI0ZGL3mcPsCBx17HPJZhrc39kv8dWXT5GNQczU6OTuAPxJiqe3rJdgdLhWDv9JKh+sR5vHpX9T +aGLf0vXkF15F8nJKWdbms0tFyG5S7W8/vsZeTziIVEzTqSMrpo9bOfRwgZbrsWXef6TxvN2PpK/A +KTLEv9k8H0ywLzb9l1EfgQaqwDxHKryT4ABJIcKeD/nUkwCUa4I4mBdw/0keatr10UZjSk9xTA9G +BY+orFMC/dal+5ZzojmWsIEgrXZ04XWx/xRsqaycf6uf3xSsPo4FzF4zFPb4PAHAbDfwZH6nSsZv +O8LZe4zEAI2rTYvbQZQqPPQfEDp5lfyW1aVVaeQwPMHCW20moOMLeWyFe5k+lFn1+a35kId5OS9e +AB/maIc+6Xo2dypz+boNeV/JAoE4HO9SSo3yAJxNXetMJlhPKgdNK7jxVv6VMFdXCf2NLUNgl9R2 +tU9BsI/cxcaq/my0DEdqfr4Sh/53WkHLxIfl6Lo7EQJdbgj/BHyuJrHsBbyV5QlRvc7r+3uBvRPk +lmYOfEK8c1tuwz3NvZyPcu49cgmq7jyF6+Dh9G8ztF3GILmYA0YmmK3VMImGf0kmyA2C068NYnel +YYBkkdmtdPlCfeyjmRgnQt3ocZ5/xj23B1nTHLYlMpEHmtFXdOB3qVkDf8Ijy9rcI2N2cLvzbWAh +t6nb8AyDFY8uAayuAo+OpbniTTuJUWY3dQsUtbVeyYMIF5cMM3JB9ZtlvJ1f/pFBJ510ws58K6R0 +83tWGUAi1U8DKgs82e/jdAVF3cI1qDLo2VtYViCiTsEudtCmOAl1rTH5nbauLfkhnnLrimegLRKH +AOpc1xup4F9vfkSAZ/kOzrNKMcTQfUZsjp4A6VXpgubXnGMjLLqhJK7VqfaI1WxdLxnLFjwTXTH7 +GjzfAyDj8VKc/NKkWdXUZBwUGLyMlD8eBoKHu+/On3+R97ZzlynKjcl5rJ8RUY9tWGHGaVL6dG2x +AznkLVvA5ldb1oC1YcajPbJBaP+zhAxmmrdZ9Q+fv53xl8+LrvDVqYlvWhhXoSdDMkgMm0WEFt4D +7v7+jS8hrajp1af/ow468ToV/A8ugSytGXw67s5/E+ZIb2CuOyBRCJLCAEg5ZAqOu6Nnn9BfhFNF +7xCctY1ygzSHHFBMJKZFcFWJxIApw7Hq2ybjQFbrqXLD27f3OqkpzO9NNmq73Uq3HohrnuG99Y5/ +zGXiLD8LmJsD1MG523alWh4B2IFQLBqeIOiCNMr8+ZAie3eptrHpyEp5tC7Zz8bbdQURvhV3IXRS +Cz2zKDcJLUvBiFQDcHmjZTOKU00uJco5e9weekhkMCOAjKFDghio0heC9N9v5GePM2ZFi6trhnnW +BM4i3SjVDp3X1Tm6sWyUrdfWG4sFZ7W3P0Ff8hYxwmyzCCIWKSTujHR+EOj3mDKtD0qrN6As4qfc +DGzSelcXUhoydTgULPzaxDS+DjeZENLaxL1T6LXo6ZgeBqWOSMWv4KgCzVRv6IQxYDCimDBjJPXs +umhX8NFyQ10pXY9smt0/xAZjzT0jKqDoSLXy70w7EwcA6CS9cnyy5CzEoJnxVgdFAdh7V2PZjFOe +KStLtl3juSlxKa8o/Qj+i6c5VoqpdJVdu0Qu3YVsLPD7Hy/m20HJxh9RLpf72Dat3nXMrdQgOng0 +9k3ycP4VjIlPQrq9Y/HqzK6ytBmXK1295hNHljBCxpux4z0V+iZdWvHLI2eOpvrTmvQyGNAZauBH +A/GwhfQ+qC4FQ8fydiRg2xS2QCrp/hbs77tu8HbnBLGOcVijbYS4OHykGBgzPQNiJ3iNRWhlcUwd +9WvZvG74Go+BovcJg4sX2x05D+4aELQErTc2/qvmQERquHpAfXJyKwCZAcDubcEvUREASd1lPecZ +fKThfzZ5hJNHmUXFPeoQfRQr7LtEtC0O7efG/Sw/ofSm5eFCvzTXi1EmXsvGYLxQhVFUS+YZnIKi +0EhtT9sc9gKjTqAnffXYsFqKoDJ6ShmPLx5GXPS3T5xBJZyl0bSY/GupJkQlUJzpVO9H6tXGXxRs +Bhs8ssQGhOb16749Lj3Gp2nWiC69lX1xzhh8Sg1NPryhqAbLHvJLZWKkgnFG++CKPEwneXgZdRdL +Xp3iOAPOtvpvn31tH4UvMDnKHBxfYhV4fcVrKcU8nMENw5SRhEBi7l6WqFskQ1SoVL4PSCer3Bfe +uIgWgyI1pUQcf2Tpy1CZFmWCLOCPcGuZmhNNFokgUvQVJ2sq9IlpigRKGCL6CCle+zx3MHleAB70 +qeQ3VArsHhihCaFAPvUmeg9N1l4pqiTSIXeUWxGaHt4m2CHa6cdssfnZMAwgchLadMU7sTrilOof +/TgiLPGhwI740xmY2J7xv5BRm7/b8oVmGZEnPImW5ewm0N+YLBhH2ETRzGfS9HjWXOazf5VU36VU ++1gHUM+Y2knjuGwU9EmM8XV9zGeQEsRQtbceQJfrYbhk0zLaFv0vOXppELqSVIqCgPemav0jqB6w +VtHZ+8075HBkHnaCaTYtRgBAv//Tb/ZScRlYtgKeraoFDMOLrsxiduFqsnp2n1InNuOWbV5sOrTE +gDFyRpNILeZ19/gmz1b9q/SJJIR33DUyi6/0vM4f3/zYSrZqFLZb9s7elw5RnFV0bp4/aSwa+u8h +hfrKpMcH9Zr8pGR/GH2hmQJoPZF+4EV7fh/FDKj7Z35DM3fOsR0zxZ9EI7wjx6QNuOCMInAPZroj +A8XvA8q0XIp4oUqzerpp5eqcv8hLsI4Cb6NeIyUu1HQwajr48DUCsn8uJ2Nc+f5lLWVUqswOwr4y +TaYTZ/rLxcOXwsCF+GpjipowzKhbI5H4iWZ4U/qlKQrPM4iSfwc9Fc+1Ro0KCO/yeLMc/72AsuS5 +o2rxncbxFM/2yGLGRB1Kz57YCgLBrhO7y+C3WMQmZQYJyAs5FrQ1sNOG0QJCHJwgaMKmZKltNu0I +IC5QrlKHONeq2nlSeQ9du+tJY+WfZ9XBPuSzz2K7ad7uhVN7UVqe6cla///tkn13+uXEc3uciU4m +aUU9C4YeSK6pufRptyehz7ox9iQINVz5GKPCOUVRRoFmdbzsroK7geSNXQoa/uehEjpjXP5pbdgD +z0BOd2OmT9VtJABd/QEqixdtNtVDqIkz5rq5hx0x615vkmxG2i4CDOoQA2q6OCQ9C7WIWdHLkodZ +tpKDPjKOlbnDmMjgP3IXdLASUR9PAX7on0XAq5XY/7uggbAKGNrktziWMUqk830noCtmbJv30c8M +l7Ycq6Yh87KXHyuLOCN1cvDQRfm1H1WYz10wAC7Z+42fm3qfEmxlmjAToWgOdDEPxMBQDzYFPLiE +givWbuRAuFEAjBAH//58HmkUSheyRqVVL6CNmoSnZu20zBAUMZMOMTO0L28TPk8Muh+kS4DElx/I +Mgyfjz57GzWuepN7yJHBYtiK5mYTqgSRmcKcErkqCvCkdVbyVhUrvCkv2yyTuiN8UDhOcg/y83lG +Sj523ioKzaB1PM1fl/CxTaKOi7M9L7U7MitN3te5Z8L+uXsKKRXsrkcO+Tz4dBK5i2P6AzCKj4Hu +aIuB4mHJKZyJXwh57o4Qnvvx1maa4rbvbowlambla6cWrH98GmNvaXGR2TWuaADwTNzmxOcCMtFw +Z5pOtWGqF0bSjNqmc5TOXcZXTgRmsVxMBPASUisrmropoEvafTpPeiUd2o27RsUloAenXLl9MO2d +4llcrZ12FMRnQenlYQ1BLvIKWKjWTX4jtz0oonGScd23CZGBQfi2fuSD66H5gUwHEa3Q09JZgjms +YFyGdHhSewO1dM/n4rEtlRjAS2LMS6imZhzf7CuN26RYaLXwpR6/QxL0GHDR339Opjhxzl8ocDwG +uVJLZQ9xWR5LQD8rNPBb61c/LSWALYWhRTL47AjpK6HK2+6VWfTrJalNca9YPSD9mQeWEbjML06j +oFuVBPNIW62VYxfzGGiG6QP/Hl85GXXkl+YEED27PNWVohsBcKRAZJ2jVH3RrmP1fiTp+Uksb1mt +2RiWyHvA7/Hz4RZAmDWeKNf2iywcHNspwFlBRbuN2429w6T9NHCGDCzJjwbHO4Mg0due2dE/xuqF +XjXJSsFudGBZsD9BYYbEkKMtqXxURlTyq8ubezwDwLUwMBaepna9gtHkNpw8iEb+pSIlxfZSPKS2 +w6XISB3urDVYpEWDozhCVFhpYZVlSz2UlWi506wC1sxb+mI/8JzdZcY50oWsBUaHl1OyB8vUsZUO +1qRLkwUGbfF/GfiCSm+H8XU+VMUzppCOiqU+dm7JOVZmMpa0hKapzkAmI3AFk8UkuCkOZwVSSRaf +9KrvWtJQQPLjDkc0Q0Fi3M0YqwIm5kEMmPxVPSCw5rqL/Rvu4VZajJgYOhH9FtSNISkY57i0AhxT +YvBho4LsTSpRqYJCTPGb3uhmS23HNR/9/qb0GUdboU6MAlO1pHO+nLFeln+wRnU4nl3pM6qiMgwP +mb2777FiEWzmwEI8E02NajVdOnXYH5si1sQPfjKfBlLGtRYcNZnB8TEPnoo9hnDlGY7A9Vj3ibvx +/ZjFiJgOtRrQYYqzqbJWk0d67YqtD4f4mkwNDweztwSt5Z3ejE1TngcWSe++RPrwS5AXqay21un7 +HGLTX/xlS9ft8GsI3vRv1TD8mpqsQP0AUnCUG4m8+tXe+zn5GRCVB6jpGnqJ3MLI++xX+Gi4UCT8 +dvujOJcUogF3VRp3IGNzmxpUTqg2Z2mmYeCowYRq3Y4O9mWrJ5U1bXzsInw8Bq7MR4TsucHpJRDo +Svi+sBD9eEbkK/Sht4nQbXu/t72dhw0svzheMAjHAZ5dPAlxjVOfumMwnBepB6cQdWhHZDUgv9tE +kChUo4Lih95mb5xItn3DJW3qIFRRxZNf9fvElmr3thIAZtBJUcPFD8clwymL2ybSr8IGuPBEcas6 +/Z6EUnYui7XI+ZokhXyhhQuIBHT4cHCf9JE/pvxInbyMk2WyrSlydnP4GkAoYDWcUhK+zQLH+DbV +Ru4UtAnweaqLkenw/mnxVcN7vF7B7chtOwqbTiKxQahY4P/DUitdp+77hf94udjWq01P1361eT1P +cGmeYtolrz1b6PaPQe4RgZufYMBlpUF5xxEVGbjCcpHt604iS7MgkP1uJ96zGG5i7KEfwnu1khob +y4OPhtYJxcMikMVZvyTjmZvpxnqakNElQ6sYQVbR+6KhKOnkXG+1xwXSW55Cq2PNLYihuZgKKczT +KmPaGaOTQRQIpc9dgQjGZWUMVIf7By8bHX0+t09L7C1JFCKdj9LSPpNU+w5vW3vrU8PjgSSebGvZ +pgbiRb2OG8Sblh/sKKHSlAEmr4eQxeInuY8EdDw+3rOrkVRPgWpBOF0kPDJLJcr83Voixy+apxyC +kyJxa9LbjmgeX2TKH8PMEov05nBJv0BVL9gTQhp0EqS/8U+1QF040eGjgplEQwTOPPnwd0jhzo9H +A5kJ/Za5s3sAQRjXZqgK9c4xZZFQ0+5sz3kgyM2OUhIYi4keRSzDehpGifdKk6L/h3X/QhjESFL/ +4KN+/fti00f/GlXfrfSEbbzXqzYo+QcFO2jmW7XFzwCeVroGGXQdDCCj4XUBU2FKHhdZT9IcA52E +2jsIULsq0FCdgwet+/PxhrygeH+IoJ/uGjdoB1iwEl5L1xQrZal1Ykpk35GzuBLyA6eWDEZ2ziam +8wfkIgIJAFiUPh7ppcrEFIfqzuSFU09D2rvjgew/UApGAg90mPt/v4JiZjFp8xEBsDO0R9T4qu9L +tla/S6uwpu9qiIqnVdmuyHEwqfx0iiyjO1SV0aXGZ8i+xlPBPTThBJcG1JQqx58Fx37WssxQ2S+Q +EoLdHfri1UNfcNoD3qQeH4G9zXX534Sr38DzPAoADKrNkMNwcRQeqRp88l4wfLxsBv+nWggA5j8V +IlsOgr/ERSMjMsYDH5G0u15stw3y49wBbjEgYJa7EKn7mnu2PojSkfutw1dLQZWk88p46ymEugCf +iU8z35HUQUCN3orb/ygWyVooYw+AFndwUxxNUQDoxh3Z99+BASsuiSaYKMYQmM9dLNDhWXVvJI2+ +c8IUp1M/qdXOVe3Ws9PSXz2xyXm0c3h/YkJrXhS8J+NZzToBA0VR0uuxMk2YExZopwgmAqRNjWSa +Iw2xFg/3+Ri1QhNuXDXc63Rf1fCfwYsaxHbSg7uOdVSkz1QG7hpPsSIoIIJW/rpJptDrmGQizXhE +pMnGaS90XyPBd0F8V5tMl1XN4nV9qZAoHNEukx/Ix5Mqs7MZ+/sD6lver3DDsGW4xasoDdvxcQsg +fdJy18S+pxMzYHZItmTheTCbdRoEpdibSngp+VZFiOFQk9IIP0WQbYadN41lLn8a9ib3q7eU1YoH +jlmHMQuot7EGvROF28rhejnOfvzPxohO18IjkdcdQN2HeN9IXSeGJt7xoxGgtkVSpZajL5vAz7Lo +GCZLwPS/8dxh1oY63MSX2vg0PRDeBrH3S1BCLgYiRsuOxqDGH0T7DnT2raBR+1BE+hxApk38EQ7F +g1MFklTm7/qEgixTM2gNJSssMa6VAJGZwfpHBTmYi93G0ktjFjsGhLnYH/i+mOiYSzJkdJfXUsXY +WMqY/fyCr3VtkkbC2U4FtF7IA29ni8Xx/Ok8rlEw+r7J6V8bB4gOY5g0oyRBOCr7YEEjxhTNs8U3 +titBkNz1uJkizSSq7LXCJxm1IPYf6Gw6F3I0nr+CXA413DalqJ4jtP18ZnNTclOtXUeKxIuWfejV +x/fK7YGK8ToDUXkryGmLNrlsS93lXQixou8enLovgo3d/sNVnSmKPCjPGBn0hePPGRp51g2wFAN/ +Cx1oLIWhqnUspn5cRJnzV6QHEjzMIeJa+3Eicq+EC8oMwdW/vbLfwFz2maFBhQIXmPEwPBuSSLy+ +jx5CgbvYx2upLpJh52mhO6yqLyU/DVX2Do4RZ4RIOo4SoNyRKnhS/PQuOErZzbWiW10BJjf9j3R/ +aPMt2iCT11SsYtj42H3tYdjOQFmSvv0gWaAIEqgk8J9KleDh2AcdR2+uh/YA0zX4skvqygXCBVnM +1fIfp+GG7uFyoouql2/oepS5WqqRVQou+hm5GFWAOEMwWvgGsMs4Va0UYpOGouKFF5w6r1pJEaQS +8DVX1kLmuh4DLMHPj7/7HE0LeEWVaCG/RDzuP1ZK5QC95Y1/H5pukTRzJYdQPMlrJQouuvWzIiGd +hxhNCddoJVUNzWaaaYR0oy0FTz9BfxVM/MQZDDrrMOjGBNYJjamNAHg/ds3QhzY1kgvXqnlmRTZF +bm4qF7DE/X5Ba3Ps/9aPDzxe31NcHVuJyYMN5wxNbXRQswCa6YiJ7PbNaJZPBUbPmr7XJowBYcYf +rhwyaRE1R8lk4efYHiMq/Qk+LjPI5oQycxx+x3LDejh04w0nm30iBhWlzvAuYuu17iKKvPmHribI +Di8pJkJD9blYZBOcD5CJg2lckIGWqXdAFa6Bjekz5WleRfrmluupHgBzGTEwVA5pZ27s1hI44tNh +DJSDhpSJpP2elQlCdyQ5Lt1JetHxgPwRMv7WhmLh2gp/0vomQ8Ce5ds+uyA87FvL9gWJj6n+w2yB +61PgLMUs3SizM9y+KcAlsDBxsSFCVd8X82QAXYqlJma2YCth34ONvUPKzwpWkjaHO8FYhkT8/kUO +9n4w7O+I8FONjTAcR6KZKf+19IcfAfyrqpHpp4qd2hdCFpK0NUdmZhIAAoORWJVYzz05uBQiNEgz +oxs3Sc1KqcT4rg0sglRWDcJjS+JEI9XjWVjurutEBpU/zW6kO2PS+swrC/jljHkjgC3vHtoIiRGP ++o1/ZXj0CXh5ubR8siUpaTjvCRnlNJVrlWn01pqop8vhir7mvTdnAETjnnr8+rDmpf8Ix4Axi9Ec +Ett4H6vZxYf2VDDgGLnZGybaZ+y1APyTJZbUBJwQk1rI6n63JLZr5z+XzlCMWI9i4C/yuzPnr9Fs +llnWhEZiSq7Winyzf8DhGB25ccbHj/qU6I88i1iNvlYQnOJcqJTXBXMp6LdQ86F7zBXCv7K7TuMX +AdE0Gk0ZP4Fzhv/1jwdHTzh3KmtXBF8ruOStT1DsWLlAlDVB4+4KSKz4J6baJecWcD2K3+t+DRTP +u3rtystIWYGXGhmm6I98cGFORt2RpjTyNn1XVLlrSIbcyt6CTCU291ekc3VoafzXJt+lH0NLXqb3 +lhg+S+BiRQfyGAotFuOW6JdbCJWScCJo8dAT2+xEdqfpg4emNrYldua/ieG1SHNGCCucAR6u1yIp +2rg2JadDcHSKnX7XerEcBoWrozpC/Uj9Q9rW9EaWzFem/QqsZ3S2tUyAMCBiXSIeVOHy8F4pUs+/ +HVv6x33/Ng2R9f372Wx5jqsKkUKJgVhuyuaKUXF4zcD+Gsz+lg3Rp8JVIDPhLnyBLyQYWFFn+plM +dlyT/9Zy3g39mrKLKI/szGG6LeRL8dj+myXMgJ6XNWUYOwIYtd9OqFJo/Oagb1MZa5C5ktwZfoPP +YT05bwNGJ8Q7QKnzgi6LGbKX9hP/4NLhVxfC9vSUCJuiWEOlvuamwuiYE2D6ILdFmV9dDZXCEOlj +yMsDGfq0QwpfiBGnvNmfrf4HXDV7t3QM8BTKEiVS/4PLGzFUw4QC0fI93m9m1eFkzyIaNhxv4ykq +/wt8dpaARvnIFM06U+oi04IusCf4XubNCacljdeepj1j7p5+i6ymXKdXWhMhYdbjdNpMGn9gnOxd +z+o2048QgwTm7OdxM6Tm3QRwKOG+kg84dvROc+G3Nn3koMXVPfW1XcxuyiKAvMGKodecjy/SdjQ4 +xrOCVvR8HppRlcVsEhPr2zHaSenBlz0bXxO9jnICt5AYGIBT1RBdffLHDQIrrP1QYtI6UmuR32kY +eO231grojiY0RKgJVrR1042WOdVWA2yQqiXIqtXxqphBaOYRoNleyyu0y89LNwoOCN+qWzQRDWLi +UWQZT4K7q4PokJfJ7GZjp2ZTkih07ThSNb9PPrRMi8SImzn96n8uLtVR1aW375s6c50Smu+bvOJB +jfCpbnRn8bnUDeRGx9R8GNUX+9MD4R6YnoUQk6EAmckvdIxDyb+CsIrcvLMNiL1CVoiCHYDJgkVO +7GTpRYeC6sGIGAqiY98tNMIi41rlE/RIMzB8Z3LTSnZv2JBOE3VZO/ts/dgN52gMWfNzovggQES/ +xNtdM7iuXbdP+UtN8weHFDIJKVwtcE1OQETzBBz1A3+fJ30l1oOPPfSU9e515ceVhWBqxUu/S7w4 +D2KS5Fcx5lULAUq2R0o09lo55GG7Pc1AJBX5R0aP730ZV4wkX98YgAPHKU8c5tDx/djFPXpcTnS+ +oN5jZJ8dmTUYDEACl4+C9Wx3JsMMC2TnEVSnyUY90rWnqDFidzWOOKaoPr9+YAPiB6+XjJJ/0D9+ +hqFW3XBLrKqL8COUnu+GGhvaKqUCJFUlEXbSo/7dZJvKQBk/tcRrfIp/m6Dy1ASiTQ17VwOXoeST +SU0y+gNdFhtzYa5Ix6hM0T1A0Xt1R78Q3i0s7qkJn2BvvwBbuYg2CPZisvU1DPd72WW5OxPOz5Sd +c2mHTBFaMvB3ru0Byl2qk6eBptxtZuCo4sXZ+nHBsHb8SpBZgVMM88WG4cReeWZXcGRbH/nvvwlO +uFPsV8Qy1HhfY89cfUXL0WMh7t6NICpRWSshpK1qQwyG6wMkVCqMk6tl5j5YKFiF0w9HLdFSq/2q +TY30+zFygU/xT9+E80KgwZry0qtM2Zx0q9JYPOhXmKIQLNHfv1+1HunIi3HABM3HdnjXZgLVghYr +B79nX9npb2LRX18kEBRlvz/qS82tODdSfQxuRUIcV0Q48IMFfTDyXDgI9PH8encezzEVVkhpT2ty +yPm+YmQR/Ys7MnoyZzzRu+sK1iSC85y2uFflWa5/BwkTSXCQtVmT+P3XufuD/RxAmLXVtoZ35NgX +oZM7s3nmC0T8hU0N/ncsBv3MwUnM6l4/tyPJRqhwbDW6baaCIg4bIJqtNL7hIwqnAReqBnzpvf4a +oDjoyUtKhhC3C00SZ/TKRgjatT3qE1DCM2X64NiaQbZHnrndiPCTedpWPO63+X9xACYkomkU6paV +swqVU8/ICi6/JmKXaxgqqYTDaMjPhVuMh3q/X8EkH/zXgdXiY3VFBLonXIlmao0ocf6dknBE2NQw +0vD4eA7rjXMDr6PFQMkg/mcDf9ORihCHZGSiVYbl6finaencR3D2VFxIuQ24WfB+dBUueaQRoCfO +KhF0Ti2li5ARAy8fZr4urOi0y9kgIQPpkTxzxec2uQlvW54whkX8vxJNfPOXGHRcvts9YP7sHujb +UpQAi7SgY3bWV5zUoH6C72PiUeKmZwz3ZvGQ7WmtgKEQ+qvLwGDAUG852hmWS5fCMD6OsqQjEvpP +QMPl/lXSXaF9NviNrj9ekDJn22+MV+kjfZPyRmwAc/XVX1xhbZ4pvxm39voxMw6cPhKWjZKB0umQ +02/pZauE1pVMlZ1KKqDTXRCFIbz6JSh6qfM3ceECWyg4gXr0IODFIviZpX3yI7sfeUuEMzazaz/+ +ILvtlcqbwFTRdHsFeIkAaY6QldyJerB4wt2Ralzxs7967dbEiHzaj7yTI61AipPBz3Rov04DJkjQ +u/2CmtPq+5h+OILDSExJ/5lv1EcbC1qBPTRlu4wKRx5796tm2bcclC+0VGbZO2PjiJL39Msy85fo +F1aNeO0MnrLT/ACbF5gXB1d3xquzJrK+uDE2wEAEdsNLXJUuMbXujNNmAyGoxRJicSPZrb7Il2bt +2PgbDSMTL1X1PN0PFi0SHGgB1wYzMt1Tf1BQHCtub/BqLHI0fJM/KbhkIMJPH987RFT9wMFmUTWz +obIl89gwR1q6ndsnxCiYLcFIrfWW1v1pZkNXd9t0jclVsixRIenQCta6d/OMs3e+8pJhfocnhRyJ +2qFw6Lu3DsJMBRslr5EeuBlbpqNQm8qcec3SsjVWgcKaamjR6lwQnS6b0kKyvy6NbfV9rlPVHNKl +h64r72CpnBLX+GmYJkp48iTjsd3kzo9Q0zJQZFYSpjwrHuqp99brjhf3buwy3pQsg6TzgAmKpmX9 +azeXhNZUaizSawJktg73wRlzTUNhAarOsExBZfxAYsP6YAJWwucnwAJ0Ba9gQx88dXFowETyWPav +vNW24s++ich1omsmCWkMxpunHAJlVowOYaMKxYluarsNjMtE7yPjfRRBpDrBsFKqrSeJUIU5l0BG +UqF/CQNwgoHnUCOlCAx3/IT4c8RjgcrldDmC4Yf6NDKWj9PFNInP7NDMzTovMBpJ3axnGmBugYeB +0mQHlvS6iAVzImpbPdM63t7RXjt3abzqqza5Ff87lAHkE3GxAIDKFSEbzL+5gZBOBF20aVnAV9Be +FRuC8Fu+wj4Z48L67RVa+hmHrMEEJH0ZWVgShZdLiFoVvBO5K5iz5K6258nU+43RNwbMDjFxnRtQ +psrb1HN3SzhCjKrM1EOZqqJ+SlCojphKzJtNhjlCqlzEoTDJvJ9oBU86ZA1mjdPexoBTNibAm4Dh +XLFVBY9ReJsaNg4Dizo/cQET6uB3Wy44s4Rdb+OcGPSc9NpJPhO7oiIDkgrz2b0lIICsinbAML0g +6b9+7TjcSk+LlwIGjoYVoxYT0F9GABOwXZj2BYwqciGwpGt1C5t8Lz7B6V8lSYW2E1YanO9n2xIQ +ex/bwZUc6q5gl2roV1eIkS1DSWVoYzX80CEC0vQT4XEjeBVRcdZsZ00M2ElIJZlyl3Rja6J7Dtj5 +1MRzDdILsshq245el39QX6aLeetNiTLlpqO9r85qwgjDPG4QiQgfeTYjD4M9UJUfUEM9Doezu17i +au8GTdAYzL+Tbi442/0wZEJOSFp0J2eByKx2dD6LofybhO09IKge5gI3B3Mn6eDafzCG/RFubqmX +OlF+j2uOu4oDFA8W/XMh3M+LMJDcy7h6w3UVFJ/5mPEYGLOuz+RrgZHcLxAid4PqeqWEHGED9wxH +WwPj/Yzxf3Fp94tjqNf2ZwuEsojWIgtigOSxyQvKVemJQ/VeLwwlTVZIKlVizazkd72oajlBg2Ni ++p/2wcsQIgihJG53BmgBhMBsH3InYU3pTxzcHIslQoN4goh6sjC8dDSi+mHfztKmMjnjHa38pwIV +vCXD3MHLBQpEwH7gvU/fUSVystIyntxPzvH4Dpf5EOsoX3ACbQee95Zpxf4auohvEcGUdVcye/3x +w2LUQ07B3W053k5tOybXhZYU+S1+fDtBb+JalijTWGysw/OL2+7I7uknL2dHu4QYL/5aijqmLjjB +IfhFhKZ2A+TwD67B2Gnu3qYBX3OzDko9NWk1CStQ3goZI80Q/XVUYeF+hOd96dc8KklF3rMWnMXr +JFg+053mJB9M6zmbk4PtmMkncnKlQckCHvLxmRRWrMnXMKPZnQxk/1txngeCyGODactmBBA75+/+ +WcScXvWDx5X0EgQFSkCTUcvgMBzvgzWGeC/bpBceW/nGez21+80TiqkvXaTa2Es4wWWxpzEiRTmc +3adzq++gqI/gbx016iLzP61nDDO8DeLOW2gW/O3ra1nkXXpCnFJWiH7i/VzVwViLKYI9qHp9k+sA +DA2U7QRxQXgeH+my7tEDVY21r3TeHoTeshhrAJ/rXditzA/bnNcpnUPZ5znvjpdNi8NNsWl4UALJ +VdCRC8KN9dipx1OJ4GoI79brDPOipTQRcxinwvJmLz8mkBvVHNbZHHFErMAWi7nslcL5w/HrKt5o +TUsR1j7za5VNRKPBy0ebkSJK7rj2aItqNbssX0lQwYu++ipJF6D+FFV/KsL5vfi2t7kGTeut+7m4 +XLDxlYjc6kClBzynWfqziWSz3YcXKekTctwRw6Sa0vOW88ztqWzoUSIM0ECXQTwjxMf1iN5L3LEM +UtakZmWGdizUBrWQYl+hPHkH6gGb5BEuymhOy7cpT7Fl4qkB24mHiPUHBVAA0bikqWvNhhdHTst+ +3pz8idFpLfyt1MKwlYOs39HDR/BV4IAdyUepm/p7PMVzjmx1fETNuy7ZQmTO6zJCaoxjmTFGGbIh +2B7ccVBSqDpKWA0OuU1ME48rb9IpSzbUMIWlKnaa+fwpm5oqTRkVggLWVE4bKtqbUSs9Qp9xL/kB +RG1g5xwjWoXOpyntPR/G2F35tDSxoohmhwYS0IRlw+vauvjsF2IRfZEmy0o6RfmQMlrrQQKHyQSt +YHAkrYe2gcE09ao68eRxSeiAQZOLAREe939dX1N4jdwIlUE9bByG5djB0G3hDfT7b7IqaVWgkcOS ++LaikEc/kpQU3YEbQBrmEH63MyLk1rDKRAkTO+41ewgtcH/XgI5gCW6FwSfpPjS25TbNh1g+ux85 +mrSSH3N+DMmQE2SgguQN+fzGyxn0OIaTqBKgMr2skqkKk2QvdqsXJq2OPFMEbBYEgjKqeoCSIxuT +5yJ5XyzR4vp88vXl9VW1zrWV3+mREbEeefwG0fWVISzaAF9l7/LW0LyvvO4ttHC+aWQE3uUpqTSG +RFCuAK+8A9/QTVLUFGcjRVkB5sL0hCgqV9OANkAtQT/fsln0xCyIeKJF6mqTzJXTUILUrytdeQGi +FMJez+N+NPdKt+YfK9T4m22Oo5f4fapnEqfJmxETAHlv8K8o9eCp43M9hpiVbdnseZi+ckbPwgzK +ElZCgNO/iI7hPy2uMvFd+Mo7UoatwjlKf3SJqta6LUcw8S5PUo+9wo1k4H2VZjSWXhcs7n/wfolx +SbJ9M3PzI6B/weQznTk6sHoOaZuhOc35ucuG7oSjoYwXNX/fzJnvPZbFTwGvDuHgFXwGjwaFMtoJ +QsSR99nXxfiJe7o7798lzjBquDax0/dzMK3ppQzG6PQkP13yDj6FccDcsuzH09L6Wc6fcGPhENit +aLo3rcDEVibWTUPElZN4GdSDPUzantz1vUmgSRnZJC2sBZWGPxx2SPdwGKBUK1vR4ojelDjR7bsM +9iDcviVhITAMQzTa3lj89KcVcdr2ZHJCrt39YGL+IBb3Usa9Xcg+RICAFMVG2fGWXKs0h0fkB+tW +AH3fWOQSfKTfpYUivtM86WmKrXJ46YpcfzrmrERzawlfHQUMBg3MDF5CL8vGfpOkKJYSrDYP1QEd +g4bSw/m1AkVTDljzsdzpicvoW5cNBdd2/85azhc9YGT4vYVhQt6HAlTY16bHqZTKMqr/89dIRqqr +pH1OO9HU1dJiIOfLgYE6koKEpvY0ndxh1L9IzaBEJ/BYM4e8MAvNk9upu4KtLO3uyRl2cIkENBRO +2DQH6UvtxsinBrqbLpFxq6X8FUfJm8Vx5oKiz/Gu5nhLrNYHaVFIL8d9/tzPb65gpiR6jFsLdemW +Q5NqcN/mnh4P4iVBgs7AE05xd37D3I5WUjqVwd//UKl0wQmaHffPTvUOVd4cinCH6NEJPU/1Vf/Q +nbY97x/uPWx8LcrFZt2VKo2ub8AjwY/e37TFsmxv9KsLjC+A06VN+as1hraO1zH45WVsaaF+kL/Z +8RGKalTonO7pEo/ApO2AekPsCx7AklzQ9Vbpt/cwZGd4Keev4WjOvuwdqyxSwXhqMajkaNH5l8y6 +yoyK+eWqaC8gOlJ0FSYCUzSY4onS58GOuGm5bDI14kiHSlE8D4daINJSqhmnFmYo3PHjo4HbUsFS ++/B1y20oAQBfotLqO3ca4krg8E5VeAFtIwku7A5KL2Ujri6F2FyecipEpwlz4Sv+EsP4UdxjbnqQ +D+aVLCGeqr0Wd3tPPlZfBRgdiRplsfOUjW7HoQ+o6NnAaL4ZVG8GCuM0WF6yRhJDOs9Eeik5WUxE +1YwKwhBaBHnkWiAVLj4NI4a8ZYpglSP4rl6XD1tw8veYJDg06MWV+U/wdEYdfKZhDQSP4FaWgioz +PXdjrG60CHZgLh/Cj9cDMUFMqPDNph7cB0RgPrCfRJwTfmPKtzka0ECVATjizKsCzvrVN/nq6mhG +25ujm5E+J2Ok2/R5Cpf5GSE7XLocV8RljbTs21dzXpEJz1u3ogHt68neVVrtXEFlFLPxtxLGgcPY +kBTGhbh5NonJ5/sieQdv0dm/YIfR06Hb6oCjyYUqS+8uYAQ6CHkaphmOw0BFnQiCyeWtRJd7GBZz +I7WwpXsRF/RkuAgnFtIuY2fmNRPt+Yx3WhLKraxWJ0bUrXCyY3O2W5WXWd8wqzXfOiU9EIzOMDQk +BU8XvsIBLfYrWEnY2uxZ3J/0evzBIffmTV+MoDTVqJWEsuXNPkJgjgxJ5NCkddxnc7eDZaO2EHLb +bVKv4I+aoo5UZkoqXAGQuRTlwy7A9f35G/3EpN0XNw+IDBwgs2r+Niausrf0/0ozRbXGSeu5KGoy +1pCDo6+51tT2/z1RoKUe6Yr08DFwlgBjTcTuhtcZMwL+6INFjuXSrmvoSvjTTfbMd715fHWO4A/T +hNfqtaKBDx8mNCmaDPdw1DMv1jtPxXyzaf2iYBG87EW23oYKFkLhhFA7/fBeVHtOAlrCK6DtA7pq +8D45IAfuS/wsoiv9TWndIiRoJbdp0XQEhEwORql5VRt2+SUlb2UB4rfTL9i60nDbQk47EEUMSs7i +liIimASKaa6guYJJuDhkVVyJWzbuLY6LkROrpjIl2mkQ9bUHAtXa7NyqZOz/x31Do0Vmwb4O84jG +XPlslDB8IFG3ISrQwmynA1npiAyJ9Z7Pt97ZgXX0Zfr1vzCB96SHyAgUOCYG9r/A4UBYphluR0qc +F3vmTCqU/PypYwqzhmMpQxHTWQ3TeYstlD4K503KfVa3l0Tb5WDyPGfrcX3BXThiTIC2dzkP8/Lz +uQOrX+aaUg3yFL5z8yuOOwEGub8YZrsrzIzeuqCSXYnEBjZ06Ovgu26Ttb5AZ58UosdrZbG/6aaf +oc1hTAvar38a9eGYNsIAqPnkku8+j3NPqNz6JqLqWZxucKt0T67AsmPkGvSqV13DgOSQqieaLC93 +jikBwWWWcGabROBd8iU8LgJpRbK/1l4EGu9sFCE+qUetOqanyNf5OqFchHyKgfuomNNxkvq+ko6R +S23IQUHfXvvtx7Nd59z4s4UQ3n8d9rPIEh6V4xPOL7zDM+prhzB6KAaL8FuWxe5A8SJJPLRYIgNH +xQpp+lpa2/uMyKLO799xvZM8GF0YGpdmy6iYRRA+9dz9tMlnc1lBpJxlfXbFWnjRdPWq9OZgUXbE +dlXQsiS2RdUqIhImjiOkWVKf1prwqvyYlX6trinDJRJv6woxKDTFHOR/LqTXILwf8jqyiknZDMZv +8nfcKaZLP4qjl6/VdRer52AtWg6JNjRIWNQEvSyH6U8wvOBouZcDHd4Owr/26fhYPGrxgGbFtHG7 +F8cv38ttr+IOflNs5Vb/O0Qk7x21ZNzRowN6cCFtjjA7MS9Fait4awzSdOuX3/Q89T0mGP9lzBsH +kv7hFQAwHTEFvrpNvnzZsdypKgJAQDBYbUN1zJmpzMIpu5SYgYrUyLDEDRrwX4ocJ//ByH5SopzG +kU8GAo+eYf3fVFP6n9Oz87n7eXNUgPDrc1I9xBVPT0bV7qr/lVZoneTk3StFpxhvmvdj49HTXWqM +akB0/4VXWHBxXLLiLIoGsErxSz0kLCE2aM0Wm3WKOZfCCbXqJ37xdVTgcszPjyKlTdAxH6sYrfEU +PUhpwREDMYmkWtyZpN+CJ/2u5fKOXP4kluNtcsjvVyuP37i3jDMFqmXAj3hIapCEcbBcCmqbPTC3 +2IRapkoCTMlnyJmDhdCE47ZifJuh+ZdDIZj7B+ABep5R/YFhmf9Co1ZREpwTxCT03oc/sHmSgBQ5 +hZF756uiaZz3B2ozHSrvrwnXXz/rDPKvqpvvM0RQ8JPV/XeFVGKv6ca/ZiKQhrjboVSz51EJqSPr +pdK8ev05j/coTvUyGMLZirWBZxlTZEVkdCUzNTVGsv5ymtWFxKyviznUIBR32Q2SWZZSEx4uDG6l +PGkoGCqDQusMUUYBccwmD1LQyA+NVOZtHqohulchZvQGVCL4ORGQmVCi/ubso2va4id28JgwbMhV +ViYB6WcOJDdy1kSotg0tjbmY7uG/2S/QB2hvJz8j67ce/gZu8RIw80wlDbFj8kOe13OF+pivKOXx +5wCuefzHoEFdkwysr+w2zZvvQrTN9gJfC04FZLJjgzmZVQ6w8OdeBmYK0VhmKR0h0sHXQg0JiXXn +vSr20VvELMVpkQB9bnkRsorBiECMoA+yumtubdZWKhczOSL2+05oT37yLWUv1paU+ADSwxK/mPq6 +RqUzK5ZF4boHJQWtcCHO+XuwzI/ztCfqnUr1rpesVpYFGASKQi69MMG3x29K/AHE/Ou0DtOD20H1 +I6Q3r9KODLcIFEwSFV2cotS7CxIsA2LSQCG19g0sEtnkfRNj4pkUcUmd0PntAdPxIX6jBf42nraD +VsmLvxOUdnOCasl7j8Mxq7wWqUhtR9jH3cAihuogXn+KNks4zychCCFsvQT9pa0TvgnwfRLdi2ck +UR5rDvnQk5jAchZp5DutKNuUF+/IMdPy6abYLF4P4UelDij+xDHUa+h1QyXsMohQYOBYvcCpoGwx +YFRvdb8LDWdX+giS/AekufZ4Q2xjZ9mgZpEfNOOo+Clq01ppWn+X1T0w0EtkBc0C5wEnbHdDlwtc +5cCKURXm8FOHzgReBwXWt8SpfYPn4ltl4MeN2ntVZ36xjhCYlVR5SrZFW5VN0zyOlg7SKibaKsaX +cI1JxN7k0VPyTBdHMtL+GHJJBfyH92vyuYWSTQ4I052T7cyuemvXn0OYbkHj9SEpUa0QAWj06Gah +u1bcz/jzrsu0LnMVIuM30VVWkrR4Pt+M5bytrZvlPbf+xhhy76/rFlny2MR32cr1jCozU9kgwt9j +KzmCYrTHNfCXhGxP/RvpA5UUmsY0UFOodoWqtvcsgpIMks/wYMo42kifeXCjWY2rurULQ+oZeFr6 +ERIFOIUnYVrXiBxD/24W5Hi4IPLktalKrQN7vOzmdQLCyYsBTvw4JhPAAD9/dmHB3fZljaF1daMR +Vao0ePaLdiT94re1f93T5Y+8IjaHm0oK58rxarFccsWjWLwt/HJPLT5ZRzZBHHap1YCcLaGMFNYP +knhXaLKy7lmsoJq5B2OcZuAj1mjwigfpvAaGXTwKlnLRmGYURXfNZ6/b030CpxO7r7Lvgy30EI85 +3ReSaCRWUFlaze6KzvdgjgMe5rphbuuXmvEM8DngTHqIn4b1JfyxiOURm/mUV92uQ4FS3PC1UPb/ +UuE5xJuoAeZoHkq9Q3WwBtFFpdi8jRfL17+sGZ4vMSnsQmN/e7N4gAj39N9yXszumc1cxvWZOha3 +ETagOJEp7p7nwQLrF/DcFya9svenG8CKXPzlFbvtdPF00+Zp1zi927F4bT0LOGoROfrTFdU3Ynz0 +tcvAAZxsmjL/adPXKnZYKNWjJgnjPyVsIBuVHhuPjqMWESgv247lLDtD9+xNT0A+sG0cjtjdeRwF +HOgljV1AfuZwpmC/qAdNSAAUGBiP4uvUQ41u/4IRNX1e5QKrE/MfSWXm1HEe5IEhFzpRv6g5Cd3/ +NwV0ej3iF3NsGHYa30rIXzLYpPGeeUg2h23WX3KjxU7kpFTOpNSE0UChVCsWWM86M08VYPJTROJg +7K1LbmTryiIiOaEaauOwUhKHme9fTqPpiLCqlEEpxUaeUtMAvFaLBwWWRSDad6f0rGuQfUiF8N70 +NlyuOFZ1vft2eYk0rI6ASs7AqXI+xp5G8f/LPgbi5wuJtCK+Z/zVd2CLUQf/lNvQVIJT8lk6PMwC +cGOEqn5RYHOZBENgjxNa5VJDX0zni9SGA2AKddbjU58W3VhZrxxLmunGaH81Hvr9OCIt+s21mPRh +/Ihj4qcPrKYZVPdaTrUvf3OCusxa0DJBzDMZ6Cu+eZfAGOYGT64w0rf+6G9+PYtMRni08zGrQMav +Zp85v9UPGlioN8sAxToCtb5RVGQbNWSqsDQkZv6qHZPNwNG2cNwRFqXdaS4/upnZIfnr1u8OjICK +p+fbvJkoybJT+cURVKxhImq8SpzAYpgW7zT7EQvnu6YYATmw7O2HB9NyCqKQnJQGvAqLe1VdALai ++OwnVSRbGBIQp9A2WkdV0By+2//4M4CbqyHV+Q7PjWFnx0DnZksiumreompG3T5OyOiioYvoEYwl +W4XbUecgRdkzCt1H85W3cG985OKbUKDGl/wrSStg8mhaGjp8FlFQzRJLaSBjo3eDY9Ji02rZuWuL +7O1QfcvOsw4tlPI59Cz+V3g2lYm1dfjQ9AgJSsfJt7ND5yrCE4cdU3HjnWHYNT6ZSuqhi3/lDGVY +dxd9EDeHyc/wUQ1A1YZb9TURgJXA7J9R22eo/IVycUZCXoGCvkEjCADkdRXo928hNx9w53Y5InDf +xD+F7vq3CnDmLjlp8i0NWtVdDdT6HBx610aF61QyMu1lFBazbbOlpIb/od2jtkNQjVLGDO7RlzYw +caxtVzwkGvrwoj5SnjR7umMs3cOfNGwnfwYMmEFAE5I0xbkDLcaI0pFz9eNdscGx1tzMo1mj8bqR +fL3EC4Ne6pjxKn7ZMmVEMUW/hWtsIbRyMRv55GqyKJ5+K5nNQawqgHHDaRNuaMYMJLRRk6HhH0OK +WYpbT3FUbJTkE92Ad27tBYauDJQa6JeeMK6AEDYTrqzkm2aqUo+WawQDylqsHcF5Zb/nNfdEQorH +Ba58S+bDdFnfMMSDIj+4lMAHVtC9ZJi1sS0Y0EtAbC2s+GdexQWKwcgwNC3c1mDmNLnEVZ7/Uw71 +TEhRTZEDIBa+416IDmaSMUp0QPcLeYTBUeVdkSFRsRnFj3rjKeued0dhlbpFqKhX+CGVKsbC4NK2 +0fB5VCbNjLLGYQGLPxdjpTs8qwPgOetDU8ei8fngvCL9UHODYaICPhEgmxb5uJolbRVXn+8hApin +6J8A2LiwNIpNpGfqt2Ag7Q1YOGW1a4iqOO0qhIhVcFg2V5DBzsr2vlMjkvx9Vb/5jIzFiaDL2eKr +vHVdfk948JtbPZdYo+/D7ZEDnZbwNt692JIqYEG3FIIFQeDZzEV1Rr3LXxrr4CnLNMvC3q3NMsWs +RiUIFGROQSzjXZKT09gfEVoCIb7o9VB2ARMOL/AjCj8344pRZER+hoLA1t96+oFwYpjDo/31QRM0 +2gYr4W0VaAML2OoZiSgpBs7l4Pb4hIc9OHy8vdpEvZ0A0ndtXstqlyMYxmeiSQU3FFpGLYK6L39p +4HSVAjjlNRo0Lj7myfijdB6Hkkfh7DdPyN3J6qFafurzwig+V/rVy95HVIfHBc3Rt9PDdia8+hLN +NQtuJ4gmz4bwNWkP2NauYq1fQqAUNxwZhm9gSD/aQbLZR6TDMBn8uZRpmAr2W+FVBOXpxa8ZUEuk +wiUnY84ZFPB/0ex7t0AQf9Vk+eCdHb2iB0pGKuqeNCOrw3RpR9RcNt2qMkTEYpJEhqSJbis6pbCQ +eCPZFAPGMr9IcNGc4iSoh1Y3+MWUccbptgjN/BAtHQetwG7E/cYZuJNxsFRirMuLVgU1COr0P64y +YORYp9sclMLR1cvwb3/GiRO7aeBpfaHWFkWVmNiMM7cZ0r3SIFsU43iNAxJi/eEqTTBeGbqWCEm5 +G6oqZoedY14ziuKB0G5L6rM73af5yBxP57JC3NLCc0/NIfKSjszXksCic1yIsJ2DgEblRbRcy5Nj +HHetrhwD/3NWXjXHPCG9dzTm/xRnTMPYldTUfThruw6BDpuh8LSLuEBg8M5JTgUwSyzoXYz7YGYs +B2cWCcVXSyikdr/nJFICn8TryGIcdWDqVr4EBWldTYWFKORu3ezxfP3aOgV2k80m62CLPwBvD2ke +JXosOl71SJ7isQdNfU6kLYYxFqRIRJ3hS+DIXzip3vaHYa2GX4hgLYy+DRDWTET3ZPAdeF0P3Mr5 +PK8/eWTiHLbimbfjY4jqPOnqOUM8dy/KrA8nuvSNe55s1dWoiK0z7tC9cSZ3fwaTxWJ8CEC229N2 +G/yycXmGlBxAiCeu8ZqZBxiV/YoVSNEYsg2n1wxuhVPF+4lP14sxmp8ApSCYEBUpq7MqBXsDt0TB +ozK0iZDZ8AdQzKxdSk8+E+sd5R0jj521/33Lb9Pyd/RcqFg+nPnmU5WCbNO1AG/wHRrAf/tpw8iP +ow1PXfIsPhohO+riIg4nrO9E4L8NEBQLbGxAT5jSBMB7/lbQ0HkaIvMmjyv3KYlAeGpgZMbZcA0n +14B4929PahrJ7A1P/q2QiYW3QwKw+/tsFCaZQ8jf9d2jpVBJqRpDp8wfyJmbB+bAfCrqgVdA2ELx +VC4pogKdONDx49NpxPw66r0fkZ3ACSvit/ZMhbXpPRc4HqDG54OaaGU5+DuwBonnnXwZx3uxmAjj +KpeDXK5dXf2B/JU6UBc9EZcgJtQT7PD6ktuNBa1S4HmDpywrNVFsjzWiYblQuC/7/dcEhULBw2KH +W66viojJLtpvm3BYVcahU8io2xVStHIvOMXOmF50iX0wftaptwgSrbSulEtIALfA2cTwy4CKRSN/ +R/XTNE5HAJ69dEQv2G6AmXmWC0BhhMuIxet8UyRxiHzbp2ewF4aJL9h1HsF088xOa9yw2kwNEYOS +CHR9qb0YLhY972q+Vu+TStLE+ZYnZjaeVB3rxl2uCO2jifgKvO58bNHlqRU88SvejrmPw5UE3xie +05wwf1yAnHHF0tCYnfuVrLKpvQKMvcsO4sr/0f7L5jwkdgHBQFOvPDDMKkIy1RmJnRWmJKOTVubm +PntxPf1ryrTigaheUOghPz+51UMeoGkQBisyJfjxINVwQi8gSZV9HWNWMXslFxgBokoIalwLNytH +VRw2NFHG1J9vcgT0MkgnhlMi+soF8rMFx65nSO2zfXF3+IT/6KM+/LMiEHsI/SN3LzwPORMFm1sZ +jkTLlAW3DiG7/EyaDZE0Do+tleAZgJvGlvC8wAOxbr98AbWg2yO7uiVDxXu3Lw5dXGFr6WRan32C +H2RTmYxTOcZ2zrxrN8oJ0JCGzKYdm/XhlWlXoJEj44wsEwc1pV3j7xmdpWFig3VkUaR63EqBUFvR +xwhjcZC2VDCLJDEsaz7H6p8pe9NFTqYS/KpxwBPnW+Wi66cIkijrNaxM5dT3jhdtwkjR6FrCu8Pa +cTMidZiHXjGeZwnUtCwXqbFolE/Pj5Fm1QxYzn5dyZIvdBFd94YzpnAoc9HeUX+aWkuhLTY0SaiL +w4epN21yelodyBYxFmK4mZkPZ3UFQfKSbnqOkYLqFRM9u814EO9zxM0j1MhatsKolSFvc0O09Csd +q0irndtPu1+bH4OBhPquzHYdGzlODQ1rdIw6Tir4vAqmQmo8lpdvhADVRGRSHMyw8jS3DYpHBmiP +nTjJSRtDpBqRQQrXNQI1Hbn31NCjpLU+W5deNJulykuSa4B/EVnnC1lkdgkJGEMMVj9T0uyiu7J8 +p0Gr05bE939KbgUaON7ia4muCA06kdypNwllHBbKugBjVR0Hjey5WoLsdFvlYQ9oHkca0FZPqv4t +ltm5Ncpq6Z7HG8IzstwUDlgPCKpgsAqv6B4v8vV2FMTf0QFIb/07kNZwryNqHHTGf3eOGFR16XX6 +BQLlvWeL8Gg3O+PwzVnWga7aVUeNgly9zz1tkYL9R/7LebkKWW5fcZHXo2vflmUyKNmzhqpcPDlL +JfKVqkbvx0FbMoJeCU2mL1xQbdPmDaU5I18lO/TeL7/sa9/3f0JEBBkVCc7XjMLWpCDIpMcEbazl +8otnMx094CzPtdPV9xFkcQ+AAm88RU1kjEU3NQVbVD9YT/NK/WBUOQ+aSBMV6ye02MIYXy5ku8Id +tBOeWG8TZkstNrQVVUEnBkVAg/9ocyNJ0IhOtXWP0MiKNZGV93LkhqNcoqx8TrttthJq+noYR877 +LEoTRP98pCfpe7nwErIDvjmBpAE2YnxvfG5eaS/NcB1zZOSpypqkWu4JK2D9fqYS9CjXVsb9RtS8 +bNHDz2DJGloQx8zMQNJH/TGhWK6ZCKfn0xw/aWwq4F2Affoe9vnij6ziBLO2Kg/e0wO4Oe/QBTJV +ZZWdbwlSULkaOp7/MMP6YFsx9PbCE6CayZAS8GqfWi7vlczBu3P9C3R2yx+HUnxQLBoLQFun2Giw +bX+ESXOw+T4ZR3or2E0wwEFNdSSODwDNcZPU8s9RGcY5vV1L1zwVdOx6RBKXJFaP0KCN+p+yHAIf +UKtW545WmG0dKrW0/00KXZwloTmL28yBs5IZ4Hu6OfPrc3xzq3UeiS3G/QMYJ+vRK0fxJU1pPMKw +SwCCoTFmN1ePnlUgdyMwIw9pT7kPsLsoil1dlWAOzQW6DfYQol6kiX7HfZT+lgeXKCxyxu1J0xoM +VhAZ6jD50i9HPANCpobmnVcebgJeZxXRfVqFe7LO85jaXJpVFuZnpLSKVx9Qvcb+776Du73uDBI5 +JgBlhdP4Hw1UsHkiOXIJzPLAbuhaERfQRzRIIIPBAPp6JzK9gxuGLk0+nSPfhQFYB+9YUKAZ4jq1 +WpumtvaX5x3scas6gdhW9TSr0VCA/H/fJxP0ER3xA5+qRPW5rUNpfINpoQSsHHxeit1tTbfZ2DHx +tFcG3BovxoUF2x9YpEej775q1B5iaCnJRBubtgzI8Tp96TvGDweRjy8H+G8LbnU+8bt9jowcUTgI +c0H0q9bIsMg2yYCUVowZ29aSe9Be2UVpWm5SfbzHT0ojZ6YCByzwHmvCiRCmWmyAvhmhZoHyCsaG +Va+L/EZDsa7i5NqN10uR1oxhJZiVViT+gpk6CpevK2SUo2GGf4gFgv+33N9k64rWkgK4X9ao8iVs +1uz5tNbjYS/H+kItonC0TXvwXsYkHmErSUmhQCKSvr5e8fY8ULPGYvpftxAJdm4SheKwffaDQYND +zI3vkRuIkK6c40dBPDmvhbyAl7yERKoN5ite5YXT9Bjr/W2gLvwie4eDnFRyT9eHj3+eXHa5FlnN +izlav5ayuimZqp55NkAHyNeJdgGyef7JfILhaI7iBvHFqULXIu+tiJQ2aRX8qDFZ0yh40KmNlkru +Vva7JRFmVOH4qdzv3aNJ+rvwk7TSjg9QP675/ILFneH+9qowOFppMz7kuDlK8LBClI+xGcudhd59 +0lyLK/lqFDE9twqRzPX/wVf/4tLXZWslaTLTi0FCxJO8lOsSugFjmQOgsbuneasFjrho+Qh9Rd1y +/k8qKbI+/KlV4N7ZVP2+cxY5KJMcqQcVpFXDcaX0fxpbOIzdbQXrfETLsnb4qP0wSD9xMixsoGKt +Sv06g1odHyoudHBcfhSxmGJI4jgVFJ6g5/eu48l9lKY0elPw94ahLpzNxmdoKb++LC7hK36NocWL +gwI6JX4EHj0lgqw8OADsNhR1vivXDUOl2PzpabFeTH2Y1vwMeATmEya8428wY4EZgBqd4IKyAO2X +9ONUGo3alKbMZIr5kWgVrTQf5vi2Rb8Jatn0eMRwMXMwctEcwIU4zgfz8wqfZbJKyPXPLkBGtu83 +0Szyr2YWQ7xTks0a9OUr93RwJEt6+o7rfFRh+qEPFInF8hreuEfauiLO8ejnQCVhAQ3mXJTlcnem +KaFuvmHuB+Gip6AuqyR7Cd+HDLsbTljM5L/MvSvp6Nx4tqfqbm2QNsa5GrhkWRKF7FLZI2qvttDd +V0k+fofgkECOiJRT1COaPYoZAACaRfGJWmPlu/fxjfR+B7EcZd3SWGa6W0CaDxEgcNmuw80SQeiz +ueYM3eFo4GARncuRtJvdIEDcnXYWZGpDUxPsg0OrsQuuklLlxfvAarw3DdqMtMEGsViGvwQA0+0o +cCSRovRlMwKfZZYDn3Ubd3HDylwgYJfHT+m8ARd0D+/tS8G9thhd5c8RLaqTRm22blr8/goJeDMx +1UNYlcJa9wfTl3RKTl6i3Ptsc6hVp1GIgwD3DTmEI2Uc8mputBzN1Usu8T155jQ+yW8mH4n7KsgU +SpMJ3uZ0Z7gSu2g/tnVejNzIMQnPN+fPJ/AMLNm60IUoEulywtET/ulFOA4xKlfePZdtlssig/Rt +RgRzsc8hmCBSjQVptd5vWiAHHV0eZhmLukLDQNJKGilThIj02iMDjc7JngMr+yMt6Ye4iVtMMBkf +RCGduknKL1wpyUNZV9lo8hGbe/32b6d/Ob0k6pXQ9AUy2MZDcBi60QM9+ET7sGt/lLeU3w9VoTUe +XsH65HClZMM9yNiNxl5kH0+97e+bImBDlgvFG6SqMw5D84lsdzzLnYjVSh2fFSALK70HiUIj/uEo +e3Az6v0jg4583RWfJlXHJqfTwlrDGjzvOyIeJn5GHDahPDQtS5fQF3S3x6+Cum8Gvbb7aZgpmq1O +NSXkDFxyXvFNJ/6LI9aCTB48VQcWJI588at9tNWSTGhSEaABSq/Ed2gBzmVmnfJhKW0chvl/4zgC +AEqu/BaNdbx/fDrrh1XpcdzzQzL/o4DZJ4045s5e+b/Q99riTZQgDccUxrhIqnI95m2pm83lMohQ +2D0Cppc6eiX/xjV+Ze4a2CC+aymXFATVPAM1NxqclNG3bnZ/3x8gkVQgMSzK7+NWggeQhxztxPqz +wIWy6mTZeeWRFq+t/z6N7PbKSAFysadO5YnnPJJnB1j7c22DgFGxqjIQKXau+tu/47u5rU3qkQ/f +L8nsLYUaaQYKIvurzuzFxsiDNI9nCxFBnKtwQxOOHfkQhdvZlP3YLlc/5NcPulhCvg02gNLrbKFZ +kg95JhrZtUhXDMfqav+Hag9ZEkzXMkU0JcF5OmbRoXE048mpGg9E9YRx0B1tt5lbv9+MbEkqu+MI +vxKiGF3wQ3OfVm0KNpaXWM7ezzpBgn0MYXqnvWMQZON5rmNDG83Bj/EJXLsEcv8m1k1axN68qXgM +dq8yE2gDuTaazZAhsHlH4UA+zzIC/iC2byLtSTrL74aTeMkwHfgPNPTTPBTm/cCGvQy1pEx9uXOI +l//Q2gpZs48iJIYMfQldrkpXm9prU2NVjeGIztavy7dJ3yzFKr/SzGtY/7x/NkuEHqqUPh+aJUN/ +9Mu42pzBBWpnCgUeDWJTtxg7SYX8eQ8fKEmqm0y9VTjmvi+2z8Ep3Hp56eziahoiKAphhdXi5Jrf +Eei+R8qexFTa0sOPF14WF2jr9ZLTmPGakdOQ78od0QY67tGQgtgNJLZlguXqVlxIquTR7fyEwsfQ +NU8XN9UOd2N/SuGvTJ/44u05Lz0fe7uBzwM22Nj1AEHK0CkKfJlO1YfTVSJGwTPzxNDUrsKgliB6 +h7LYIvCoo/UKaOzKA6f0aiWyHy4QsWdaiaAfWLNc/Mm2oGPVljTkrZnx0jXRWfOGFz2ROZZyqIPu +FNJ53uhmEc2TQgIqqMCYQ0F4+tH5Z3k5g0jaWMpNF78HdOtDTlZzmTAKaNJDV2m50KEwm5ihPMj+ +PfB7u0HQLezhu0MlbrZdVi1g3DeEJCpzhkC1kbtSHT6JoItez+Ha1YAXkXhfjThCT35OhTiulskg +rcobIB217q1aV1x9MOdxzl2rmEw97SiGny4pGQLgESXrFSt4i+KXZW+3sDjzcvuF4/xVWwDVwslS +R+nEiwF01tXG8NMMg1+kxp7gQNNIp0hXtgVM3XGqgWk4xE6TzedhK6hrQIWWTMNC4twjPhAxu7hN +hZww5JF3yOKTv0IjoA5Fh1dVfOB8tGc2pYqqbAHlQH3WLFtkvEBZOmg+vBpKiQDTpmujvBmKPxyy +MPYqWP5LhSFHoJY/0vDnuFoliOE0OZSjKajNgMehGToxELdDwT61JsKqduDGkHkcvVvpa5+iXqn8 +6488q+utzO2ICaQMGzWizkzS7EufEYJgQJo8ELepIMekBP165fcHHdXCpLKLhABEHAoCAnEY0mx9 +RFdDwhupfpX5rb5xkimXBIxjF/7prvZBWI1ynrY+v/b86zDK116qkeNngAfaV8DrAyRMlJV3X6xn +2PExmlX+MrBdQBpYc0wj2XdYc5iYjetr6GRYSSLyXWqzptpFsujF4428/bDgzeaeivnhtpa5eCno +iX2vOI6DxxjxUx7MGdDf2m7zVylYs5io954Lo3uUgpKx8+V9QM4yUIgGQ2CqUMrkyFDkdWd0KCTY +2/cnnLKMxHa0ANuZZY+blLz1kSFI6MMcJiG/97RT9XDzmMlT/bhv9ur1rlSk7Zg/fkaal1ZGGCYN +BvEikf4v3IVwfltO64vmda7ASQqDzIiVhqav0gdWCXimWFucxFYTpqv8XQaa471Mt7uNkzbbTckJ +sR2wqbKThWcbq6Zv29Jx74V4r2Itv3B2scCwYogitpFPYMl6Jq8Fn30sjVoSXgSF2XxBOYxankBm +uOjwcSkldIMdUGzDSUrhrpyUWoce7+u9E3cnmWsImziK4jWn96mgZ7tetquhXkNJhkfCqMSpRoi7 +8lc5ZNifcTbYs/MMqG9GwIBSMcyFjYIRmy4lw0A02UkE1ZdC6qO9h1QBwo7uivL1qkNwumuCvDUY +dmQsxtuKHpVlfT61O1N7pMCMsj6otw8/lk3PxQn8g/l6Cs4RTi8F7RvEh1320Hm7ywk2s6I+oY2n +568RHxPhiZ/F0zZB2mQfcmEHnrzrTYJBad4UukZgrWJza+OMNL94MZLsQanENd++1h6jsijNrri4 +ChV/ETwzGjAs5EqSwBfMjaAoncVNl9H+i5zv4GZ+NCjCzYHdvI2dy5Bqsd8ZQqB8fTbHOavFN99C +y/+6IC48cMe7yypmdTye9h23KgJsCe1EE4kGb+fAZYDxud19w4+pjNTwjZkt29y19xyKs+GQyky6 +VB0TTJgXzjvv21OIY6IB1JyulfMUotfxq7dkmZXErO7M+d/SRQt088xQQodc+II6fsJFf4hmsZb8 +VXrfttfrpb6/cG59zrsHdgXsjiUShFoUF/R/trrmc6avgIPVlFGGdhI0KX9kM/lx0Rnig1aS+NfG +OBDAh6Zlm+rRTzDgTXuEIXHdFd+YRX8YE3yFLr6BzFrqWGp91HDfaHtDrkJZc41zuRjwTJ+kBYle +/RuVx0yOmD1Ajm4gZi8cnbMcTXe0zS316GdpqSO92y2UO4ichObu0kdTf3Bu8i3EM7dpdymiHCQH +6cq+BiWYT7I4V+U63wp94SMVKpsLxhXnuVJLt8WUYsh8g8minwLukUjv3r842c3j4YtFtzR2M+HJ +zNHs5fBo6OvIsbB64obIjrS9FvWLtcY77zzYSPYKwEbNiRfNeO5NgxU9tFpoartP58VPxfp+trU1 +Pbd/vyxjFVCzlZscFLHzL4pqRXQ//2Z3p0wk0BoWk+sT+e1JBkDaQUST4kpMjDadhp3imMFYASDj +6Fbo+61CqHhRNlp+Rii47+1tgU7BG0nDn3eUvaxpHWsQ2e6tAK1vndPlLnJKf1Nh8oS2ztQ6w8YK +iMxIqoYq5EOaFreFuXYzVFXxPKQUuvlWK9aY/ngfelNcnrcYqT1BuI/YUU/TAPzb7UjAeIRWNbu0 +eBalOHj5OvEov2OSGZtYuEqdVkVqDxhL+7A4b84tJ/WU0EHvNM0iAjo6vEyDVJhG2VItRPVTIXmr +0uWccl9ReeOFgIY/ovGePvPF3mAPhNJyn9vmXPgUKl4ttEb5mZe+vNtzcO/u5n7GHB7KxS4K5gIO +71kIbloj8JgS8roNHICTgEfSRp4HgGxxWP64LlO96vJalZqHKfhG6P/4R7y2JonasHNApRgiITf+ +wnRbpQe2P5dSy8vk0nW2Y6JHAYBRFkbb6WczvowDoYRUCMU6HYEg8rda6/yLp1TBI47ecWJUCztx +vlaiaH5Qv7Ta4x9CYZfWwtZFrEfRc04h2Z1OgNfBK7FwiDMAx9OlByufj2xkh4UOTKXIb4A8pPFq +m2w2EzCH7TyYCq3C9CvfqJGWd0wkJ44RMRx8zzfBQeCOiVuBs7eWcdq73UIJLXA/5W83a7iY51KZ +t+clQXa3M1b5urEQbAffZRDZezuD/tZIZM6Ac+NslInhtMMNoQd5JALuhOOJS6hFof2wIlALKWM+ ++fjWBnIAp7zdOT0xHw0XRFiKXp0yIBzjYiLbdVG9DqWvR1+ig2viFyJVKZAXUYXXBWqfKfy3QQmD +8lHA2x3+pjbRMnvYs0X9CZUFSxTlBGBhhzglJndT1gz5Hm8kx7wOl+/hkOS4MsWDLkLxTtJEFtMj +RIES4bFjP1EcLFyatBAcWtNWxgYaIx4PKXg6ymrz4tO/7rlO3FEeK6oUFGU6kquQlCH9zaNpznyP +Bjn5iDTszEg1bPo1uxm8AZ7nSjTT5aWpehGlPrHJcvsMd23J+rrCM+UZ63hBa7n+Ipv8i4I3J3lk +NE6oyx2h1YIbwNkqf/DA5uP8+kZlpNJX5AihwWrM+19cUQ9uzxkV6qk34kyraHaawQN6Oa10HugD +xUbr4G0Abq7Q+eNy00a0er6hpaU3d1y8VHDfy2WvUuq4PiXEP4p2o4GqL1UHavNreAz6TIgKlDrQ +FQ41KimvuzJ7MBTWGbLpaxGnX9USOh8ZgeqqMDltJ5lnJ2RIx5ITr2BQb6uCha2UY3URO72uwn6o +NwMjytqh5qHAlDUiCfyVLL7QDpk5bqxfDF8Vbop5aRGUFvCeJBk+G+xv6nt6VLZsYkodL5zJUj8A +5Lj2cKmjAYT3DML1f23X2+WcfwTvfwkSW++b9zugiEE5Gzyg/w58HVpDlZT2d4qx60ARDaYVb81R +kVyzcXQ3OXdPvsoczjuJAMCYNrEPkjIauXhv2mSVdkUqwX9HliNnhuKEbAfEFaOdXCmi/HnW3qyj +J7Pam/NxHxkeVQitG8HfOECuR50cw8L1XCrrNhEgoygQlmd0oi4Hnknxb9TQUucsrcQ8URNnbU7a +uGxC+OOeZsMbpo+cYQmEGp+FT9tgWZfU2x2MPUbia2sN2UXksKJjnqY3MmGwShQQFkpOVLD9kzXw +T1Zu0tUGiIl/m6Pdt8UQydnNoU0GN52hIvPTVsJeUhKqDbeDljolbx5Qf5BfJoEdx+hKI61Z3moB +hw4T9H+xs9Lxlp1jMkQWzn7dMScWq71KZfYDaNCyRoJPqACBsIk5/JQY5Vi45JEOooIllh9JL0X6 +YKPYqolPT26+vkMGxHAooP5wd8HOmJqo/dp3VFS0yjk3HZ2bMxz8nCE96Q+MYhOf3xtSF6YVDb9G +bsi4tGTiZ9ZObTS/xMOpoSCOx45gx4MheWFoLDqbJ/FzTrBW+pvT6cKUGO2CWxSRhQCa0azMEL5r +ZbhEiHDfjVaZr+4boeM3W9X1KUm9yCMBR6SoaIvpw5ZTPPspGHX9XFVEyQ9g92vr+aigOp393YPe +IwE4JdpLd3S9HLllbproYA5WB3p2G0hJ/W35mPVdMqviZihxCKRHsXngrMhSgMUn9yFS6LK0DY5F +tHyc638CajNmNECILBV4a/9EBY2UXF0M1JnWdj+tkuHLNOoPZxCyGU3jhIuTcVkHBP1lY4KKziwC +VWRT3NinzL310YYdnrX/Hk8ce2NcUi6IK5kX/PYooGS5bJrx4cXy80F0IdtBo/swNZbHuXyE6EZ6 +oLs2tH94fSvAamVwNJljix+G0WGNysgSlkVewpAtgduZyrsjwxbvRJKf0G7CwIUNnzUG+i10sSLV +56yACQWMMe+yOqn4syNNH5MWWSzRyMKYsyW8gAeS6vHcTK6G6pOB+bPi8V+ZTqwD1bItYRTzI34k +wLhrajWeheLVRDNoqnAoDSvnApuHf3vDX4Q+RzsXhcJiyqTgEdfE9jTwhwXFCSkC5ABbQgTkWcWX +AJEDKATPHTxxiOZ8pOTBKRrdQSo+RSHa6PgSU1Vvq7pv6ThLPHEF8hdF0G+3qcPuOUKPKVR2f0Vm +HyMLUYQ0jqYGyI2mt6n9CYSdjkTYRoFS52lfGD+ugKuiKGhFr1GEgiVq8wLHcuPgjhFXgupz14CQ +NGXzBJeTpz8mGrde0nzT+p5M9VSR2by5EyQtzSoFvZP56bLX64ihXsCmRP6B5yfe1+D+huaSEPuH +2fiZ8/ErvTbAX0WwJkkr/5OLJXaB89dbeQ8FrrDrvgPDykl2Yg1h+Rp/WetGakaXa/4WlZUHyyRx +tzoWYUooXjpplodeusdFAHpooBqZ1OyvbAvqeZQZAECf2CE4SvzPE3jmb930RePEC/fTShavkQc1 +vp3h3Ct/WNloQA8XGy1rZTJuKm9/PSEdCxkTOdOPeYGAaHQ5oAtBuhvfSyXhwIR/ywZF6dXmCqdk +Vkta69tRUBjms6a8KzTlXYbBh4umpKFbiTd8dEF/GMRFffcQQ4QdQZ1BtP2gGWHltZfcSciMOcJB +1eD7TYywuB8N9DbxjE8mOE1MVgdTmhSA/S+SRP9LlLLbtDZYtWVV6PGzoXolNpNIx4imdPy4TtEd +Ld2NCHRWEmJoIwiLBwjb6mvpk6Kf4tH200Cr0PDq789S6A3BEZ8CeUwtCRiJ5fah+quwsSVXydcO +rWaOyINWP8are3emYM1mNU8MwSlEQpis8R3L9PCrLwvqsAx9HNzqWeynDf8pZk3Zjb2Kowech8hC +ZOCxQ+NS/7rHdPy8ngkKgkLyfQC0Ubeizj4YoAUxZdl5mUYhw5KqW59TWBRNL5BKy9SDTi3NDigz +HJc40vttfTO7VrBvlKsFh8/F/ChM1lQRmCJe+4vJeJ5mdKdlKV2waSAuSCuO28jo22cNIx9/S5ZK +B4gsVQGYmjVVHmhqJncCDyeVwpXFsDRyaK8J7PwMs+7GyNnb7XHbkflS855NAyaPRWxJbjxvdHSV +CjT69cn5j7DCoEWLHpp/GG5MgDPjkVSjqPMfDdlcyQRYDrJ7Kb9SZg4cNpOIo/jsYrwgTjP+vvpi +BDmyGLy91rQE5c2b4GzmkmJ62jwjkgYQNlS6Kt/11WzKGvfDxes3G6apm7HrSTaCM6G9zymfdFnl +yGZlY+TWYDIFSdEtkZTYeDDA/SwXQfT9JcylJpyA62eC1Iab2VVm8GJ+xWcFkKa5Ynt5AhzTJ+oQ +XeZJLiKJExVskxq/jVH3TPhuGRXvSgzdFA/JiF+JJpC6BNwnczgNnjFbsyFVeoV4UTRM+0MmWpDU +WdAnIPPnSH3irlT36lPwOTp/eXyXnTw0Dphz1BRmaeUr85bDBcpHciFrEb5MLiu96oWBlRjsXi9s +SwdZsJ0fyTCpKQEUJ97lX/cM4USaS3OLBuKeSVLR+zuLWmqqXE1tHLstIHOL8/pmgoAFH+soM+5N +70eXNhFltyp9X9ysvIQh6hsjYSfJjjPnu5Aows3z5gWhBnTnlXbYQNJiTYXBEVaAepP73aZaPKjA +tkJnKz3MnqVDsaEcWjjkePLrL1+vRetJnmcG8NlnMVQQcedDv23LPOshb4nV3Q/XQ3oll8gHn7t2 +fiRLo9MgXhbtDIgpIzYRM2vLETAG+/tc8ORQ91seQZv7e5qUq8vNj5zWf0+RUfmd2GkRPotYL6Aa +Xca4ggynFV9U1DvgpwjYi9mcr6FzQfEw/f0ZQ9bpewbzAyPMRPbXPAmMvHoN+gjm1B6AcCXTMAOG +4VA4AELKl6g/YeCSnrs7Bdm4sxbASUTKS0FYiNq8Giig7BT5UImuRqlq+AmQwGxnr5hk+SQD1ysu +KfAGNih9Pn3bhfCnciPZd48r81jumlup/3qeX/2JCx+vUR0qIYM5BsdM+xZ03qtE25erNmj89tqs +SoTjVf37+PF95lQ0gJynkuGVNu3uY2uEZR6rxnGRhfPRqIfJKnA8p+rwtnFZJ/+eje4UlYpqoDU2 +Whbfy+AorDzjNZJ3mhax9huU0jwjMqqrm/AjSa3XzzkxhRLRNCD6VJi0jopq2E+PIrScZpRc4bSu +RJtG+T1CsZzTi7jGbOeGova1UwiYhxYg+ELamIDxsFn7w1DjDvuVZZwxdiBdsNdcqjAdCTjdQPxe +9m/1UITMWIQLRoR/7g904bwo/53bEXAzrUqsTiH7MHVct3MpHRTJj6SymhML5hy7hiZdC1tPDmOK +Xbd8A4rwkgFwbPPjvwxhqEztnpCFy1f6826bRnCUSq8BAZ3KWD6tetf9aeD11HO99oGZGlM75WsF +BSw5F1JqAZpi2nvPu8JeTC7be31bYkWmVefwXqrM8KriTZT7/wSiUb1nlkgGkqkCGgo2WbyYrcJA +rO9dCvk2agdxC/MO0ysslatMHp4U+pEg27SHpx/K0bTHoP+PkLYJTKIToyiFFxtkfTnARPG7s4sd +0RWC1FYE/jh0wkJR+wKUP4+mA8fKLKdLLGrBTLvLj58W9mKAykhzCb3kUFqhNmYC4YIbYx1fHDL3 +xCcAWdp/b0v4ZlP9rjSSYGgZivJE7NU1lzotkJUoeCFsCGnfFAqbu0wwCyYq77Bpx2QLZsFf0LhE +HIUkPxhpy6rm6M88nmxt3+eBmSW0CEzNEL+rtOycncIHDqpaXN9QRB/blIoHlhvELSfcmSAGHSec +JIfeIbJg3zxmlhD2JjdSExoQQfZkYd+GQHAgMyRMIT0Uzb2JSLs66/Ih43HFqkvmMX6dzm8SUyrj +dOE+T9V/EMNsciyUSeHPsx5lgU4KcjjBSsjs4RIgZDP6sHWeIRCP2CgK7NoxwQRj17jfF31AADQ2 +GYse9UjoPrkNmWZ5sG7SvABGUr3dv/XBiyrrpzTGqwQbNgqvGLaIMfCRnKHTrP1Daip1CUrWz81k +qfRdW51VRW/JF2Y3WwBYMq24SFQ0RPE2hTLTiZAr8d7h2xDmroM4oTt7kfUH3g/p45/Gy+sNTqCp +WMqBpdSrrFOaHoxsXSmVdiMQGYmODDrjgUVn17G2WrHjF0HDdMXe9wdCTsaE5TOXOXGW8rYRtnVV +XipQaCZx1MTxyVyB6vzodmP+f/3i1hmsXE7wLblPL7ngQ96hIeo84GPoRs9pRb/FpONYk2AWZqcn +2zaB4M48xoasAIELMV9yddWpfl1VndwGX5ZscJfh9Ka4gnSgwufD/oNx3F6w1fXlYTi7MRYnKlQD +xOqHs4oRPVY23oqoT04NA2iSariBcHolB5/wEFLNTZvn5vBsuQWM/AkT/t0stCyEihTv3i9DHIMf +hnEZcntIXrn8v/8eVfHaznEMtKev8SlzVN6PkDjfujA8fsiwqh9+nVWxW1UuJJn7EIijH8hyaWgt +hqORdhz9eVMzdFZ2a9pHvi//k/so0EXR98jCGRC8rET8Bca8QhByQI2mTiqMsfsPslPk48aTVqN5 +VLxxjgvs01ly0sxfgMm7VzNZztoiRbuuuQzW0oKcoRWaxyNVtw+nOzqJERawCneXxp3ejulnnbfR +05DCPwaDXsnZwWdGSFaN50RPIbn7QzJsGSRs8Nd1LQGOFFBtiKau25YSSwSBuNL/Vzg1UCm6tiCM +zzHotUMf8u5qnBkIs9d7h217zMBP1bE1fFXoRz3yuk7U9TkeUQMCchGvLP4vmvEhdACRJY4cJXNn +eiuYAtL57Nwg0C2n3XGEN8hffyUiCYB3M2zYfBzyiKmG9MLRdIR1M/t4CBl1VBuN5LyVv+LDNIgR +9VE4UXwgl4nNNdd9sbY7ctwuBWvDkPJ/Kbi37SleSP1ST+Du2XRrWEGZIV8Ucahc6Rk7nVnmsP2O +qCRmv5eWpmjUmhGVYslxtWdzX7gs3FEY+biuhphK6Ha0CXUFzzP6bSohxVN7GsRK3r8vQrWB3zpt +P8cVh2gT3JMm2g37DTJV4tEHFOq14/KhY+Rby6VxwvFCLu6dma2AvPNjeRKysjyDd3zGDrjid8DW +JoplKM9iX75OOdv99EMPZhwjzs+4gXDpIfLQH8MB+eJMVtNmzJ95ToNL18kfGYlrdsiHOirZ1C6K +E3mtQLltj2vSQ6oPjTE3G5o4I0KBRfrcVhsGDbWM/4dnq6V/BNiuEvdrhGZevqPbGjt0DoTM08/t +yu5hLfYzaYE0q1ZhUx2CHiXXjI9JS5cg1e9/JQ/MbAliWHSguFcdyP0gfRlS+9V+zaqp3vW54UP+ +1h3sDBA4vp+QNg8agdJjMJBhvBd1LIf/MCZex8c7dC8jM4pfvMiSP+mFY2WpmU54R25MO71anUnv +B4Of6jayjsx5qC5mYUhb/sdAV9Rw7GicI1hxuwp8jkmpTEHm5CZFopqtqeVhmiXLfkOQmNOxbGcp +hTfmFF1F/yd5UXNIPbaoz51I1KHpS5F69/oxueM4P68KE74zTprCGWD7rgA9cWQVIan610wuq3jc +026vq2zWdEsN3ZuVmESHwoX8TMTiaTjpDcQmNJP0eU6Qdpuzl97i74n65L4Jdpd07TjYVq5mJmUi +2lQqSEEu94LREoX9AEh6USSOgP4dXvhWeHHCftIx2fwQi2HP5JAW4+rY7ticdpjzhb/ig85OyWMj +RCQMdg1K94r3BrcSA22Awsl2MDQ0EJZAufmo1YfseF5izJi597ug4X6ZwMFkqJd/tpzIRdxygQkT +6xqJiY4k7mcz9ZfAuncPcIsbo4VE+AwKFu09FZqmvmzOHqfnhB5xLHQUgk3fnmcvjXDm2c3+2XBO +UmEBYIGa1eFGg2yufqvmP6Ca+DZFpUk+4CAzOyzdy+fgitOg2mjsLc9sjzETs1QUPkUd/Iu9kT/G +zGFTrOHQw7wXoBrY3hz9G9dNH094qM1DAdzO176t9F3Qn/OxR3CMK/hSKw2ANqtdmAJlcFq44dEq +BUPtzIWGZNESzNlZpPvVjr4C3K1FWjyqI8jYmvwQEMeww0mO/jRVoS2ofFHQe3MxVuRxlyshHtB4 +Wl2VNiZwk1H5BAHN0XsN3dpLfcIMVW5ra/9aN8V3FMxt59eIKR4xLhLYUzIJAhI3em8GcYAHQb5h +g0l7jNwpMBd4SMCL+m0zKl8efewJQKrhe4+zhv83orY3fm1eloTP9sMI48u+cPXsSmxTAFyvEEBy +j662E2i3IZHB66bu0YL8GJ52E2JXdF/6MZ1QOn7WHKnVS8ECFjVSyRnOSOetqWDipiVfhH710Efa +ouyoWORxgOxmffRrYxRKf8ElnxkcY7wyjvdfbkQzM3YHuSW6fiOhEWgTFSQ9msi/r2Jr00ttzGNd +BAnqCFGeW2ZPKYYu5Ru6XD5SOpB7ZtrgEXdn2su873s7/uZavsFcCNvrLJS7/kAThBBlUTj22Q1H ++yJiP1748/XskbyjhIemU/bK1Psm7DoeIhbDlrzjg1HRlJVaYRHPQJnFVDYaDDn+LnUy9tmM+QKl ++wOr7U/BNDrSxae6y6esqUP25vR7f7Oosh8rWFx/NTC/DOac4/cOKHxaYJ9FxchAlPUBNWU8RcKa +LHnoXOGPb4gkSkSm1X+9NNr8QXh5L0Pc1gh5+VODOQV6kAMj8MottKSRtjfU7Jpqf9rJPIoN9hJw +qxpTqAzu+Q9LjMVFhv7bnk/gKvbBtlDGVtnwwMk9C8/mVgyQA+15whVTeNFU1hLZFpRgb5ag/BQS +DxWP+FZnLB9i07TzagnmAKpJ/3/Jw5yUlODgwwkM47U7LDeCwLpIAFK2mvrQqySQUabmqZMZbIZ3 ++3YU0/1LskvIBFMS9M+/5vZOmANX6bNb6YmJXpx8BbSTGcbfNvzpH1k7S20AnLvuXhnycjNePsPk +HjRRgfSmzBlukLGjjK7x+BoSb9wrufmeN0qDdAGcTjggQ70lRkb97U1vtTFEHduc2HjbsIcYH0F5 +hl9S0KoAFjqKzJkOxUDTyIf1FfNyj8aTDVj5/Ju+8TudZib4I9bN4JBa8FrNLoK6UwCq7hinQn3F +qNdFhPlFRrYTR00Jyp+kvpiMlmaVYL94L8cfsP3+7S2aS5yHLo9dwjp8c32LiPmJ4KEqoUgdqS8i +4rcjXDFGcEajuWPzEOSINguqOlsxmLCroXAGt6+aLr4xOyZK8EXW8D/Sab8iYAol+YlANEhJQvHh +wu1KnyS+pxPHyaCuVXBK+KEpp18WXPW+ZYBSQIlUbTVXi8sDbqL4dpFbZYvYHVv5fklJZbVMUUGr +DRzr/Dzh8fIvHiamipeGc/yNVHw25Ip77VlptLIgnSff2P8qOFMTiyePc0QmcX0lzWo8Z2FIQv8l +4OZl+yMRXL3kRFf52Xk3RImA0cUMaz63fK7eGdu3mjBTBDDBju3S/OmNqfRR2F3wCIs6c/ie3/Gk +rMOEWIem7sJOTOZSVHESglcU/Kjtqia3vFIZ4FWGYh3qlHD7NnPCcX9sIe2j/vlfQurfG44Xxs+U +pFUsu4UcWyv0QgQhS3rJzIAT0mK0OBjai1t7ZhPdDLQnuCrfY07vej3q2uCPHTzYi6B9eBRe0S9k +4mL9zGoCD8fo9/WLHGebn5XWgkk+eai+dK/1709YU/t0YfuK+glwciJilCMS5d70lDeiXhbKyObh +1QZlDCLvvvVL18T2lfMEjpHZxwkY7uksai4uk2QPsQnA+D+8v8lGfbjoTbaWdG2gYLGLTGmE5//5 +yPl1lST5j1TE3KRsh2Z6p+e21K0vE9nxNDGSrxTJL1f1Wgzg4ow1goC9mMop2xJb7cxpeCKJylgu +h/docPbpNmIBhd3Kjpg4najFmdlSu7s3X1nNLfjCwSeBSo7FU8qAJ2du8sBR3u7hVZrzwi8vSydH +UxKRlzfgaYI9ZzuKDbX/ICh4OICh/G0fSykJPRkluLAFhddtkXlSYGSPhqTmyplTIYGFRXVMaZA5 +RX6r6Ve+V1plBsQC+39SaU9m03SLayMZedDdUMuY/YktiIW8Vk1UeZ2XlioIvUFdCDZIXodJCoeE +zQ5Yc4AJ3LSnatMJnpti/weQuZHRrYRYu87TS33JW7VthE63JsumDJFqJehbKQZqKejNl+RnD/db +UOYaYGS3lg+1SxIZH2jI8CDJmglaZ5HQ7vWwI5skLj9XM8sacUx7i5840coHGIqVR+iOH8J9CpOb +xom76wkXti0HlYh/IGBZ2bliCWSAH3sWSO4DQ+V0Gi8gY55cnVcjI44ue1Cx7aI1RxADAgw7k3Sn +aTprrPrPccjJmZEyI1V+LncrKvgDlc3o6P8YrglC/6Ri3HWAJCqXr2PgKoT8EPGdtOaxUd5K1hHZ +3wKPgD4tfcdjRFYIwj0AGBAwTLfKBjVUdigda8G7OSuKmDXqdmCbhdnZWWVXapRz1LbLXtsPbQ7G +D56M46PIds4NLCBZA23TP30nDju63uOMnofm/jDvFuaQz5YBo0o6YNE+daCEyK8jm++BYVIV223p +feVN2c0+WgApALoikDFZYt+4t4uBg5EQvxcscjElh3s8y/FyN0fLxuETyVhTPmAg2ruKvHFpFoyV +yYGM9LDTz4AbGyvUV8XO0Ujbdp8Z4HtVkLHjdbGSc9Q3zBfK/j3KFQ/+LK6Ijzu4f/wDOpIz0Qgt +e4AySpY3rm/FNTQatrlXWAdzzDEvRR0CnRMRkp2SKIfwL2UkN6GIlTpVs885U13M7IONdKv3uY2e +RNFE0+ZRXw8Td57345WwSDyJCumnG/5nM49WZyyZEWAECqFPaDVnCMDoMn3zvwQMr7pMnsiFFaze +afdJ1mc4QITJAgF/RBJ8DIAPfl6uowHd8jreXLbcOay6JofLUaAwBrd5mBrfksH9K4vN9vrOynrS +B5RS88T/fF41iPlUlZQNmKTfazQAaDEJGkd0Prp1JfLAKQz5rsm1elvgXu7cahHdFXNhXvjvLNa1 +UwB2aCzpUWkO/b0fPba/C4edBY4xYT7dHppRuUbO3Lz2HSLu3JQIGDv+7sMYnFQpO9LeyqJWjRLb +3CZVneyL/XVgjz696y+ewmUUcF8wWDqHRPJ/t9eA7p3PX2/xLp2lYHV8ayW9uaLn1+8SpWeEcuqm +tov/H+nPHYYeQ63XBL9tVWEJ3eJEd8k34EeD6p3k3l2BwkdQK5dZUkm7pmuEaQyJCNbOaGH5+neH +G67GqGHOO25Jf4XRgYd4paa8gBin1AIw9o+0BHf6wYO9yxmkUxrPqfMFjudhMr2Ulx744VYNIhiB +m1Z2ASOCMSgwB9xQhH6/Udw5fpu/Qj8Mt7fYjBmlWfuSrB6GdWH3bORf5/5afh123b4Gz1NbxuJD +fC6MubfdkcK1mZ4zJHezX18zrPIPFHcPWpoZh8zzmko8wPpKUw4GCANMNdfamVFa0v3FHhBxECNr ++hH0lsLIwoSv2f9jw2b05THk19Rktyd9SGVJHs6pjibbKT2/RWJPXpXR40VKc0CbM0/QxHbP6jOa +n8rrlQdVGbvCr/Ar6lIsTU6Nqub3bqL0wHtkzuuoKOKaHDcfemeUGaQv1vMB4ZeGcgB68WSb9a5T +Rr/O7emQjr4znuai8JUjiB6T2j2LNqZl+gDYokFsumvRRa8WsPrNwDKgoDkF6ANoidh1CUH2b0Fn +hx2Smqf4v4RetrtQqi32h+SfgnAovIFqaL0KtEkSoyf19rRYOPOfMTo8IWlIxDrJeSNH5Mhsd6yE +Q3rgAFRejo+1zF3X19LedkxIMkwpSE5KDOwwCM7h/22W/u5axQWcq4sovEtzX0ejcUcM/80/Etoq +tYHfj4eqIxpPQDeXVRdL66Pn6vJLkMWoKfkD8mG+OrPuKjzatrR6xQXMdWHOqOeBXVZnWzMpRH1Z +LQTcDSJumvz1VlU+ncPSubY+X+pmdiZj6dmPB8GPEp/h5V/4Mavg3uLoiBMGN07YIZBgRPVkslNv +ZMU78e7g1DLUzfEJJ4BQ9QjmGXXtRf5PhOIMJjrQUqahA9UgSup6eBUE2LzmHNg7CG+wfb/C0JZN +t+X1lQYOFeVpXJtQlq9XLWGVDyVY7C6z5yXmrcZ/cV4XM2AKEvMmiDAfdh3wtFHcqVXdludR3N8X +Tp2bdi+Td0Hnw+GfLJj+KMZ6RjKjekQYtJumqUFCX8OZF6zCCrM3IcWzYyufL42nG+8p+CUCAhBC +4RhjA+MlP+d5H95NuP9nAuCP6wsIul3dI6DQZGjV5iOkpEYDRDyJ5PYBx2kTbJbfyBDQuMuUvVJH +V+oe/rPEpKyGao3GiacekyhgEG6nIMCsHPcmZNYji83y/ul2iN1apI+V0YjAXKIPPWxRnrzq/Zsf +thK7Otwb2e3dQSr84KpJfniAppeTowvU+F/YuJW5KhHA1lykQJhXafbyuV+6TJTsO55f3vboPFjS +IVTcLv8Om0JmPXRMA6EGClaYywRTpBsJGpRk1z1IKl9d317aBNxUkUf5R2qurW7iSYJqdO2w+jy8 +FeZBee/s+K7MuY7eVIqXsSwjQKptG/ZpSgiAKWm7G9SabK1aHSi9hmO/wEYKBvxBbzlXvycKmo3C +TMwqKtZYplj7YnYNNyCwldXUGLve+QXLagFBzOahED9m2BqoPjpEakDlP7D6eVpSBa+OpsN48R7t +d0EDW4zBugzXIhR5Z88CPgZ1GipA7Dq04jOsy0C5qtAduAo7SO2jvO0vCJzMJY8LIBKV6P90VHCX +BuU6cSKq3xq5JBOMAR5GYx7bqXT5Xg/2lLqwIm/x4kFjc6QYhoFxd/6v+IfiYbEOz9Qczas8DI4e +1tLlbAW0/qcSx0bFHh+OVOuQYYqaAFx79Dw7XcJXl8kX86gwaHz/3H0N4dTLV3R9fV6yMMfCjRMm +giKipbXt+ijXxH1Oo841vl9ViB9PHgWb5Fhj1RwND/LeIg7ywkt7LC+X0nFZXFbuCBhFGxm+AwOz +0rgvCGOSBbF8QxBgv8dq5kv6lwIaGR9u2ZmtxUf1PMSHTIQB0d4yxYsLmXQ0gaRUl34ighFI+sx2 +8rh0X7TGdl3EfnPNPz2H5yB0Lvin7t8a5F8yGqXXMuRZxweHocw4HruUlVcOZazhb9v/8JGLSdrZ +zVk4xtL9i8+4eQSvaqT5Z9XBPOso20fQM/udbsyqAiD1ufNYI2OnweN/tAK+Tf5ZUqC9zYYzIqRn +83ljo6eoEaGkHDv7+JZ7ew0f/KI5Mpwm6WkldQyGoLLdl/Ul5Oj2gBnLqTxBf9LspYWzIgTR2TqF +o9e/y3SOqIDHhgPoxXM/xYo53Z2lwIEBSzYlUe8NSF3euHf1s8z8t+6yU5bOPmDBu5iWoGgkJ6Ge +/s2wcXexKjV4ETSkn9Eo0XohUng0VG1svM7Q75ORuUv6SeWQ25NsJUXWcmChOIKUkrTj7+yEsnTg +ckXtUiURclgVQlQ17ucT+Xwt8K/Sw7EVuIZqItiLciwxxQgzSbqqoFoTKabyPqBvGi0at4q53ZfS +Q+ZPR2/X+/O57Rb3BMX7qnEm8meCbqkSiukNSuw/J21mKVm++COJPTbHwpa2etbmI4d7JXmnAMkf +4AeJ7sou3pFg8j1scPcopq6Wdy+ey0AYddnwZmh8p0TtIUr5B9PSTMwcA5e/Ww0He0LPOPkroLrh +ubRShjLO9oOYZxAaD2DJdf0hSS21N0C4rC+z2eqLXwBb6X59Su/fvcFHRNkR5OXxdx1Xf3iPIliG +2bVQnTTZmT7Se89o7u/FAsIDWiNIFRiaJrW6lNxAzm9y85daa1Rr5PIl6n9EGwJWfOet4Xkx8gfm +lVc8f8LZ64PSM6ppOuQ09uoLvwF97BReTcd0KOZppMnPSfBuYbMih/naAYagRWW7A3Tpn90d1OpY +4I9yYflRtYJJbS82tnZG22GTF8vrlNOSED7ZEvjnv2GN40AVLqxXH0JQ7kZcDbbVM8yMmX0QVcsg +8Of4FVPzf9NW+WH1mZUxI0eNF8CSpKWF25KgEtc+jyVLAPvcsMzP6ILlzKNDDOk5gMoK0RTz448z +E8FtSKOp6eAQfpbETcyrzbKYqjbUnCCsfqIhH7imScDiBCwkqEloYoMzj0AK8ZFYqhtbIcRxsOsD +oFTYkHftVjGfHtCPazbtR/HpLzdgZCwmkTYYVzre1FeXxlMBsbapGh0dnzr2XOCkcux9cq5WgE1V +JFG6zbbq+POWQsRLDrMcOuoxa/uLrtCRxfCThzU0AkOZ9wtnNSQInPxw0e1kPswpIpEsoVSYB/Qt +OB+8JpN7YK7E10EY/Foy1+eglx2RZxp+VMJ0d8pW41W9hFt2XRxRZJIw4WbyRPXWIeYK6ThU1uyc +sy1+qFsXGckW/RpGeINdCJRxn0NGt8U2H3HNwmgjRBCtHl6eFz5EpqSilJfP6H+ipvtzcn/D06Ez +tgCjktuSwu2BDgelJ6MJPUyCs8itZdvzIcL8teAVU6ljKgPNj5tB7vFwSHKH1+qsBq8pmh/T7vjG +E9zo4wou5LFBXNYotyVSs58+vdRwal5O/jI8cxAyt2jTqBuoCMgfa9fzqZz5Dm1fQET0ymlqK1RZ +wL3WJklur0ahmf4kpZRVCEldMBLokZV2Og6TSGXtETxRJ63yiXlrhbOnlB4CU6nLWaXcc2OVjXEv +z8yPOjrd1kaJ/cTVGIyr8Pk2e6obg4G71N522iGT0/U15e6R966mqQEQ6iZnfOQW6WaJzDZP8m33 +wpheY5prStWG6PrQVPk7pbX4AmAGmMcJYcAmsO3IC5xgl6HfB+pIEw7yFb8DISrCb5No0s8b6H2V +F8ahVUV+V9Ew88I16UdhsMCVeM23EKbXoYONV33mqDrYhWjQftqdy6xGniXjm2bZlFGU+xraMY1G +369SZdcdurNozbFcHzhw7VDPKaBQanPqbVtob4LILDzb953/YYAn9ZPfkAJeWECd3EvrsCeMvk06 +HGSQlLmKE+tLuj5dSDCMRAZConxjw81LF6sJYf/wjhFHJMavder2fTcYGfaOG4BV9uO7fKa+BxHX ++b6vd32RBqCe7sbJ4QualkYBzVI75EL5DExc9mUwvrvDejVaVu0aPybVGewVJDn/IPkI4Mudkwq6 +s/mBWiqb57LvwjSBPiXNN/IZHEBEFbYLLrfYgacU3hduWf0WyGuh5odr3Tzh3zn5wHx/SExFBPaH +VvNBTUL7S46KGFEZGB4qokZkkahZI7wD6ah5JgiFKhsm/IagVhi+gg7mS5OOIDWU7hVqJlUiq/Ie +dSI2940OwFrI5HgZ6uH+mORm5s4YYkp1IIVy9AYXur+gUA/mIDqad7BlNopWXAbucSk85gIieTUO +8lwvzK4YU7ykZ6qKV7ymh4sZa8C7vyloSEZegQXUCo0+DuYuemBnxqkOWXNz5wBri/s2V+ejhJU/ +7xIUd3swgx0rXcYMfmp86ayGZff0UGGsCRrUnVdH2MLr9v4WzUld9zg+SYu/1sDKuG6joQGiVMXw +ZAKrRZeCyZOELYcOiIHX6UeyNLnw7pkdqZ+5K4OBHzDKM6IR0a6rxhHm57SXZY0oEwGCdxt3hVIj +gjb+o7w6dcZQqnoW+wykg7+YRnfmxTKTmPND6zqKXNkNX+PTSNxsnp2nJke6T3UEIuOIkxfFlYIp +ZLk3ok8KJNJUdkriuUE39qoMBirvLeMAAUlXpfKh44Sp/S/aFw0KOtSAv22a1mdCyRMJKvx/C9Bi +Y7/fpz/lNtXxowElvFpbHNBcX/et+v/IM6nU23cpc+c0ThqbDHSSK2ZR4WY0V+lYdmbWfsZFXk9v +mPL0NZCyIzShQcroE4WckKXroZv5eTi4ekUePzqd1+sp0/Pj/uNcpMu/us739Z8fDFhbf1BPmXjp +CkDhYOF12Xg5okB0gbPgeQoN6yQHEiWdXrx1gk8x0XdjvG3/2SNGCeWkCTwlX7Ancyy+KbxdO2t5 +5/8euDKbrC5yEFKY4FIKXWxbRuEA2avlVMeEKGEPHKGA5mS6xdwbwzhDgn1qy/SgpnxZkszWZbfv +dsIMpUTGzXVi0yK1R9O+gQDrthxwf/joUB/NZMLbR/91SNgy/Ri5rEcwrpPygnuonO7LXb4cgde7 +vgtHtrYa4KUtrtp2XaaS/hPG7vKaVPGGEoW3AEmBhFnKVbl0e7V/itnu3F94c5i6665IbjhygtvV +5S6WVwc/IS/ZPr9EtSXmHXDePLpPt3YR5XjpdFQ3co33viTAi307c2JpOx2E6d6vpLELGa7FeMZs +fG4hdiyV/C0LEXb/aJh4mNo0Rvl+ahvR2ZFrbXA8aFVacETHEs7VWbqBstNuj3diRMuAL11FeouV +Fur72wJ2G/5L/sFKDLKqsdgOhTavfSkI7xIcuQglBDwWwdhuN75uIOdCGb7TJfpatIkm5yTJcG/p +S99zuuu4HMvyjTAJdzxK1LD3Dqm0pnYYVkP7WKMsVT5AegFrZF/wPpDZqY50wHOSQMtsKdOHeZrY +71aOqGzDeIj9qhdwnMUUedgvR0/WBIOr0Nrhwwr3w0MFG5fp5gPV1mlhKMtU3MNiyTMJFVNvODwo +qSCuIKJBP8HSXNrTCSsjK5EXom3RaH1kLBUnW3DAzIVIIN6gy+ymDl1L5lzExEGFwl7GQWT0lR/h +2z/l6rRrxPfmPdplCIyEzsPUhQd4cSVvV6ion2WPRkK3zGrTD6SaB9M2z2aUFHKKmoPtkxZnVVxW +jUzPEHW5l50eOLDjRGTIU4uqGDBdQbncmASwp75h8e9pHY28qmeWFSOZUzqVjSSsZBBmZG2nZYtb +tIUSQfKFXDksJkrT91e9+LUE9/68a5wtb4KjcMJvFzQ4D1sohcWl8GlT1TXqPeuvMeZNS0FLWtQc +v/DQbYNcGG1+7XPn3h1P7cBbfoGED3k/htoRJuDgl6qZ/q6oLi3RXchkxCtrQEs29zS0Lu5d5Tqf +tQh8ivIUT+yORZoi9pB9RzOk8CRb7jm3oCdHPpVXhILl/GCcE0uaqaN9c3fA+b+u55YB8Wb2cenj +PZo80z9wIyLtz7d+dM5nzWfo5ixy2HWYcU3FzeH+1NEQzYC9CR5G0j3wnAo7NiuFSDjjnDWFFlh+ +AIfFufehb2It6Fq7AwCRelpAb4KvIIjP9yATuxoYNirXbLFARax0j0oN8Y605qd/9NRuElGGa7eD +RKvv4XKSTiOM8wJ8vMia37OYtf8fX8419nu8Lqff5+TW2Vi8dL74SLbH1gCBpAa1+XgE0lFREb1E +G+i3FTHRqFoVzwWh4zOdW46DV6XSMNJHRZ5R+l4AnOtn8mwF+guUNRCa0ckXg7SKogXUQywpKMXb +fkT+YaPQffOo8LZoXqh36/VaHdQzMTNJk18KC7yZ/A/acDIy7ecDcVaHwdI8BcJtTjXTwOfebeAw +9cWLNPmUDmSaLCL6xLtlJINPEbOuMkR6A+Bek0+6SMQnOrOwGe9oKuH43+zG8tlyisASNHktDEuf +MmYC6R/c4jSgl2xkz/yla7ZiJAdirSm4Zg/v5YHAFpWcagOp+nK+MONFLKiR8Ey8kbBvN0iKPLfY +GAF2tj6RFmk5JSJtJhSLTq93fZVL9gI48ulKppdAkSPLdz0Gp4mniu+hliQdDZQClr3A4jSxHsTY +7Ug5KSagoOnordh5xs6hdQ15MKRzNecbJLXT9fs/TjLPQuQCDdC2quSzhYVMhE/rAlSFieai/ILC +UBLNlalMx6c1mWHp0jfGubud5qX3u3wzFxufGJmaDdZnetG6CSWb0tZmS87bXPp3t9ZzqXbKPpgk +0L7Swi9LepdJVR3UNGV4o73dMljjAYMVSRdP7erranRc7h7lGpqUX8W527C70QaVjyKx4xXpwtny +liLF+IwneOL8gA8VVVRmrpT39qmJR65jm2pVbUBoq9uPCeo7kWzCVJqkJ6qZ1t7VyVKwhlL+zoVB +D8hWhEQZbYXYx/W4n1hb9hOqPuhHeAehVazBCb99DjPzihI9cCU4as6XhXAuUZ480zSibKsqIj5b +fs4jQvZvQFNsilFkQotNQGxsm6O4RzP4wt7OWUGc1UI0FKA6lTkK+0Z9skMl5JHoNVJYjdkOgcMS +Agi7o5N3JPmLZHkgOMdgOF84wpOQ25y9Q3FS2rPe1xYV5SA6AKcO8trhZNtUZ4QpVVV0rJoovbV5 +O1FnLMDzY1dAPAjr6dpig8MUiKXkEpJVKXOGtCCR2/dSOrDR0gh3st+ndEc1I+jXQIvjmPKYg/Eg +GplwyDO5CILU09jAFDLI/uRTcK4TRCURGlRFqXhf0twDSfTDDdMpPeeJjPe+g2u8fsn7hVUCAq0x +/c4tc89NSoSZnJ0MkfSRJ2ATpkey9nzzLihzydAhIOdX6jJvRPnwb7EjaubGjj/jIOfOed/qAVye +N+vaVgkD+RKDJgtxM61qMulll/MBU/o5J4HBkpxbIFNy03zqrFIzs9Lmpwosz4HBvWzexMNd8xTW +/eDyBwB0u0qSTxH9j52hA3zYqe/Men7msMIbwikYDEHGIuU+zQ/DCjmBmX3sxrFBVJ9LUFj2Bs6g +fvVVaCoGdPaJFqMG+TmvarVyGeT9iVO7sH6FJahKOROBV54MnIAOMR7Nh3VIe59j1bCbcVPfsAvQ +T531uj0eZU0O1xwvzq3M7zQzkPdacZfK9Mv7Tjn+XgGXdL/zhVN+jQF1R1QfQp9h7aJqlp7/bN51 +j8V5bICBQFQbqPnWje4Oeg74d0zDWqIcl3+hoNKXJi2gGlUUwuljn7AA0Ufg9jhAYa7SR4zlFWES +mjexHB0qKKfvaVIG8FRdcyK78nYk0+IA71R3Wuqwcst825zHQuKC8cTzxkPakX287eH8qdd0kqJM +/mc9QIn8FSGnw9YgjnOWXiMhDSDkufIpjlMGzszMZz8nMufTSC4FE0xunv9nJSFc/myX6eicWs90 +eqCHhuziXVTA0fWKEeYJUPbwof/iVF/0FeZ6hx440ixmv2RgLDBX6UC8wa/dxkuS55QvDkSBPdK3 +vH/LVzVWU6X4Q8Dw4k3MBUnhVlqCwxuICk0J6zrvX/4aUtIPts9cBwStNRJrNkWgjJHA1iIA1OTt +UffW/DNCF6XffQU9ODsTcJ0AdxuOxP6fe1KMC0RlGXBf9w7n0F2/U1qbPdXqNwR/FXch9Hcg0WpY +I4Xtc+NpZ3Qt5LS275dkHcK7GtPoagYNxMgYKtYzAvLqEHTfz4uBGaLUig7bUN6qC9FYTAjAECsg +Xo0b9bGD010A3lfqqPneBy3tYrhiSi1JuKQiQEmykgaD4vOv9HPwuvkEMDgaY5LdZNhvX2Gz8OAa +Fo+UWJj443+gOtHIffd3dZIgqo6iCHuDDcVV0pqTRi88+pGTR+QhZWcIYYZX2jRMwpzhJoCZtl6C +Gog/KN2VHI9Xa16ce8MwWELZ80T4kjGy110hVI2gxesrjHCpItkGE4ztrA0BU+wuvIRGf2qfyu7M +4Wmx3t43jonGGc50BKOGpR1hf8cHSPJRlV3n8YzRqRTJkzkJEvOpG0KNW3yIflsSk0nLG3SKV30L +HwuMaYxQ7AODyQgFNjYt6tRBkqFURlgw8pfgHVrrDfpJCe1O7GzaggSiDBBeroGhFda3lW11RwiY +LqwypyHJWFYLcg3DXm02+6mqcG08n8C+dm1fZCIe4v2zPJIHhdOkk0VxPnvgYzzgpAYgYMx8Z2i1 +IX83iKisC3kTHj8c83LqeqdwsheJOKNDUQROmRKXZQBdqI2+IkKxCclme2DX1zqGLqWEgCcCgFTw +UIluwCPywFYI3pHgYXwIExL3cU8pI9vyaJR9l2mplHeVzAMjDFqAj8uZNiLzktRyGzxrnJvo3kq+ +dyHMTDdC9Ce4F9NZiBHDkBhjKWDdPC/WVF6fsNSIqPBrLavjerX8RX8fHgeAgrqvZ/7LesujZ1kg +3KlKVr5C+CbT6OIMbfbPR0Jp6xkaVGOzS4lbRNbVVrwCdMOVfRQ4JJ6hc2uFS8slYjC33/lXGSvL +plXYHhxyFL9tI6scrM0HlM+hQFTcT2rVc4ckk9Vk5rY34ljflZyoQj73yI84HL3urZ+VbFVepaB/ +SBW7P85ejftzOqalB+sNupIe0RgNOohpo0yzIwonQ2SMokExegjuDuEJrmMhmESE80ktWkyemc0m +sGap1b/G9ZlFFtVXPSFCoM03sj8dQ5qO7nafJF4JRCea3Er6EgIfIJ7mFZbDZ802le66X3Zn1E+9 +yWATVEcmnIO5L31JXcNSNxZd5AL+CsHwfcvI+tcjeSJz221lSPfsUeTDgfo9wnrniQcxh3kkPEMN +22QNPAMJdtNadFFl881KFKceyI8WwdSx2Z67mQnj8lHhEJlTr5ka0abtVeBkAqvoEhKoImOWAo5Z +3TFiL9ooQLNu3Vbz48312UA6AhTgajA5Ek+mu6qh2Hg/ErKfD4GofCfqZWntT6X8/VeVQYzA3iw8 +gLEjNn8GORaWQ6MjgVdzZQ5k55CRlqDJK86uN+TBqN/CjU3Dy0NlXn5DpDvoCYmZQ5NLFmGeSVjR +RMTGn+8nYfUWmeqoKCrdU9RG9I/CvwL1HJZl10b8t8eVawy2vScdRLB4U4paoz0rjBIyIdRdZ4hH +Ek5CoPtC0CspDAC79m6ESBfMXZnV1YLM5kKUurKbz9HCfnJYhYUQa5uCAPBv592e8fbAfmXwAvQN +WlG58n80uCtzTcSWD/oF0CoiMLRMxSc7O0Ra8B17Bm3l2iYaqlBePKmysR9YGA25NXoOK6ZiXr2M +tATDdeEI0QEXFIC18Cc1q4FO5udZi6sQO5tFUlChKgIsJsfey99qJjRRNE1p5136hdBiXkChV8xM +M98kc2LeTM+XjZ05JStkzc2A4iIxa8BS/JT4YmnOJknWZAI8WLQVaYdz/ZG1Fo5RW+tj9jB7IUgt +0R6HK53RjSGURu8kJIk3lmodFKb56hcSKt9NLeSKkDkS10aupJr8OUYFOvv7/jUZId4OxwI+r4lC +TqTvapcgXN7y8ZqgzGI6Ie9gfrOGZSk3hZ2fBaeuva4Cpthk11u2zJw8oEF1z0YJqJoHH26PNe1m +zfrRo3OBJKUg2m5r16CwXk5xdbSvk62HRmAUgJMKF0RzBM8rAk226/ANtRhVp2YIgaROorQ9LwTC +YSEilbu8wmTJHtfmSe1vg6tIS+94NP9IGuX8TOv8eW652KAMeeMRRhWgPcdAebjUG+/i1L9TUKAC +9U+e12poY8dV7yrgr9TB5biRWwRZJDoxH43vZhk/h2phsGJQdPHDFIkMNw5tXBGMScUxWovZ3oQF +df8oRwKXVzzw1jDDeUtoc/gi9bumSS0xk3/HM1PkYAN1Yfl/hQwM95eaFt857rlj/SBWyOWSiSAF +Xst4cUM5AazmVPqwvxWE67LBZzYiR56WVwd2xqwGU+/0lW04rWQEGFPmEXA318aCSFEJCb8KEHXe +JTK3YJG5VN5di9Z36mbmPnYEJ5m8CtxTImo3+ucxYDFMjV6ZB/mgQVEeofdPSmQcdXwSUEzi+lXg +/VLFrR0IIUq9CSEscBhblfK8b6aEWxP37T5TmFSBWayLBKPMIQrpTCKaAhnOPezgUjUVNSMdOei9 +X8nEWGdVF+ME3nOpMT9OpoXO3acpinjrJxd0x6LqSvL1Mcm5YzDTl6kZvbAY7Muh3Yc0GDch1p02 +YojcpXxMypApNgOwIsQBwKmxbRWAVLmvgfCTLppCI3TgVuhA286rEpEHmt8C3gSvxMCHRyfGq6f5 +JPTlC0rJrkKnolBNM000cTEE2Ijg9QkFEZYLXPkyHWiaRxB2V/0fCn45LTPjac9/kgeXjOXQNPEt +ScD0dMW0VTHUUh7PiykgHunggMdYYN+xELRnVdRHdoWf7hhM767puBQ9zjf+M5BGJ1WhLGMzPjrA +Pd7qn8AX9+EggR/1ynRxY7PzgbfKn18SRAgLZoB7cTfh4ygOhpSJcDjEv40OPmZcR9sKymC83A1H +PvwmtJGxz0H0r/hpARscYblAiZvTjcxoUzLB8GZkZuda6JyfOXc6Ey4gEx8glWiWtIEOokNMb2Jr +yWGAVUmFfUllLEdTIbYntGfQx1Es7ou1+eN+RTBpE/ys5filWnpJBY56ocbVwx7WoXJI1WACqayo +Mq0V2iFRfKgNFhwQEICsE0kvIO8rCnqSeOTTUoVQH86AceYppjJOf+ePot6D7WAZUSZ5J2jqKeSL +w4A+FIkTHcO4BGce//E4G6RJYv5KUqSDgfGZcrWfcUAkFK7J3XUO73X+1uWWh/4hx+mu4Gnbz8+8 +FGmfbwqQzLD2OeVCCFHhr29xQ3rFY9+MVBr1zg9E/QPqN+91vpjKAnC/2S95tLmaPSuuZbbkFKZU +Nh/d7jscNV6/zdnbAcJEexpp0+VuNm1AM16ZLfx0t21TLgDjG5dekTKu9EhQ3Ldz+4Z/aTyiTLi2 +iAjHemUbg7mKXPWqV8WZyOUmhuVqZvRQXg2p8NhFU3rZlHZPozylgqQgSXVK9r+U3by54nMHpNjx +WtRjMFJkdkiSHtZbkP2BMbdKjTvy6ZYgQqS1+bBolOUrVVs2BlNl4eYdxzQkPi3AmTuJS6yeVYnM +i/7uUrnLu5W4VqK/NAO3Lr3B+AGI612mBEE6f2N00dVGy0WTMvWu/fkI3qOL/OPtn2j/MjAnX3E7 +kbSDRe2+6LDw7wFyN2BZfQDXhmaVskKmyfv+SMbsuigWnmWnqBrb/ihTUfEcLZjuah+NA8d+SzuL +h7HRweiWjC+Er2QAagPlCk6wH0YAUtvqOM3pZF26UACB8E/K7qAH1HdkOimn5EqnRthkPJ2zATqw +xkbQ2bXSzI24qL/W2HMOx9DlOg5vMPJ8OrZZeDzqfYsKDilZK8Eh/ZHlUjSYPAzPamszz1TwcA/h +8MbhUxdDQWyK3Lp/FABqc1eSf9RSYi3ORRGM6E33aepQhxmdmYUfbq7X30AYpmtOkysCXMWAwjxN +3fmR3Mf78Z9ks3W8t7oDgGkee0hsge1kr081VjbeKRzCwpsHn4jRpZKHkiDBxEzNc5W7smLDjBne +nQ7rD/HTRM8tDE9CfLFq+kMEKvH7aJwHPARPV2mM+jGdYMLYcz8EAERG6kpSpTSJm28cKjAuqadd +XldeFyMMd9HOne5PE5b5QIy37h+dYJ+Q0vNTQwpfhR094J7TnSJ4W4tTTa5/zwVcOr8ugtc1swTX +mXlXG/YRBxvRZ8BbYSTtaRuh+TjcXBoLzy3AcT5u6vL6t09plCN+PGruGUdFAWTH5y4OL0U35awP +9vq9rb+gf+1p/vs/NNIdnAn0hKQdKF5RMMJZm739tTHtfLNvhpA+ZZGowoW9zq6HVCQ6oQvkSDkJ +XDNBNtZQmFzqXSJcfFDKoiRIJKnwxuqqjVi6YI97FIsZ373WlCVhc1G2kauzbOQppsto2wJsXKYW +7vxI2SRpbF9Bb+7I9cHnmcxfei3iznhbkMcqneGiY8If4dWvvYRAAqBklxvIj0jHo956XGJBKKR/ +qEckBEH7naejvtU4di/2ZBGS4q6m8XtGtYyfq3nmSSuWtpINedQ5hmMmRc5DT1BBnrE6u1n+T7BZ +ZSwjvAbjq2ietanzrrBMFj9lH8p3MZDbQOvD5hBJ3CLRJm8CQqFAn2zkfg/XcfKM8vtzKhxk6pfg +LbcEypEewDTyjYGIrbuWKDK8kcHj7iM9R/8VRwJstVZpo7G5eugaFYZ6bZBdgJXt3yXWDFaOT12s +HxBW0yDugOXFlbAzIRIJW6Qaij4dcVtm9GxnTPVyrXd4Wek0hujjy01XUsFQOfo89fYKv5nqaphF +rufdkifa+AoTDVlBRSUCQMfFyw6B4qAD7olkk4NVptGKkHSF9MBqYmWhtoUo0LKAtW6xj65kkoqY +5jITA/J7y+A5uDhzO2IDgSNx8IwsmR5Hj93az3bkHjqJPVT5/ygj60G3Zg4bFUB1pVTLh787dg4X +brlTZhs9ZAAIWyLBa287jxYaYZTJHjebiaCUL/1jsI/ZJQ80BSmP31dNeul+yS0uREHxqi6ZlXQu +oDtcWRf0ARB/UcVzEnkUFu7duEi2nUom3WIuJTu8hJ79S6eXxUqX/886CBs5whVjbULxtKVARIoD +XmOQVjECBsQoDfk8hDLKu1txTm1szxokdB45VwufxoIDOjqkCPMwYI0fa4hb6SeYjYjSZ+fK94l2 +2pwluDVHA8meR6PEs2XQPpVxzbKXDfXmMN9IJq99RqQR4pqrTsOyi3ePTwMyx+fGvvw19Jp12N53 +ETgVYWR7+GKx0t46LBrhFfR0ozIR95ZfQrcP0Ffu1oLOpmiGqEGcag40pjBAZLIgGaWIWamtAOj/ +6fZOOULZt4kj9ypWUsSItMESVpYOS5qUf+z1ngRZZ2e36Z7mJcpEgSvEoiDgHmdK/YGbT/8rgfBy +B+9pNJRU3rUws2oJNfynVXRlJRLpMCHBl1Z5ijDsvsCUMMx5p1Q5SYyUIp/NztC1oYnqOph01lE/ +JwfmBf1lkIYWXov6wES9+EXLmEcDn+Z0KDTelCGfdoI/Bwv/NIsAOf4bwP/a0Hs6Rk2BQOlLzM1i +3fitwaWUB2K4CQxfxOpse2h5LvxEnn9nAoZ4XEQrWqcnS8oVBTAsjctBNIwMyh809xf2anO5+phI +IXkQfdKNCb2Tj+JY5eky9pz7XFx3QD7W3vBN116iG41WgjS8FvYLlqwo3NlIxKnYhYakOlN6ERy6 +hqRkY6id+XEebxLZfL9NHQAxUc7QzcRGhNbXYVljWKf06LD0+G1PznQd2tuYhuoGvdMWMZ/gefHG +Zv5m630Iaf42YiAf5pMVh60FxoAUGmKHGN8r18ka8sVfEFMZD9VmV+WdqqG8bYPvvfwFIRNZVock +l2xO1elcko/zTVHNmAFgyp27+gv66Jr0Z8jcvkbHyicANMCkKAj6n/hbSuoAnW91mwFwSxUX7Yku +GBmD5EvAkwZzf5FEQJKQgXECb1R284jvGEzZPB4X406pLkeQ+mudInfuqHoEA7eRW/y3RolzndD5 +aDodEVGqSu1jKUp+dYxKdNcScI9Ze8yH+O8k0eRXRAF1ZX6Q2b/3ZjJw+ISH5lU0ZqTVT8oSWfk7 +tUZQdMcOMlUM5LZB31PiFnHa8mGjr/VmKTdDz29ZxiY48dkcEeKcW1JcieJlPv3Sm7cdRwg9+hcK +IpXZDyMierS3upwjb6U6+QVP6Dl90PVKxfuHZCvOuTD4CxlcnvCB5RaURzKEtFg08Ekp62RVzQBV +zenq+th5YeuQC+CTAvmBDFviQcZLj7OJvlDcwzCfk6qCC4vqNqFtsHkRTOXnQ4qiaJPenPZ7feFr +raGHY+zyZLTp4613tSQDRshrncJMownZ4ujXCm9MDWO85SMKgbnt6UdOXZcB52R9CvZTZw0EMhJ7 +kFwVdSwdmddYmrzgEazYmQcfh4ca0TjbpRuJ3Vcx/+Z2ou8dL+SurEwsnnWCR/Sbpjf5gSG+9LON +/YgBCsjcvAr6uxE4rlUnZdePWIi2Om7enwrFQ7mPwpoNharytgJE/o7kH6bqsLlhHi7zFW1NjUi2 +GEs2m3mqIoxK6qAdZAl5ACeq9UDN5DmxU/8FQ9SO2fA6hFclNPxK271PKYXagBDO44ckVQ8X2hxA +9O1tH5R+DCm5sIaVXX4elRbkkznGJ/S8NzAyAV99xs9Ix7YG9gRbaYd9/QcwRWRHjseQmkNC1wed +hRSjgBzzO9YF9+c++knJym9mNbl37n9Hl0cGkiPpCsjHUZwVLyRy0tRc3jrc9wJ7bFcJ1ZFZQQnz +oNKCPS/hWa6kKxvOz585p7o8J6d0A8zzD4IhUEWp6/3UzxClC9bPjMyE2BEF35VuRZzj5YdVbKFf +8wTN+vfB6Y1l5LuGZxeS1b2ZOO4Vfmn6ziE1QjFEQR3Zj0V+hsj/2os2osVIMgzff0YUMxjObtrd +Nhfi1JZqCldhNKHxR/tMo4C6CVbpjdDbpagsnuxCqd8hsAt5beLhi7MdfwodrXUZWkIdJ2XEvogM +o8pmhezOMd76UKVwnjT8geMl6G0KKfXb1yKEKuzBamc5fvJQSgajLhkpRviRPG6/qxa/3TbThZbu +2P7ObHQICEoNm7ujgohGaPDM01bScBFO/Gx8gX9+KHqK5ckyRJahlvWJkHhiM1o6sfhTAawbftu4 +NNI1g8A8vWZODMJ03hVmJXD6HUOrZ8UhWb3ZZ8nao54B2T32L6xbgpV1kW3R6ReZBJTjRJpfucPf +QupHLZaRQQwY8wFbLsjyTYsRKaxt7ueCJMiO74jE0Rvsr6lMDiIJxXrBcSoWRTa7PQGcmz9OljWv +RKAjCt4GFqV7LekF6x5K+ZkdRrNDoWI/3+lUxghyHTOsZjMnt6p6YpvdniqCwwyKmdSgZdbw1thg +P43tOLwGtqTyPE2ZGrsbtJ6pez9Z0gpg2mXKThKAuYV1ehpfMWLazMNfX1qGTerxglZTZq2LyE48 +L2e9Y40fjI2ZtA+dsNGObJ4xUdPJVqRoro+vLnncz+JdFZ7y2RyZirWeF1PfgpHjNdohqyfXrosA +Ow36Cq+uJVk0F8WCJZlscqojIZT/pT3x2ngV30viZIdlLMbfWvBCfl/wmLGr7llJQxZhAtWvlD8j +KNnGiguDCFSiooxcKmWh7Vmit/pWsCV7HkjU0Ao5SYCg2jm5HSxuPf852v1KDgxS2tOauekpwrHH +MmcErW7PkX3lSLKjXWoqDUU0wzbZmoqwYGEzx9VG2YFK4tIl4T+KS4Yha0fMR9h0K0Fkgvh/Fqhx +Pi8ekplwAplROkoJ0Z1BXwCAvUh87KtUxx74YVro5WJ0WWJUfdsn1d6KHxi9Fw/0+z3Rojotqxqm +28bd4HxcplsroHHJaRv1XBmTmSUBAIYJbwTsLs1EK5+yXV/ejav3WSwBM9VTOu7DnwIo/Fgl62qC +u6BkUSWFrxh8GvOwMXhdiERebi8gxfaWnSR5cfdmyfwBNkvyFE9mkRcjk/0CgJ2sfX2OtS5pFPAi +geUCuVLe5LCse01e1bbBwi76AWTx4gd7NK17Lo3v/vi9ohHd/PPHruFRYy6P/m9W+gXBiUcQDEiQ +cpVI1/fPZtAx4VG4HwQqjJjxi56YQo9gqAjaFUhIq/p4fqvDgh2EZFeJqujXxoYAxbUJJey/kvm+ +UFk1jqBZ2QnOVGdkGTijOdMO+Pboz1JJVgq4BTKBhgPgXNVN7wfC9kW1vwLALg9RGJXQk3hcmGA8 +ughZubRMB3/IlVR5xC3tSeqn/UqS6K83bdvU88r1CU9ctj9YhpdjNGE232uLyUwffyLUaEsycY0j +ZHKno+WXcMz4cqliujm0dUUJhgDoRCL+Rq4D/G9G88AUma0jCqvbgjn1NjHDVVDJwUgLm2B6UuAw +wziEQOy8ZEKzLREw9CdyQ76z8sRrUFFqkwl2Cs00AoYEPO3UjQs39uCmI8RaFF36E45XhxLbbkHj +hHJjqPMAGAwlnWuXHDvzDJvG2ZliFIgdR6+5hVmssEfkjGxj8vRwyrCqj9/DhCVRHAoKP5YBzvKb +pHk795CsiaCghkE6JTqKn5mo8S9tAGpc1H0Sf74rdFp4GXp9dwNLE3TBvvfjV9KDQXo2GzGQuydN +Q4NnicoihUqR1MPbsuuXjZrXoX9xC854180ffDbGPGvj7vVzh41R0rx6ahMHXTG4l/per4r//im6 +ZK7XNg5fiWB0lWrD1iMF+COHhAO2rzWvQTGz2wyht+Ek9QGLNRP1tiQNqsPkSZo7lkMDder3ZatD +doc3ecUKtzmBlmvvrhUfUh0d8NAed+cZeVzLOqWyQyrHmYs/WQ/vYYJhIqhMHcw9Bumsoi+MMq0L +XEtAOYAKsZapwM+ohHebFo2NMH4bzy9ZUtLYVJfk64w/T1Th7XxCggXEaxFAlMQ9KA7cnvIc6DoG +YjSVzitt8THd4cmg0JHUn2WFG79rUYtilCkAuu0bKnPgfI3Mc1vdVhwDaOmuHUWIx45x2rTvh/R0 +lKMifEMa+LOv8hHlaeKZLfRAsTY80mMvXqT7/0rfYA14XPRc8fvNQheJJ354dpY6A3RIPZLxauEA +xw5lmzuSoGIp0ijF8RH6ExrxmMnGeXBDxmBThaGTEUA2aUN5K9yamNnzkaJQSxIRaZbJrOZ5h789 +MQLFdC7cHWiVwv34/7yHVbQNhnAavIkVpuWhq6fAg2JXNr+Axo+otj0zlwTSejDgu6HlZss2BRbT +gb2lgwME9TmpSwk4v3sjNtBdv86NL5+7th7F5QIk6CndGrtu+jEcaY6qyhkkN2lVpRpidGlEr4EB ++B5LGe8RuRDf5fO0kftN5oh+oteFkGRvngFlCLYUWTSVaMyioawfSyrKfiXLHt4OFgUeggpIJaYI ++AnuVfc97PrhNSyaBQkZiHNFQI5WoEUalEgRLr3VGaAeZDYCErPik9PopNkHtF2ZySIPtKk6Qy16 +PazpWRDTRjUFUHp8J61ciNEuzzcSVBmJnXpVh3wvfn5XB0G30rP87tTJzr4HiVSTVMqpJhXN/t69 +JO/n1B67Ts/ICzs9/Zp28RQhp3DDdY1RtB97xdExM5hXcil7BpN0xyD54xbXt4pHRBXlWqPkOGkO +teijuuM1TDNbC81a6V4UWnBqjcTYIQlVTXbXQHuJQZ3qnET2Vd5F2p+rrRHizCpPnfaV5jAov6NR +k83gWD5Dqcf5r8+qyjTxVHetu4HYE+cdXI9tk066tfgZ+d/34iO4YdMN37b1ywYJvqe4VfDmdyrf +J/4kNpoDT81zomkmysk8qeAy4TnGrpvsZKmykobt+7+dfEstMlqSQkXauqzh+0zvfzJMXLdl1spo +W63OUjI64ok7yWp9hT6GNharmrJJj5bYIPJeJkiLAdkyUmuyglCO6ikDVAKBj87HK05Cqvf1K2JP +IMqJ8R5JM3OS3xqnfTpc/MpaAF6Toxv7MXfvfI/F4ca7JYTyOgSiIaLGLEZbDwhdwfcSrfqfIjI2 +n4QtXy0japEr7CFM5tQtgxC7Zw6/cfFjxo3msEkYUFlB1Oyai9nlEeOkSb9Uxc3a1VDTBlof9m4r +EWVWUIuCPELg5SUnxKns3+bbxSe3FbI2irbic5J9s5pQKVFB2PKHEkVrvrfSo1X2y6kWo7MkvJMk +mvtMuu7HDLg77lrWqnL+2HtYo5v1fFB/9OJY5o+P1++X9bdQ6AkpLsx1z2tAIqHWZ1HF5CBU3jMn +0ROphhX7MTPN6gkknVWig0rHR+u3DBYm/tTKmIori/5s8im60b+sG20iua77f9l16InSZHwTWkg+ +2zmgTGUKmt3PhA0fDQ8mbidPjs5f8x8RQORJWK527QCSmoZtZ+/RlKgRmGQMSEZgQD0IdjX4rNwB +xpDdyBXgExq7P74aAW8bQC5w6Cwjn8FhLPxfwnQCu5ffca8XQhCVWyZRZNh0ouNAcpBuQz8PL61w +X/2d7pbOTizoaMboYq897o1oBPG5uWIgKHmYApf5L8upju/Wcsl1sKJyb+7/xp6augEE8+MvkanV +hOsfy2JdZV1NxWTwEdluQOYnjRTElFDagYEkdtT/ccAwDNn1MzyS0Z6wZhNS1wUjSy4M2iMlEriL +N6tVa6Id083RrIk+ZDhPc4COa9PrvcisfRBHSPevZ78LCRJ2uLn3vHACE384/pOTaxXEcO6uvGpb +I+mBNX1Z7fl8W6Yzdh/dcjnQtaTF9U1+4tYIbPa0+h6AQGmOJ4PQFq+Z4z2FSMUTV809m8G9ox4L +AmlPR4R4imM5pKAuJi04YNvL0FQ4lXS4M0eftsBJqiJWbi3t3vV0BUB+rbUmDcZYr6pcu5jzRSTI +2U549SZlbCAtlQQywJ7akfrU2AhW/8KH+q5391xDsePmozPkwx2lLKsA7eAhdn4wpXv+DA1riceV +1NrVPhE+XzXB0YEkSrgcNRvhAzRufq1AxrrW65IuCvQA2KvFgfi1+DsybcF6EpQ4oDSRunrcrSGZ +t2auDaX6ey9CZWrTLfNGROHcxnVkp/6N/saA9lS7iC45LX75/+vCiXMymz6HUhilC8cBdvfw4EUB +GRiSBw52suPtpre0xK2bDw01w8aneVC65EPZd4eZd3XsC8TQT90d710YGOoZ8cetx9DPyeUXJoMM +8/v1YXygkEsiVr29Z6MApH58Ct1dZyMM95QXoyKy1R1/hpf0aVC4qmHdzhtLXI9XykmXyFgv6jzQ +s1OS9OJArD3hEko0A3Kt0HuehWaa7XhlGzIYcXFDhPV7B89eTER/BzjBjwXQKsu21bBEKrnupqdF +e6yVXMlibFHEPl6JUr8upg2TttfOIY4BP9t1gvOGycbXhZZM1w9f6sYItrbVeF9Z1AeJ2H9XF17q +cXwhpNi/KwjKA8eUj46Rpit+l3mpwQX3nIG/J1JRpBhBSrZzso7SkbeqntxYtARgXncDdr7wGY5O +GqdshutI8dtVyHpcnERrOUaAcoxphQblwUhn/7DlPo7pfx3Ua3j0Qaz1dNVHQACv2ROAaADJuQEr +OqXNsP+MirkuGXIWEJrLDeVktChb07iapWXdL3Aq1Fud27Q2bepjOXrYanRy0X1HKXwbhXECPxso +5jVlF6RIxiBgOGWurOwDSDTY4KrfrLobGUjr/CoZ5VUgfSeWasSFNkoKyLFnzZmYczJhJBGmKdoR +rbm3NOp3q6+f9HFBXsjsArVekLvMPez9aRxjgQAwWv5LxlE9xvn1FmUegbXiUJoKiru2F7j9T8hK +Y4wLHAgIYqf/Zf9cHeQy/oOsamgAZwadKoCNjzZZr2Io1/t6Y17aWtp1fQoOCRqVJne3ZKIIOPjB +H8sVpstOxRvg2fu989lFpMrOe/droaaE6goFbThSFif2DbTqQFZQOJCV0vORxh+yysMUsB8+Aqdt +2pHBLyE80azaf0azjKWvHhdqk/niS45Q21bo5OpgELbT4OapxJee1s4JRkmxauSbSwuZLQRkHZ24 +DNikSeKiFktolpw2xjz1ecRnWFhE3/uffYm415aLNNYP08J8ZjTq7f4fORAI7Z4zDHChYcUoKMQH +O5CY6hk5RetFfgsJ9mKhnZshoi0ftuWz/sxH2RSSDNKheFffSUKEVf0/mMMRU+6o8HbfKNx7Dw3U +L7rkY/KRndG0hIN897FNY46cZLasvCK/Gdy4LnNUq7lpI7bnlZJNOWiwZiy1j+LAJj+kDNI4n0NK +/zJn0G5RUWBv707Aq51IZ3JQPJs3feW+WZuDNseDXb4aMqhoiSbU8IcRpQVlvrJYepGysC7dDZjI +NCAj696K0a+n7DgJKyqyDQygmpOa06kt5i/qzBIbOFcp3vkYg3LEmshaNDIChZOggVK3/bpi6wqv +isWSPc9E0bX9/kQr0O6GpZQriUx9N2krJOm861Hqzs9M1FfUkSpeBtG1uX+iQA73CyrVXTW7+Nop +kH8djru+xOrkguU9IitlV68yIhFJ9wCs5o1odCw9c7Lje83TzXTIaLuYT6QV1JQoFqWtSw4A/vST ++/zKEzlqz3bS86vwflIub+Mq58k/1DcJuWMmHdh1vrVcJmYqvmKUMv4cdlJskHL5eDj83UJqgPMg +TuJr9gOskeFwd33mERjHjiceEZqR6WeOo6FgvxEgYTbudyNT4XMKGboGJol4oIfLQHpeT5Cc493Z +7z1oZCC+njQHOS7HW4KwopTLP4ol+yyx5YQVo5LpTiOC6Vf1prNDFB11YIY01rU7VoMwHb5iI0ua +YqNLoDkbU23TrE2YTB3TjcOmZrpyNLjg6uEwVJsQCoJ0ezJ5vhtvQDSgMPGKMgTTmpAxyQmIQ0ln +XPeh05omtOSDqbP+EZ1cqwLn9efIsefAkTeoHQNXTfPzqug/NsJtGxWs79SgC4GwUDbJeOg7M5Wv +++xgJkH+HJJpWXhAo29ep+PrdVyEJjMA5Gle5gFwll+UJ5txeE2++Hpw1MpuuI+Ov7cKKzt0WSHO +wLmfbOS3x0BohABGepnvXaXGgrDbIGDKBvS8RJ15jbUDaPMuCCPjGXZM5a15qX1WKaU3wFWd3KqU +Bm1+3ZNPYhID3xzaXGqmQWa2+iJ/wjdD4BX5QQvXhX/ATUAfwiqjWJ5XCjQohwfhkWoWXWGKi3tx +QhmUG4hw5536VJPHMG6CwiOnciIkQ44NNBcD9/NHgcsG8R8NHatGRdwjRqqn57Mw5mN4q07qvbTr +3jiXgP4dBtzZzEMbToBPLgkxp6GWGKrhXZiPKMYA2DKCm6DaCLYutrKDFswk8BX41AFawLWL1fru +ubJP07Y8uOk1NxF45VAAD3ionXR4k0vr5cUMhRHFB39+7qY5F0wynJgJD0Z7VQmngmJxNcIFlDTW +VVUc7QFClJO1wd1zfssVZa3ubmAsO8TNY8k9Ro/RQ6mF2/Xk7yVAQ2W/tKmglpcL46/wUJrqyl2m +SthYmBYeHX4BfiLHseb915+DwOCaJkkXniG+uAzNexciER3aOTLYSP+k6q+rsFvo7rqtqVSx5r0x +SERVPUji+AvgJfCh/gQmUVwkaetD/16H/3qHmivPxecyXTx5YXBDfIqg1KMMfr4VvLsEtEtOrj7Q +Wxae9Bz3RRKpusEhk1i7sMn92WlqqxA3crkbXUCVW+Y0FwtFNLIdraquXQ2mIlbFNSes0Zu2hVEB +eHGipYHodBBnzMHzD+803obt7ZFfX8QAMUsqUuhTMOzqwJRmA6BR1iBU3amDcTfDhDSV7jA0fZDD +Q68AHHmQFCBtOMZy6hvdwJ11MRjDHOtkrzDbCw8mL/8zxnCEGbjGKek7XCWfbKFfiT333bpmeWcn +Rq9POu0j2g/dp9Yf7nv8zNedNrjKvW7foL3FpKYbbK8faIGMNR0ih1hi3hyc/nMUm9/bFCm5Rgu/ +BfNYAYs2CPbrZQKOS230pC/N7M5Zjcy4TBW9XCrjarAnQLY1OhUUD7t/qWVO4pJQq8SNoT/NNA+9 +oeiDzR27lFRZuKpo6/GlGo8TT1i/1Ci6uzv9GUkIjfyxP5FCwyqiat8KguIRXKQPY+t0iTmtmTAH +FqMBWuZTr3BQxQZDqvNV8Ulhk4v1gq6Nuelny41pHLNoosayXLamP3jppZcctHTLU7eJT65xr9ke +I9/MRCTCan3o46rIJulTTPx+8nrDKhoj6e0yk1sT93h3n1v1xWpOwlriFy5FCO1SS78vLWY/qsDH +ZNukO4fGhkwjRVFNdUKy7HBmWzPOK7sjwXihMgVGfucirZsmZhizY2U3/ofDt3t383r5r0nm3/Xj +4KQd6zJ/zX5eTjj08N+sjjFTsyIXBbQ5p6NyQ3SWl+4KCQ4JpX62E6CArjqqiEgClpGmlqaqF66o +NLVaOuJ2RJljSM4A4Re5ezdIVkxANL4ICyYIyPuauc+/RmEFHbnTamlOq8giCD/lvd4zX36GnmOS +wb1WqqJjjuf2276yCxT9udRomhWY//UTjo3iaSZ2Ws8yyiZ6TwNnZBihHb0aBtmsdtOV8/WqXBal +4fuMf6k6utaviggPwfyqCSvQycP/S6VXHtzksYIrfjH0U0TLe5vkbvzzyqouCrtSxF6/qGHpR5lM +r9XN/OoZr/AN0pW1sJFscpbfojQGZTiBAszyLX6Nk895PMsVrG2kUgcMCmgH+Uj4ywidDTijPXj7 ++SIu1rJKYFhpRXQgQ3cGnHWYcalhHagDmQg114MfDHlKXUwYGteJVq5c5WSQj9g01ArzZ8jBnOIt +QuO9nzuJ4PUXqB+hEDJv3yTKrtZaJF6VTJG1AwqjtdTqHjfC0vq8on+rXtVCCFY45r3r5p6eHXBO +AXjTfqGaxwHwjYlVbX3hjNgg/dnN6JMAkZ1q91zm+pwL84rl8aq0lXIa7fP+ZkHK9hGOprXaqTPB +uLL5+6TPCio1nrp9j/+pkvrsl2SoHzNX7P2mksPIEMqoZAJXoCoALzBshROJUm6FRubDyN6rLbDC +VnDQd4V9bO/Ym1QSrs6xG0bXMlef2bMrdQEo7tLWhXPSdVm0zIWcug37w/JM2iNcmwDrg2/wi2BQ ++fP2wAW6J1i9oUQ14P7arXDACJLdZtECbtu4LIXGlUQfp7+hkw5ztxY4/OG/hyc1WuOrH8l8tZhB +H9ZKNfjT7Z8efgCb35anRZ+evsE+8QME43vqCUd0KaTe95oUzPaeBUpfje3GFflmUDdJBDzUALxY +/Vd2XKeIp4ve0zqd8JYoaAo1OHGmWl53dN6UP0nsWUTVJaLAPg2G4aAa8MzatGD6m/syD8YqwNmu +Bb/An9/auMmS15dXX3jtvEBGvA/FjZLw/X1/DmHxfn16UgOni1PABMY5hRrCaHJ0N3vsfGXM091l +tWI0iGX6PUASh9jy4THffvBpmaE5ifnJYfFPBGJtzViEm7ZCfTmsuiyardnYJRFbQd37M1OBi4wR +zM37dcGdIB5+75JHXF3GyJELhGuPYGDPGa/AyXXNdVZ2PXCOyGXB7bQTxBmGMPNItg0r8gla06Zi +ZDy+ZCwki6xM4iBRDTuJUpuBJq/J4KiDsV9DMTQhy81Fa90itEzIPqXvJ5CdTwwYfox65UnylTZR +7W2gxwMAoITWOijPJcDJyNYFADuhwHjp3NB3rYUlWqmsQn2nS2OIKzKxe5D31Or3ov4jqCEN+1Ah +AGoHAQiEP8RdIz3iXfR/W+NXnreG66q5G0Y0FDPlqlSajUs9BNPmE49CIBql8JHlsYCfRB/PYnXS +XJIu0C1eShFXv6IlKlZvUAEOeZpUJlrb6BdJiZII1uzyKaJeYyb+yuAdhe76kE3Eq3IZImjsPR72 +2zvIkRu8FGqtxoI4pMzuOOxd6NrsZgjEY4x1Z2QJx4sNWcs5RttKNujzw+BaQP/QVpDimtn2f7R5 +WCNaSk51nyrmeQC22FyNBG2zC4Ccp2B37lx/NE65Ec/gC86Ku1b0nadm40o6j7LGqBDYn4oWTZuK +8ymXqrAiwFA3DV8Vilc8HmuRrcnMFMf1tNaB2MIp4DD+x0kCJENZ5dekBBg9OPaC4BNoJ5UcEpUB +ctL5mXd2SmEopNS492cLNDcvJR5cciHRWfOpT9dU30W+EnYstFO0td6S/UGUxe5DfLeuP+hyljAG +nqwEzK0wQJwvksW9TWCbSxs//QRcP+OKK3uXDKBDYkvrOOrWJfxIAsVU2s+CH8jwYRzC263Zojxm +52UAggXOH5m1WNTe3mQwfa7GKBT6CrUZPAbrIgPSztYGRVMkx3TAPArcDrtaPfI9sZkWHTRAqnCC +kczkpkPV0EKwFld439R9nZ5N9K28+OCrirpSPNxJNOyhaIGbWk082eWbts64Sx82Cp7m1IKrcs1Z +ImPXEFs/h+OtzDnvp91NbJATe8/CY1o9Kx1emBAKAm7rVVmfCy4ztcEbDHV0VayEVM21dyoW/9yh +P3n+iSGdmYDZs+y85jrWpSGx3EzPoTMkmR5fZyjd5trgK4uvA3uYpASEzbuIvKa6ZKAyMzQvX7UG +Hex6ZbwUpGrSGh6nqv8JwKUwJE5DzbwTzx8rr3BeBvcI62MU0Pe1LyOvF5o8bJqvgtPeDEUvtJNd +MI6oJPmlelAaE6ttaiMveww82yTNUgEvN8yfwexd0jWjh7XhzzAZKxbRdB9Np7wSfGnaP4vqk134 +rjHf4xESDgoN5Epk/otH0Fg9ryDhQ/CnxxcHBMKSXxhS/jJdHMsIHMQNJhIXgofd+ra3HKxzKFaA +i2SIB/rpeMqGPimdUipiaaKWjICLctRZriklSdDNG76hOIJvcpUELGdy8DxAzb7jLCrWGMl/gToz +Po+pNFFbwds2ArUT7DGSQSTakXmjbbKKfBAM3w7ExnkjU8NdwVUm+SQkzgxOr80HjIqgfZ+yrVtX +Y0LEFEptduxhwfcUXqVx3bOauvCeuviskNAxBXmIAsC21JxyQnZMXhw0P9uioNv7Jra6oM2FW8PG +0fpdw22Og6IbrCojNQbpBTZdB+7wdvtWlpAxocgQxpejfBh7wn5a2VXyEnDxDwYBG1Ey+omMbJkp +eIOWLjuYxOpTS/7NdelE9PE5Dthe18vzaF/LrfCBsvCKjxToFoViPUA17GDFW8wlMIo6yFdNC+TE +ptMJ64kq7xpnhq4Gz5xRmVZZQ64+DtKpX0drMCRw2/TSSv5Rbq2iZM0vA3CuQ0bQf15R2tH31I7F +Mi0zmeFjPi1sn4mmesXJ9y/zRx3xbA9CXn57U9W/DE/6mL+eq07GCnvcjU5t3kjdGQgMWIJeytfP +Vh0WI1Rxf5JBiDoD3KOi9TxK5Qr5PNKIi74Ug7CgbMmhgg7x3FbGwKoxplDS9X9kXZDQa5hNLz9K +tYbfnO/fV3nFd+zUmk7OoPlpwG5s2ORHZpWUS1g2bwgo3Eh7XUmyDneH5KH1Z6vvSkNK/ikCrUH1 +THcrq74IWpVNxM93mOofcULTok6XouefhSCSsbpMIEdOlTjYY4Yctqw+f0ue9+tysjvqguUbTS7R +UtPG67kFHazTqss1C8y65RWHZA42V65Uutzfn44AFhUdDbOcskdH5WaxAdhcXI/LsBUDjf6zaKR5 +BEwWHwRTr3bo+MdohScbLC6Lum2vdVWKDXyNwqpN13qflijuKFmxaVqZcDcG7NptBn/w/PaitA3v +rq1kXAHDHpaysd28SkXxz0ACmZh2I8dqMSWfrh9O0bdeSESRi2AMtLstqi7Z7adZPGsxJOHV26cN +Zt2X8cs15iuzXJkH/CNHNw40+2COooQarX5X8mD7I5UT8HCeIXOiMesj79/ZCbLbeO79Jq/rJ0uJ +Sr/q/EsZ2oFThDthw730idtjW40/GVEYa6UjSrycHVvLD29qYP8dr4UozjwWCiuyjS57zkvMrqD5 +GT2EnLHckJBK9DJb68OaSUShqieJRwDmcYEacPZqGFvhbcNWIf7UgHb4ozeXR5OlTeTYxXv3Ip+/ +XsltxwjMarlfbjwoOLTHXtecGS8cqqcog2tNvDUeaW/qZfLBo8F1h9QMyNu0jIJJVgn49CxjxmAB +lLpRDYx45M5MLrY0aNaPSZQEd35IkcFQe6lZi2J8IQirmISJ+pLSKQIPJdk3Xfqnab9+a7FcGHit +osYeDQ3bm4J/DN3QqdyhaLq5xKCgrOu5FPy0LbEWrpu1aWLtantT8WKghNgfLkITSpvJxUHWUJZp +LMJ9qLBILA2smr/AidjewJiBMNaAzYwHNElJtZLDrW5uAGSsLQ6giBVfcPap65DOoZRGcxyFjjZI +EXtUxAdPVN9bFo7gOmzxrJ+evd8Zbwg1pMcWHOE7kPeJ5YUaaOsHTS2z/NkexR2kJaFyxWhjTldB +jf7h7Zk9y7ABZ92OXcBXv2ZAbdbKNGgwsoYBprdKdGc1Z7iD6XvfhxbO7p5S22okh3mw+Ep1r6rr +FyicOwteHZK+8iGlZq1cXIR8y49HruTSGTJ84YlWle+ShDVXkG7tWRPQR4IjoXroAaXlqEt18xPT +tZY6g+P4eOYO4ZafjbKyMSY5jfeEh86hVuu1j+rUZr4hahEFNM5klhQf8P0I7WY58GkPXlPJMD57 +WS9DzTeaLB+G+tQNTeU+bIqvk4uVT7ZOsS4D6LYs6k5YZp/kMbooKMt2x0B7piaCjm9vXllaDO3d +qKkXBX862WkyCLWLPf6b+FlhKUktJ9begv7zDorzlYbICEslYH4ZRQ0YOji6P5KFLg+oHET1ig3h +Knpfv9p6jiXZv8YwpJUZGv/OWnyIk7GvkuV+BeHQc4rnXS5Df7IuOTQrRzkW2qwRa+utYlGoNL8V +A/2GaV0GeJmeGCL8rZnZx0/JvvMQ8/+22aiB17wtkIT7hNLOlV8YD13qcB20ys4NgAxPnL2TK74d +A7gjCJObvyMRh9WPOO8mWwVdEyDsNpmj0DbZN2xH9bpWf7L0L5r2y13B7+yAY8IH4hmE7PU44QaB +o4X3RIuLsY4I8i7t65yEhX91WOlgW58gGs2SeBQjPnFwac5pshhHp0Bw7ApcvJc4Rws77qssJpM8 +PFrKUIxm0xyobHQ6bFsfU0pBnlXVRVJYfzmDwwbIWqoEDqWVD7UaXz7GkBz0YpiTWgNKT7S0Bj9N +zqg8cAQoj2hhqT6yguvlPWCGMNpTkiMlGDBpaHK3gK7+uHKtXYPF5g3zFyx+PPFBqPg8pDEIDUPy +eD5xWZQ137tTicaoWSNpd+RJVN3mZka82/LEalTYgRlpvc7thCEV+Gye0gD6SNr6mjoTsJCgvXe0 +nwz55tXlir4ZnVIeD8SvTGiyvaExHGliFWe1BehTxeTXWOV9zBBVml2pHuCo2GzxzVd3LhvxuZbi +yq07l1ASJU3LRmdqbvGc/jsGWwplnjLxP79Hxk7ED96PNiZozTyHDqUDHCM0VvBW2Ku060UMb6ON +GUHEqDXVAZlxdbXGdSORt2a0jgnfyDuuHDYJGLOQUsAZX2n/CD6Es+XHCAxVrP2nNNhdNncgQjzk +MfpWsEubpWPuSKl7I0Mo//lLrVZ7b8V8rB3T2YKv6ggCdp3h9p4LEes9Kx1tC66ucBmBpi7UdFYq +1oRKzOXXGTt2r+Myt0dTDrdJyV1oaNB+WOZMoaMLR9JtZA8YitTcQ8RObEc0gXvFx2SUfBlHbecI +uhpfhbkAodqrvddrWCqOuP+jrnLZ1m84dtdN5O2W0ssUqhpyYqwv0mj+yNIWs6FLB/IKsnIMEJGE +dDNOp4BbB5W0pkaFuKom4jh75W2+dFa8jY+2XdzPm4NLLE7EmM6BqLQFXFbpgp/rARbwlkdxxw4Q +ReL4wQGh2C7RJhYhZA5f8KplQH4LwwVN5wt8a9xIXi76CiQZB0tHkM/kMMYxCVLUI1MLfTLKcxzW +NyMCgZj4aU95YK/6OFsDsTkStXXCk1QxBPvoMzk/+ZP2y1zU5Hf0kvw5r2hRhtWxZyyRwtj3VWnj +ZsNV4A2LPkgsCuqpixOrZmSBrBHT39xaOySdwWV/HwGvIe0YTeFN97Au6bejgX/l5SD44hvUHkgd +jZsQbqB1W4LsocSdfLdcN7sIORb7F+2g/3Ifvcoi5ZARiXm5HcRnh3ySPw4GWZAXx3BQBK+o08tB +/PUwVIE52GMy/K0q1WrmUjBvIb35RlkZeQ7DShxl6Ac+9QndLwOvfglGS25nLB8yXLUjaxF4Alcq +7spsR0tCeJiYGaLJ5wifVa7+uc41Fg3Pu9A+G0h+KlnfN7KD1NGEQ/zYMpbpN3HCaUHwmYqu3Y6J +VvBW42Ie1RQrgEORIh68ZbgQwccHjD7kzYTH0Of7aExyMvAA6T7dlfv0DtuB4ilCyMuXvvrt95Cm +QSMdOmXSy7S9SsAJH93Bv2XYTEC4s/8ZqcpBWsNsGm+jspZOXQDN8MSkJ0wDkAb1sCQpwnoHqkqU +wdAgvEMuM+LrtypdrzSqGx7IMdP62DYFek9DLJ4QP5voqFe7ZxwXDSagK91A5uttsCh67UmxVh2b +nMVyVeREbB0UbTibNX8Jd4ViP+chWemWRLclJWJrhAEvYw5/31c5OOxp/fIfMlWhzUbyP2l1C6Vr +mftPTUptEFAuqFVcppwZTFHL1OWSlVh2MJUDUj+6YPKMNTyqUSJmwaa1ciKCBeQq9ij/z8sNp4On +FWAgytwOvwkKUjmc1uDSxxU/5gGG16IFsLHiZiKMDlIb481y3IJSbm6m4jYI7ckekHRSUE6bwdYA +tEcZ1eanv6VWEh4Cg5CeZHgcHaNNtV8W3WGMORzzLlo/R+6QefrKWlm7Noppec8/OvB9dJhkG4gb +pH17qp5L7rWxlGQcLlENIuPjtECZjP6ytFl8o+jJHdFgqcyU0Zlayf6dxsY/BKTdJU7f2ajhqHpM +04tUEc/TJP0roJTUHspttNbEimsnjCOA2j/gg3nu3C0P87ZnAdBhLKBrw55+3Zqf7PTzQp4a7iHd +OshKqQqmZPFFPtNmdqw9EnBTsAUN9pwaim2Gymj8ShXfkAGGg9KUKAru1cCDf5lPEB5KaZP0BlrS +TYBznqMrqJxGFLby8dZGKxyK4H1jyfaHnVvQ+FdqpS92SIIbFfBL9Nh/CQ0XLhwldlz7oT4UuihG +U4MTxooww17PQimDkVtxWdX8a/kddkklmPaUc2HbTtx5Ls+j7Ds+NpUTNtahD2U/Zpj76oJSKddR +H01GUarasJ5ibndeu9GqxzDOX41k+O5OsgDgE1XjqdnSdOUrvYxR3WqP3LqdwniwqXQZlmNgUurP +A9Q2PQQOIcuJKJTcqt1HvHPgUV4lsryktI92brXCY8Dg/xa5xQqtyDGr+bHURnINPvInzLloBblX +gBgX/+jphq+lZmV5Kv00F0PUdtldIgm4tgBDRWcRB7ksMZPhNNkQlLoO8eeeE3o4jiC54tuUWB/9 +tzm5VomdrpVX5trB1/SY8D79g0QxPFL0MgGvpzSAEE6YiSxZbAcVknFf7VYdbdsxmTHRnsSlfWo+ +pOoa4kfTlQ0Mf6DSkFFsSe+Nvn4kmTWyu00W7T9Tzp2Ya1U4GQzWeaSufG8s32Ox0+8ADf7isUcp ++zqNUz4I6/kf2CwoICjrOw2Sgqyg6PWW2xe+W3jqetHS5IDwTCI9NzNzxOpr4FqAFudDGbJ2GVYc +sqyRR2oOlVu7Q5wcArG370jwPgAbLhtA85qDQsSXewLMBtV2vudzzINRS4bG/HBG3nZ6zFnh0BAJ +cOsZ43UPc23/KzV5RNxKP7jEioGDdXphNT0ZY28qujOnCODRAwQG4QcJW7iWWKwwCT/tXeZEx8mf +Rphwz10RyxiIgmASnWJvQ39niy9S3QlAhZ+EHQum61zteCXbl5LmPn/KuYrH8vN3rYnx7Mv8wjqF +RV61zOgG3kZZIIy+ZWDV0bEVj4dB1SmL0D3hMjNS6z6R9AvyoBs0qsg4pIzdY+exjspX27tdoZUA +O9bIPkbhbnbwYPCI8i57DLq82qjldibIVwaunG9ZrrBXr0cB0ZaZwAIhPew0dj7VOp8PRIl438s+ +DVDakvuq4PZoKTJE/cPFT/VdVhjaQRlDnlZ5G2FIjlryggHp1tbE2oqYY0vLnG5hkzbGTh5UpmUT +E3llKEFqCypDIVuXCVWxolxh157OcjwF9BBiGks34nrsMFd4wJFfkclMeaEavlNiCnPdBynaWI8J +zdqdAb1+KUtMu1x17RM8zNLwQtMmS6If4KDvAiFGeOaX10YZpaWKV/fIQjbAA7vbXhC60qFBOGsR +2XuM5RlzZNrfmmJSaq62dPyACHqtu9w/44biBky2BZiy3yg7xDsJyjo6Hs6sgEe7aNunuTCjjIqS +ZJ2KVon36ewNU653seSit/AmUpYZneetJg6ogkvXL0veReZFyczbYkOYIoxRhmIe++qcIhnmpp/1 +8ZyRY+AbkHGZ9kxsHSL169aFQqmR5MMTO/pvCQRA9ud2pUzGs0EffgizZgqhPy1xQwetfjNCV+VO +I8oSuiG3PiGz5BUXb/faAZq99GCWmgoEaCTvrv0YT1dVgosHTCUCWreouvWZl1wBULd/RbcZpRQq +1z0j2OnKrRe6orQyQHq9Mv1pNBrfJia3X4wX+BeJRHsYQiIIq3uPFlHpxl41Cq8uQNbb3dlsdYlR +9OhRSZtL0CKpFq6mMq3noq7TgVXx/JxEbb8kon79AbvSfDl3NTKTMLKr3hPV39OTq0qZ5DYwBJ5X +hDPOYR2WelckdGQMl8KLDqQXwTKAR9cx7TxgPotLML57+WU/SChdUIZi64Y6lmHb3vYBBAdEA8v7 +iWvXKyDhI5vtVAeyorg5cXtFblLUfkhaWNQy5lU+vcpqreHrCDIfizKO+cOuPMzVOmF3buzndryL +ZoXQKdYZy+5//esbxRh4VnSk1Y1AqPb7NXmdYu2siGWX86HCpx0Mr2VVtbON+ju73ICUv+vxsmsE +5H7iLTefv6PYwPec9B5xHyi3qla2rdGfb6A6z4RqRPASG3gxF7zMYHIW0B++L9EiXpVNRRzo+OHY +Wz8IbE6PB6P1AfAdkrSNtP5/1Vx3ScmTThQk9dzya4+kFyet/H9WMQCh9Emz+lnLJktIkwG2Hdk4 +karIDQ/MJ4ryhxYwuc0ffqhogpQsh+o81DjpTnZpaKgHGuiALLcbDfWGvJLjHXl1XapXHbrd2GMo +9y6BAFGimakiOXEnpWFhKPcaT68tZIakkB5yYja17kwKzRvHLnezHjjDS9NXIw59uA9m82iaUfEO +mWdZdTXK6gvJn9wb1u9Y8q58JndWYVPrE6oSfJMchRzoviY3Nui+cKgMUJOKsFh44jJbPGU5pLeL +E1A6mNq1G2zopsSiPRaHSKtrztDOYwHvTw/4srQCE0tnTjZ/gv97J4NeMs/PcoUMlxxfNyS4KlHV +S+0mQJ0ZEM5kTpmm0V+a4EO9HrPKF6QI6ro+S1GkubiCv+LxRrdKm8+ea02j7ZJ2MaAa3iuWsyZG +hrGbOr26TC5Q2p9ZH9xtNk87fYZitwWdmBzSSaCr+EfoTNJnZVg67MAnWE6lvM1UUJrsdFgw65J9 ++MxRuyTL0Ckal/MBpcFcAD2O7ujp1x2b2MwCCmULGVBxckoiXg8gZhXO/VFBRoutkWQ7SQrVsrcb +zYmuHeaF5a0Q8zhtZApT7oKLMFTHolgI4GwqYv+895t0gJ52EBDkJcUtCGLTcrVQXMAbt/2ahD5G +Xqy8nd2JvLHumUUUXNYXFPu1jEb6/Y8eXmXY/bGvSCr6ziXO75UvfkgPbxcrJvJ8hIKUXqs8Kt2F +2YoNzeynpq4hn3LL3gB/kNhIKJCG72blJoOj8Vzfg4RTKYXGsl7GlmyzAiUT1s4WTn43JMqZmCJf +X29PrJ0bbtst19PHQir01xQEzOMOQZ6tqTupQNu3r4JGHit+OSUxf63MY8G4iivIw2NJHl74tTR+ +YjYPamKLDmXiEap9q0BQjA896TLVXMTIvFy3ontgOYtfMvepCddgB1DIBmvuFrkrZzdyKqAeApG+ +iX38kvkBdusASkS694AVHR+cj3CPQpRg6ej9BnhWeLwioRE+G1BEDj8aTSYc1cAgOXWcmq5b+n7J +4RIu3BvMb4y+EMAoGtNUTP1RnyWpFCVjOmB0iXfegivUgdsGh0uSgV7TSgfhPaJrZaQJyMHKY3FT +JwzkuTPFdRBmHykh8DN495wXd3v2qjrLZ5vf8ejD1enY6wEX//9uWuJtVA0kwi/yonI95ryr4TPJ +CRGk2r2aVWtZSPnuo24PlsMVDuj6OKjr2jN57cjPlvyD+L/qg4CoMhCyN0JiuZz/lGO+MiWLD2xA +Yw12ZfQAHpmFMUY5MJsAeA1sXNQ5bikI1hLqHiqa0s6XbbGI0LiX9VjptV39IMJyhC9GDj7rOMIH +JouV39KdoiQr3w7R9EFEHQkM0OhamAeFzrRBRBIDf1O9G6yh+2N0wdsXwhnEJ20ytp7Qc8QGIrNs +kWlcrYGQTpHT3BXd0H2IeVdkq58qOQmTNZD5LryKS4oBG8rP1EqS02WsP2E5DVmFQcazJQZacEl6 +xmoH2FBNN952Nl5BW4V4SvVJBIGBiqHYVgFKosI+FAziV1rg7gJg2CfZuyPqoFAVeZMTwQah69R7 +ryTbDm1biTZU8nDvXDejSkJxxrfwT+2nlb0ebQHHvlOBfnN7wvNvDX06GZGLrcgr39OPLb6LiMWk +YidotFfV1gVfE+44ihq3e0yNb7nNa4VKntrBdpnLwdres1DAGU2zW8fgvlBEh5yWDddYBjKQw4Dt +YOmJVC0IgbkgJQHQzfIvice646ttFFGRCen3U7tnRdVA16o0i/UZ3q9SFCOQu0PdVtyAfniwgQGv ++GxBkKMKO+awmM8Nwax7ku2awE6XRzB6cVlJKN9Rf0hfFLDQ/5vS2+5srp+FX+zRLpzdNV1ewvRr +RlcPy+M72d44551MMt9efMTkhDmDl4wvn/C+w38osh8NAaUB4Gxwcgx9CY6AQWHJYUoDHipNsn5r +3VbT9R5jgbyTRe2VQlWkbKm7THNdnjyKgEUsu+dj/dOWLKsV+J0hRknt0BsrL+FNrZs/mwW1VdXW +3fNJgEsO2yl40yMlLtmhP536WmlPWjf6zLT42X8/FP/WIs6VKLrc892WMcnDO1ZC5RG/ifiL3g1r +HBJsx5UA9HjHhKdiZPNMgG4WvRrE8ASh52PxQveSHw9+Xl8CA8KMnoD5ByXfQpCetBLiNC9/goGY +K6EBBFLfMbLA6YH83FJk93me3toBxTSTmUE8MAMloTFbnjUclWLLWZxLuB0AFBrdG7ZHJ3fkrhlE +G6O7Age9R5HH4ohaP0bWq50q/b6j3t4hFDNCRqNcFoZtG2xqXvn4nMwxkPzYoqX3XpUZTABvgHaj +pkhEvdNLemNCH0gzwpOK7d+nUABKIf2JNwOz72guZPGLJz2BdyW4ftaJeEaQOLOnBFHYoWwn+UPD +C5OAPpQN+28ghizjcUHoPcEVUkDCAESr8NGqt8F29/V9kkhn5t+/pg7H9q9YWkF+MndbHow91V/b +sXo70odH0mqiQdjIZDPo83SnwLHAmxRt64ge6I0to/xwF41LoycQjDHdiirrxPqnaw3nqs6g4DZN +XGdkaWytMdyNTds7oWfvso0f00/e6hI5loVp2OCADtcie2+3wHGAwoYZQ5BsVJcG6yW7H96trFfn +nm0nKBX1yd+oR5HsuURN55eIZQvwdRCbNpsZMpRVPie04tFyHor/flkCiBBxH6pbBH+QvCrRsdxl +QNmio9mgplsLpKwG/6FnMX1h8XFj3idE2t9LotyNsDdLS9Ttyo7VAyAHdFHhih7cvibCNtUbXepb +LArtilJ2BQsH9NxgknHnE+5KYPO58YhVKBwNWqyF2KoSDGlHHj1VZyiZAwmPZusnuSt+6yPeL8MZ +phD3fSkuYohHQorU35gXXoX32pYmoTPb/Wo2d3vKWPzvAF4sW9Z85oq8n1s10J+b1a2wMeN95ldN +fDSE6IkV7Petzci+XnMdyHjZJbqLDE2/ogDA3KisS+fz1nSAPGq3Stkah+c06eerpTG0+osICnlM +fD3T/CaooIZplAds82g+PwGH3TdRkOoot9AJoyNVrQYVnezaZ/yJCifnTTz0i9eKDvh6h04HA5ZS +kd3+X/SZwW9hxvt5v0m/NhByUAeSmwZpXsxgve34UDcbaYYHSlPvNBP1jSmhvLmSeB4p9hhGTe6c +wkfYkXLYHwpSWbwNhf3AaRQRqrb8tGRMwnx6KzyDBSh7uIbKF97lw3RSKhh9rqV0LA29H5pKObFR +VFPjxEN5rVhAtuYaTWYq9STDevQsL/4Q+0IgEEZy0w8PjKyt5X6+rmpH9cGg8+mULmjQMNRKGlhl +3nP+DzaBduxAs2xnp5pwAX30qhTPbN8Q6k806olymy2Nk7myby2xO3JA2kQlX5UxaINmRBeQ1liR +2x0TvcVHMfgNRG3lcHC8vrI6euAgudR5aKpqyD3HGb2wqCJl3RQ+ZleIdo0Bm01xr3YxRzyHEF12 +ldOTxwive0yKzhYBW13ycVn6pDVQJZ5cRmG6fkr61ipPttigQH9QZo9YPEi/zEMI94hYbU/m6ToY +aZ12qJ39eltgZDOA23nRvAacTT9Q1k2bHm0MV0OIKztBEy/8QC8vdcbCxa7XKHeg2x4ACLw9RPiE +OcE5EeMtxwS1y3Dwt/rfHIOMtnp/euilmXEn9vIw8Y/KhnIA+hWlTVEl8pug6UPFAD5aNrcKUFZG +V4ZtFy0vOE8AtDHBlQ+BWhUURZqvlBI3SekYFDYB727qSM6unD8QLiz8vNqkoFBngXTgevBvUfsD +R+x5RbXYa2mmBqgRkP8BOMhfImy1rZouC72wVUGx9M1qmpAV83AsY03LfuTabe93cAGTVxCwYXSV +YmjafKgy0YeIxRdgiPZu+rp6+odnnGVCj1Wy9jrBkNQFbc/CzZyUL/R7pcx2o4gZvwiAgIugq5GE +SFyVBFs7z+CoI76pE9XiJPdNRg57DTU7NFW8UrvH/+QC6iIELEEBZ9Pw+03SzCVmwmdqvGDO9okM +wPzLuYgCYRr7EKUZc2y30bR8pqg2Z2ZdoWW3TkS59SSRmeAV8A9oUKGlVPvUCr4eEwt9IL5I7PeH +pnN6WycGI9pgeWCdcniLwZaA+o/3h34Xr5NOkG4uN9h3wNKoFYJJ1ibrSsH0nVPonk/w3o+j6uOt +8MQRcNeON1g1+FfAnsWMpIgjVu+HLABa9ke2GEE+wf1JobpqBBJwPClcYH/YdH3XL2kqAee1Ph92 +lnjjRuKfxmSF1083cD/jD5XgXdmvjseaoka8md6wxu+iLUu1alnU78iBbCKr1XnJa0sbnsQirwbk +1b4dukvwQ35A/6zAmcDt3o81az5zWuXys+h0O6rHAsiKYZQPQVNonW/MjT40BN5ZhIKrvZplseVM +qEbiQPFOBtcgxkoBNGGkEMhKsR4dOd3/taiG500GXxqwdbUL8QMZ087IWPHw1jRz3eKs7acmSiqY +AqxAvLkcJwsi2qfql+tkjQtuNzKg0yMrMY+atSyWSQKnryqOHpTpnQxfj391bPT/HV0tXrnwba2e +fbZxHD9Dky7vErMZwoI3J7BUwV59BL0rl6PRa9mAhZzMLK6vejaqIIZaGYSsj11U7oljJg1JAWS5 +MEFqcTqP0zG1QFluMd8oCzWOVt4SOxo/F7H9s0OVMxjb3/++m6Jtcn+/PtDNiHLjw/kPFXtB9VIl +UyS/VQhqdE67CFvn/ZzBqODHmX0DdSjgjqCZ4Boz5yBFSGeqKE3adi2lAaIImsbqdBi9mRnX42+d +dbnYyxeFZ8obFSLI3q1gQCNBrZWWHE4eXQ6ysuD2dNOZHbC+dtUXqzvI+jnXdXfoH27fdmBvfn2S +2IWN8h8oifAGpfne9eOLsTt1vhGs+UH9R9OdCltxbnNs4ZqQ7LPQIxRHH+79EFM17lDrRlY/wMxj +iaHp+afCMt5NnlsCrTyElo9qCfZyqhOWKq/V3dn9G1QntAYL1zBMlnqSwEe9aIFFXfVb+6lF1pbN +bFhm11sXTBBcg5F18PXmWZ0N3i6CGN51OWPi2WC8o1pO+uZIrqe5BmpFHd3ntquqDEYfBHkv3cwN +o4OY0+IVmWh54Q1CZNB6jmCOjJI5lMYiSC1B+/Nr2UEsj7J0kAKEt/9Q/PtPDNSSBfF8MrcT/5Wl +CEyBbECEq+7sJvElw2Zj2fVhVTWVrJmE1LQa1ykBH9TgyPUAo6IgK31imioxdANkiImu/G03m2Wv +J6LUTZZQ/zN8ve7U2N8XNmseH1HECV0Ubxk/hhhBFAVtVaxIfJfJebXew+0GrnNzOUJtLskNkytX +nbFagFvAOIzjcCfKAskz/BiMxfnNjXZfD2NCnCjwskZd77bNIPEtnt3t7e/FoTTn08jXmzmrMoPT +4LZp94UE+jEDxir0UNuNDUshiCX9NVFBqwZ/aH2Po0BrSYXVQgOB94x35wWpJaSZ2u/gN/WTQAbX +G82nEfdvPDHZwpsp8MxiMqFWU0+S/4QHGtVnzNczFXX6RkAFaqMqKpU1WJdTn9KJ+OMkEp4bI1Ca +OCbye4uz9owfwl7CkhI4O+Qiy8QKttDASnixa1mhFT2I4JN6FkKM4+/5lzw/sisGukcw3E3qto3K +wSnCpQRNKy9uGLH+5mTTHlATz2mwQbT/VTDRLq1qoDE/dwx+iZV4nPJ1FnKqusjnSqz7qwplZQJl +h+Z5XFN/SqiIesHkybihuAlZZ1HqXPXXb49rxqQnqfNGMWqWbkC3aCDeVQuyxPjPjHEz+PBKCfni +xJtl15VE+rbAjV3d5TXcC8A2Sb6VnTxppsadA8l+Tw985a23u6wc9PE2m35XoaDYy3CxD7gr04w7 +6/vrR5Cee09rYc2c8zy1yHOLBZ+c3f1Nc6vwzNxGO9SDlaWgkQeWic4hsyxb9ljKGbH68MWL9G+U +NtnkOuR3ZpjUTZ1WPybEx2B0qx+YYrG17YX76619iaETdQ+434onDII7yIZRTHIgVHb+0mQ/uAUS +4S32BQfA1RbsiOHO82j3OC7u+M1qoWWT/bFq1vL3qZqsDV35IYobVLjsKcZdiu6Q3hc2Oj83iHHb +fFDIz+oTq3taIFyW/KgcZVQFwv4g3kNGEOeTf3vdM4Dvsp/8KTimUS28R1seSyK/+lNYCfhHP1HC +phODf2sXBblku94nZluPaa/ldZsJz7GdkCNIrUPfB13DSupDZ17msh1oTqbTgrnt8VzsmALxsObp +K9GdnxaO8681B4M96T6BBfr1+LHyyDXG6qhSsFsiKb/R8m+PvEQK211eUUfB8AP/zc1zD0bMCrxf +bNm2uZ8iVBjkU/Zjm3jgfyA+iMsZ/u0rQi3I0OJKh8cA2t4U3fJqq5h6qsc2Dzu51pPIA51pM1rw +KJOYWvHKBwes6IYpsZELPhrgtylttyshSw3fE+geoPN9w6U/bquwVXYYKcciKsPokK+tGVIUvCyH +A+sVYh+rHjkkEqT+bfaL2FQn1f9Z7vSAtTz1zh1k5oPQvwIbL8GHNkVAra1DwkU0j18LVQJFThdi +Pxi+5coJexJ5hqX++sYazSQ1B5wY6GORQEIy5UuQf/RjEEpUvf1Dhh/bFrE5Q3Dc3BR99GDTpG4I +y0CJssP6QDaDQsWPOYd0Ej+oQocMJ7c5IOjw6ENEil6JBR6+PnfFUCr6cqxdXK7f4m0rwnZUUL4E +Q3dO21HZy45ca+VNMfQoVuv8mzgQ1iZAtQRyTExXoSVJh3MnMU1HrTdebQ4Sqgq70bx71HEvPZWD +QQe28Kakw17hPe4tq6gJtKJcrp/2D8nb8hqTbTPvCmekf7so0cAjdmjOJ82lfhs6bR0tStYSJ7Nz +0B1CUEveTdGzPBgUkuVDgydar751UX28o/oN3ouUDFXLBJmcjxrwT00/THOfjGFVpnKGNEuZjHxg +DO9IPLCP9r3mvAgl88TfagAr281eGSPEjx2sWD7kaYrr34Ubv84nN+2bXIZqmc3/zPq73OpOeDDe +cFt3DWKmRSgRxPWo/IcVEXXYY7G2CXa0zYwcMcFIrhTONY1ki/Tzuvmq7bx6RhtZ5iDIAk70fQ9S +f7Jq9/HAl5Li+cIXQXZvFBMEEE/7SDC2WGbtlRRK/iH8NYqCzCvZbUqvJVqIOgSthZSqUKMbuiCr +1sipoWldN0X2oyfE7yWVgEVoY7gaXYj9+4N671coJbs2KXFPyTG47Lqj6qrOeUtKOq5DDjQ7RO7x +sK7BXE73pfHXLZKqU3oaNqd0YJOVfw3TkoVwEYQOYPdvT0O0rZ1io9t7aBL63UK0h2zQbP78IuTT +h8mhzv1B+RiCqgOMgnC+vNm6r7Ezt8gLfss8qP9GsV6eERDL7sKhzV1e61V0tPkSKRkEtpBiMVL/ +ltjyKsgqrWTSA8uIQ3nnL5BVw2K5meN5zJ7X34FJ1oqdQbSM8ecuggwOS7kZ3RHTzCoBDuBP0xud +5MEFMfsru9c6zVtlig3wfdnjv/zGfFsLBQmEDW5ezyDn1nbUvBg3RRehrib4YBaeiwa9XFbnmP66 +WVhqiAiMTcffZiJuy3UlJTXlk2Dbwk9q2BxgWRxs0E2N9rjdGutWDqUy1DyZd17RljAdkOn6O7Z9 +pMitCUuuFqIPjGz+4dE9wTuCZRM3v1OWGIJflR4yvtpCIAekbNBIPu3LPOZ5v74y2FHPdFy8+DyI +NrK0sr0qLNyAw7kohVJjFdHviH5HcaAawB284tEIKEZWjVRkv8ckqQfNNdPu7cTU0QFSp7GYuz52 +N5LPLunCb2T89siEV8Anl/C8D2Tdle+xdekoKnHDXYHpJWp8N+bntrqmTlvNDobd1U/6wruBc/f7 +NCx2jmS8iDhv4sTlN7NAaFVQ1wsh2vGUEH4BNcG6p/Lw678ejU/aBgbR8gb1OwdjbMgsmWXS6Oux +66RiAl3IZe1nzx5uH8pnZ6SFOnCPytU1Ad49FtUiBM6uyeh4H/OzgDIC9BHbOnfAltay08tLPPEo +bmHygNmujBqyrqn+LtDOvZny7JrY8MZO4uICw7QlPqy1Wh5esE0wngnqx5VaRsDpx6QSyPXs7eR6 +ginmwVJxNRePTbK45RanVjT/mxSPpGilDumRpIuWqVl1PSTZs30Xfv1Zlb9iu6HtISWTO/Afeg43 +9PCxrC+eXBCbVsZhmD1Eyx9TRMyYXUXCp2mtOnGIXplM0dibC3TGTfaRkHMGH9HCrJLYbsV8LLBt +9I5UwbV2UJPyh9UciQ6PDnyTpA1tDlhI0BEUDOPlsRR8klitSAHjGctu4Q4QZhVes6bBzYCgBGkY +jzqc1YfICZfcjC/SdHzCLOMzlTm3mm5UPVz+1XCIqZRQmVs50crs+g6iHL1PC7/Q8+Vfx3XIMXMV +7kjAf02VABKPHdrf+6PYf79KO46DvEEHD+locb8Hg2u3ZHK9WUlsI1tXYTHOWl8BkFM+aSWH2qHf +9fwpf9nXKMxsEdGkwKDM5GoQAc8Ia0kjoM3xEbAGaP1e8Y6Tx8z62AJasBVpq9z4lSSxBuAFlaVt +B3vPbaeG3bA1NERJ9HYAE9Mp2Ik6hrfNvJNqNIx1kR3JdctmIcAgwXlqe+DJn/PrtpBES9+pXsS5 +cBLYTeyyQizLl8yZTuu+NxFJmQ7K9HVGtTLMV02muWuuzLuC3mph1MXj/2Jin81QBUDQKWKnXvrh +VN6dD9fq+1Cr6z4ddO65aYnluFkOECsBwVhpqJf73WRpqzmF+qCcUK80ypdbjHKx45lDXSXWX9yq +64dpF11pdOQkVmIkUnaJcsRbelt7ezK8Gs6PU39f29iuAs5xhbD88JNoz1Wwuicd6lNz6HRO9m6s +myHWGW4WoqlMhPChv8lEYin40j4flYueSkiMqhuUmLTimG57fgMnm9FxTH6DNc3AsgAggspA3DT9 ++Cs/lUfqlvEFo8q95i6w4szRd/x94tcMKC0sLoiF2ucKuQkYGi5X8PlGVDGKZw/T1o2dySN6LhM+ +Q4cUfAlSZB1As4sh2X9fQhjfjjcK7BHhBS9423nZdy/fyfUVpORWFrI7NBMPHhPVKZymyprrM4Gy +yFAjXfRHsvZAhLD8XwyCBqJ/alktQvxrIkg9E1UMHU2Y7qdea+QIWoFRQy52+9d9aVQpPWrVWi1L +WUZTm2q+7VX4aCxPxLcfFW09qtxlZb68FNJYz7y94vt93sxm/mh4dboH/R4yBauiOKlTDkj6YXEc +CZXYFhcu/7C/1WWGbt6m5qQSenOaL72lPuR8v2sNy8YfeiaRHmm1xHddWr42Wfjq2qDivbups74d +HMXxBXDWrIrBimWQ1F8Bb3C4E+yMnd0NQKZGX8Snore7aP40MFM2Xbbso9kV0dNAxLiV43YT/v1o +t3tVfEgwZ2FsFC4uUX8OSwPIAZ0SSHxL0+RIZsA8tXisNrKDoZBcxhMZTH5DukF2n21xVyIhgyTU +XaX4YB94VbFLq/OF2hbYKMVpCBhUfjgCLtkYTnSCYQY9dTlNRKm+fKv3hDzu0Q4MaGmq8Pyab7Hi +8UyIXWpSQR6Ql6LAN1Zpok8gnh/0h8Fm0zhutjaoWkoXwbQsIKctUNTszAkSa+IzQtaofi10XxGt +DEhYXLEXT2fGZoKxugREpl4A2nx5hlKyanYpYcD51ZvwcXvbf9yjjWfVZsl8PFom3dezOX9qM+XR +AdaBoN9fkBHPF0AF31dSjFJCRJw3PxvMHYJnAYGOCND9UyBHHhzXV6gRxhNnsYA7Y8d1IsS112Ly +HaF8ojHWdQW8Z8lKxV1N36EJ5qEEzPRAkf7TORVynDhgmuL2t1WPl6fy+2d9Ei4Wwe/bU2GhzjpF +UAryX8ZxGNEyyegfgZeu1I550kCZfPa4/fi5+GBpuE6jfsPHxjUNnuEYJE4k2XmcT53gW48DA/z+ +9QoQrnqMI5E9WOtkJmYswOlOc4drdY8XXlqUVL7Wnvt4ozIsaLw1jBDhWPlGcVnakpuJqeljaAlP +CM5LqNiGSfxhxlRsIgVTGB7YY2P4oIbCidIIl9LgzKxIaQAOqW6aBQIOtrd7GwbQhqbkll8ZT67p +JbyGuUBoOD2YPhzLVrzjB/wDjc+Ru/vNn3RqYTuzlpKZucudn7VAUD1hgHQ2FSxFfHm0UtBiOQIg +61b57qreZWtxUkXoghjDAMfpaQBj6bfBCllONpNlcMnBs5NkeBinnML3xNzL7zzyY4oFK+eu2e3z +S+qDeehHKMttGmjjqOG6wNXer9vhybjsP82U7VOKaDtrUQ6Zq4OT/fF9KF+i/MCBgixYUIeDZycj +tvi1bUOfahNh4nBgcfcMXe4lxOdgFtPaxCzE8i5Vcvb3RUhfE5XNZo8ePJATh7ikg5vdHOC1UHcY +E2Eefk3nVVgSACYnPpwdnI2trZwdw2qYDWsnkbPGZbCNkBuboq+RTgwZmgehDtc4u9tuFSt6TB2t +bfgib8DrxEfZx1RovR3gkQYPwEXMjJzr8Pd+mcE96B7gYTawZSLzfOelcEWKMwCTxp9LJFCzldH8 +IqwZLjDVkSixuOB0k423bFqEIOi5RIm2B3lhG2nArDIZe9qM7rCFDtWRtpu8fLfEBWpCpCDZ464z +XLJ82uFWKw4PuuQqm6AIgY5glGn0vAMZuNKGs70UH/hOR8U9Bj8HCm03tcI5y5+I25Xivf4uCS08 +T6vsVTrQ/yn+6nVN0E6Em8hRGmVUseA4X/Ko0MVs3QatFU/ftu7RSCQAikK5N2NHfdJBKx6lu9rX +wWQhpFvJsvu3PzoQGO/9lFWMIHuRNTRYeulecm8aZV1US4PE9kcbYWhOLQOYZGa0nVr9I94+I+n5 +bXseFBHN1ogJcfOY8Vg8poPqo4GkfsdTJ88/RHIz7jGIdJtgAUvGfFnTr4fG0HiPoye8WYcfiKQZ +hBZ5SjNVh00DIayIp0N5Veser0uBLrkf2x1c+zZKBJ1NkZDujB4TBQYv/3pQ48r/h0xEQPrQySbl +0PqVEJspLODHHJvFnGSulPS3ABP5KqzSXD7Nk4D5ZEnK80PRIBH3d2E1jBFjZ5+XzGLr2cW1ltXi +YnZPgkkhj55MTzj1ghu4jM+Xr/mmw6glAlMKYitvFyJ8d0c+vv3MZIk6EmpPXr5YPYxRN6gHrKGV +Zs3KSdgLU9ec5TVxc2jwAQLqXSdC0kZkDJknPLlnx+481kFkZtlYJUoncHJ1TYL5eP/FhZ9NrWzB +LrVtmJHAUeThQ/vPEQA64iXNJsYJN0FHhAnA7n0DKFrC3tg+n7vFPrqM0boGwkCos169cb+bmPWw +70HOZNuGIkcW1PhUYYtbxmTg2P95a19XFlEdYvbP9j54s9im6kmGihdJSX5cMn7dp4CU8E4EzGPZ +g+V5iMpq4i9GmSJffqTJ5BeQw/ugrlQb5eQIIRBJVRd8CEs3BI+QIJ8gerhcPVhfkX8IzAmbnYYO +2DO/DdpOvBp7bSofC9v5cJAnv5UizX7Fct8SBY/m1YxYTguoC85FwTuAVU7GimryRSGnKFoFkMQn +XgL+g4VvzQ6t6ZopKhODhwZszg7tDK+RWhKMXQfZppkR6wtYNMie3eLiycwQzGUxOJl9tGeV4vlg +tjRZIa1OkfUjpili+XTzUj3zy5qAkGzufyPHf+uEGAZG9JtQlPiwKvFoZV4Cv9xIPxUIXUbg6lFM +Ej2gDerS+xw2kF5vUvbKHf/MDUy/4HIq+VzLXoYGExvvuIUXESLsyaLl6Poz1MSgAo8ssEq+QMKb +I7QCTp1UwJZqJwSm0J3+BinYsPVIoINFj5jYX1/vWrvXPoyyoh1QeQ5OfuG719Eb1FD6jWR+h/nN +a/Aqd4o0z1bQHDsTD1/V+rFPCUiwZcDEhrVzyA6b53gqRg4DTEwPr2mAWjYlXomzF5Xrcz0tB6Y+ +pbi3DaRC+x0BF1SAf8eAySVQ7IGkQqz8W0xO8jUlQIVwhE6XsfS9v76YUdIiyjE3wHmecBusYhp+ +wWVKAONNNi+GYzjoJ6EPxSe8DGGzO7qwfStCG8rbAtfF1qnx0oJZ53sfhapDvqVgHCJBA9fqoAlS +AtSOTkY5dAan09g6AdwT8xg0VmeXY/sVrrv2iXnMHR1piVfrksvyBVYFSI4cgDDbnaEmM27mGCYV +jGGRSwX6p33nz9XyKJQTL+eWo8yjqRO4LWso2ouBOjg8zx8TX6n2071Zst+4tiAaCyuUjH+neNL6 +SgSGpohIPYmKziXzApuXxsgW4glPdewrB3D4WR/J2z7DtJPTxeRRcYnLYm+wtD3XyIrv5fjlbtTb +elFLORsX1dp+2wILTqzV9Cnl8RSsvtvbxiKtK5jWJVseiYOvNnPFaY8ctzvmtimTxaixPnq3mNN7 +5mC8lVH9Debpj4qepJjQfmMS/y9v81HohJcFaah6Ll8Wldf+nfP7Qc20PQ5YW5I+Oj/vE3y3bbi8 +voCjb/EXmdb9KlW0EHdIsPCTVqdOa2eQ4CSYzZujHGWd+fwxBdm0FwZRsMVjGLbBAUjvUH7vpLpq +PB5YsD//ihLD8sixQDBU4wqAph75GdVpHQtORIGWzqaeeCbG7mZ++8c+K5Gl87RiUaqY9mMtBT4Z +DhBfqrpm+v3plAAh4Dl9pAabjQ5NBRoghJGIKdE38dw7Q6uLAYRiz21oYMUq+cHG2TfdspvHp3ZR +a0U0BRTJc9NkqyTxo1KYyeJGfmZbBGCVLCiP8HOqDLypX9Cc53JVCl2h1KPMx0b9lcBzjXSTPIFv +971pq6nU55HD9fs9lAtcs5Oa0OkgBxoNkXoZZCNC4gALJ5BDQLq35vvL32HnkqVxxMRf2SWegThA ++Og+DW530hlY0leBefTTm0hQOR7NuWD7q916DstIhh/GV4jiORED9szZXC91pazA50l/gdn4VPvB +ChowOM/C/Awy7mW9K+ror4G0cSVOQHIbCoiKdlLaAI//wjo3ita/agNj5y1OwsF4VFDxpHC3TaAb +21K+C91Au7oBMTXKd+QqPJvE2eeWv6lDqKnBYObqqMkQ4YkZ1mEos8Ip/xAp5W6mw9q11m/YyVY5 +sG2oEL0TBcx+PURuXUNB+6iwQqyMRH9AJps+v6z6LL9+Ac0pU90YpW+EUwgLHSUrBwsYzEuA/Npl +ttsgPNBk1hCHeT/Xfwz05GF8SXHmmpYwPtv/V5bXLBROuuysmH+qTxpEjd1PYUniouSmS/kLMGem +Qk3HjqV7WpopST/dELnePp0x9FR1MldqElc2LlOMHC/mWXMQgtRxb6/Le7Ni6vO0EZwjXEEAWXnC +xPo80tnfwdGfKsdAb/PD7ioduc769YwYBu0CzMqXofZOGekR6isSMGRGlOQPRNmtGHvSaydgAgVV +l3nOIC77N762R3BXi3b4zt5R2FpyaTf+tSEu+MVn6mUTFiwyAsUYR42E4jc4a60pJR6dIu+ZQB+R +D6dDXxewm7+x3LNUVlMRUtSxQ/ME0e6oTAii01niTEh573qrC9cRV9auCQQLwNduoKAkkdmu2wgZ +DYTkqnHPq6XTt3P6oVHaHIon0I24uknzLvjLL7ban47Q/NFAu6YbMGNZdyprEyxmAE7F66CNlcy3 +dmQVEIxuYPQQZeeGXNQix4Z6ImB9vAPcyXXa0EnrIHNuO6qX89YBfshyUNXoT796BaUjsdvtrhfu +UtSXjTyl8jnUNetVeIBGVjrfyRr2Ywa/4xpQ6IhpqLIQez+KjgvNJgWpkLmpVpUZLeR1+1RqMLJW +svejGYyMgQC/dZfEkfYcGl2Zpzy1rW+CE6pHf6qbbxzIUJ1KVvz9eXauZwMfby11683SLQVrX9S3 +AXTQN2WRXEM53jCpXytDfM4+9Ax6YyjmLy8K9HwlJGWBV87moabGbIcWKVbTH/h/pUK9FnXlYVHG +ZyyAXxvvfWeekQIdHyjimekfIQplAI9IT/ocltE0xP3QqJCVsP2doVzQY4qUTLAVBYK65YvySNNb +KJEi5U+WkYIiCJjpNezEGv8WtUMZAYm3iExTqEcKQb0G7wYORIEynDf8qS+dQF1VVmG6/9BqqIB5 +rg7VSiY+C/Ttsi4YsVkxuk93J9s+AybFla1aGUbG0xTVRnm6P5zszlzkAsXI+6N/dQc+1NrJKDyV +21CjyfVlBKvOPrB9kDMrj3DWuqQIMryqQDVNiNjIi2OEGo+jwHlTJf9VofRQQ0+0ayW4A+y8oEVC +3ljhFen6sd7m5PWgRaBAj+siYa4/1IPYzc9OTjHb73bH/lsqcetLDiSoNLPesMOTMDbrLCp+kenF +PghXLOnhZ4vrzZ0g06YiG6486zlWYWptTKw/k+/YFdqfLlmU2yHwHwl1M2hgtVjPidwRqkXOjAjR +tH4s2tBmB7ZSPFFfhJDBmN8Y9ZNLVhNhZmnpssd9RQpUlX7QVXHNdiiUpeIBbE7RsQtzMv/eA85u +0hhKfRtpI7JjpeghyHUTU/6VzcKi+GnUXNV3oI8CdDucQSZlgdHG1J+DJ+3uFVgTYrGEhIE6XUIz +ph/IHwhibTQ/RVAHFM3gcMCg0TEB1QBebdyxNzqdUJNw052HEBVzbjffJwDY1QCapBgzmX+RWbOU +cJ52CZ2d6ClLgpimhxnyIMMLzFhJqBbk8ml8Irfpu5Sx6+zIFgnHn5cvzHSoWt8b/GZccZBx3GCz +v6U5cQcWuBJE9PUhmwUU+4mSjYErcVJLyQCMw72mZ4zUJpkV+Ya3u/Dpd9h6M4DFexAzFfeFx9fG +nNcrvQwTiaKBYFn9GH5Zf5biSmtPV3MjdTAQKkL/+couKSTxEff8AUFQIlhsnoCugVUc26VsswJq +jaIQVvRrD3WTnyGAr1moNsa8an4QmqwxMmgd1QjZ31twvynkxi9zUsXa7kygFzXpcraruE+xviEN +ZruHoxrs+CWQUxtm38qw05wQCC/6PKN3RWZRpjIafKlM9Nz4PEY43FPSwni6xb8gEIJ+Fb2Yyces +8y7orcSmbFaOY99mC3IvVsk5mGpGmz6rKfUUmzHlpD+W+/VIa/Me9v4E93uCXuoV+/cOrtKZej5+ +uGcCFERJKitCSzlC6bG/QkVcl8EQnOmZP/selr+i7fdke/E4qyVGbdu/mKar7b0Ex8eE8MFPN44k +4Nr+m4+JjCLrCG8grRRmaAucBIV7y51ue0EoL6DUJ3UHyh/HLYEvgM0dbD8+h6a2DUyt3T2/KOFc +bjqN5X45aYNpCeDR32fP5+400+Qk39AIPv9qyY61+c4ikdmBKfLfhtATYLboV8nPB2UTZDpFht/9 +JwLMvUZG6JJGc+5nrKxQGbMcXEdnrb7iX5lfkeKPzRBw8gbH3ngx/l8j1Hc5UKeLjfCezuBimXew +/npx16UGCNqcVxBQJN75vcQ82NidSy8gK9IOmUfVd7lnuR5c3Jh0GpbGf8PGHj/kBSh1Dvzqe/hk +WdXiqlBez9/7t0MpTLzVB2fNUz12k6iaHZsfd0hwdSLdMlQwAjzUxdMvcyx0f1EG3NeAFg4KeFMD +6gY+BvpmASrnLds3Aq2liqK5sN0HxAhn7HLMvi6hY/J0hCr/WkvrIEK66WwGDaM+0Y4eyQnB4ZXN +xlp+JQv7xs0BDCdUtDJTgHdxsug+SI5koJNlVG9nk0tXAuToHYigBW1TmOx5unVciKLlYeEx1JmF +8fKIFEWeXK03J+Mi70OcwpBJCBD07+2kEn7FgcRpLZxUFXmd2tcrgTxICPqh45rPRGiIwPLHJgdK +urNpeSiI9ORBOLPLVdZ2enwUHeH0uZaYSutzNyiaaJibapJ1mtb4mc2DJ4mYvQdsxUd13UtkiOCd +fSsDLp5KbYFa/s+a7YXV7dZFsCj5dEqzRLbLmxtDWA0czMfMHaZQZsZpJu7hwaAJsydmHJsI5yNG +1cjupe70jaH6V02XNRBb7RQbkTZswhVAjR3nT5kgqpUI1tEDw2EcTfMMo/ZtGT3mXULHhCOKmYGX +q0YyP9krRn9hJ3UkYBN9UIMj7FVa4Dbffm68rM06S+eev1hA1MMnNNrgvOGREOaUuTuxFc9EahnD +UoV8xsTGo+PCnSHeg4/orMUY9rZ+AtjE+uZvbXx/S27cCj7GQwZhK3NKriYSorq3BFSG5bUIEMYK +w4W+RHojrdIi0YGOrkfQjDoJLsJxAhfKF8p/2asQFbdGLCrA2Rz/0/N76FzJ0x7GOPYCzDGStnXy +lDJkqcB+JHEP2O090024iK87GvPckBObJt1MylVSx+5GfiZL9NKdeA0RvrwQAYnY+C+d2oDb+oCX +UNP4/PoW6nN5VLD4wqF/MW4LrHIcL5i+Wr5A1rudN2hKe8K9UamJEJfSlSKrtkFTaeyzo1U0B2Pl +2xjtOwsx8ol7x6Nd87aWjtB1tOwtd5rL9EtEPlVG5OJrnjwcBNPRmPh8Zx2+YhQKbNtcgCtviBSM +Z4nsok2g5Wh4bk30OFwHHgkLbrvfbhsz2Oi163pWotTgJzVN2jDvdyjKgIh48fH994ZJBxsJ4Bwz +kGY8QtJz7mbFyi6sOUrJrjRtEx5YTVAgVCVU/32Ng854JUudsbV+lwoLb8hriQDXvIx7PqwnSFFa +H8RPD8ELzw6+qFmnJTFR8YHRG76hf1nBMTDmPhvxyfEu6s94wy2Tbyj6V1DygkU1gYiM4mdfd1nk +nWokekhJMsSGeWQS2diFfPUX68GMq3xNr9JfBiu0AYqPq1BxvpU4qwMNldRfOkCJ7k8kXdMiywZ4 +u5LjJBk8C5AZ0QHyZCyWZ5QVgML4MkqLIgzFdQFCFHpa4FBkGM4dpAirOPiigj/Fm+abmjtLFWir +Jr7DASdVZ0BlrtYPP0SxmttHjKo61s87ZBbSIEovwcqKXQSmsS+NSEDH9f/O0wL+Cysl0VALFAuB +tUdJ5VWh0GBf02fDyJvOpeKUYw8ckUevEQbKJchP720oNNlu3M16yT9k/DnBak9sV9rhOnDCoA2f +6308jv3wuxdSp58VP67kwSXwGjeyQ+9qvyJEwogZebn3jVdwd9W0uiu5FS7l8K/d9DhYjFbt477I +9wfSv2HTn2bDMLQeZ+V0ulGau572A80RbDcrlSSUwv1ll1UR2KHvudmN5NOC/TyhCjd0VJpXwMFQ +jRCc5jFXj62ERxQWYc3Eo90ATETJXmrpFor5IwrA8shdNrB3gYUCYFB1AX5H8IYS7L+qFcG/Buqg +ii6sPo/kTWjW9uk0MfP4x5tqhGcw9IEK7KkdAnxS4AW3N83pu/zD7oiD9VS0ZlIarebHR1vOJ2/3 +qMNZ8UCN5I9IPZw57DOIEyT4spDD12AGPNRc5A55qCIt0VsMNOfo6KdxJJ3iYUzJnjApW+6hxY5/ +M/9Skl7yGziO/dnu+FCprkNQ4PiJkOH5TngUu9fB1VdPxGZYes5sPDPmQ7SLwyzYRsopvCIOfeq+ +8lXFNEkIJoxHXdLKdtmC+6d6QoP7xApLnCFfs2WCWG0eWtjkkyB+I/dXGRhi51N+jZfJH2GKOXvH +IaWdDLoot/ZVhGL9FW57bJW4pneOg04Myp9f2XPQDFzY9/6C8WJQo2zKsXIafaoBqWvH9t4BH+cR +2Yh/znMPzfW3+oUW1opf9noAPTw/77f/b1cOoK4gAA570EOr+IpNyom+464BJBL5BPEcKSH0gvIt +Rd7HwjmUqwl0VEZWt/hXN+IRIOBv0H3+uTKPPPTYjg3BlzFA80FLCZqXnuQ6aims/xM7tEycIywz +BcO7GprNlFdSDidEKkGYIheais/acaNP27+yXpkIANMw/sdtLbLXyLaD5G0vMq6LEEFcv+8o1+5j +Hyec5olNx7Kdr+ndV6N/BBKdO4L0nTJbrhi8DmS7gj8tqugN4MEljq9Y9yeDUORwvt6dPcFtfWYY +y4KYsA274n46T+CNP3vn13W2oWsFKtzTjeyxB3pC0TF+lsYHwDCxgguni6ZVrsACdaukP9S0pycR +2JFqob57p5jxtnbEMuE+YaX9GRN+ZgUrmVvoj2Z0F+Q1Sc/MGXClUprI0sx1BaWPlb8DyYZ7SXdd +pHfo5lVdsUGhF95qf22q4zR4TumAETC25lQ17QGGOgx4QVG3WuWKJTv4i2Sh8klOUdN2lWgQpmAx +L9B44NxviYhbt1XwQXuZGB9fj+caTBnTEKB48MOE8LQKh31RBHc6o/dwDI/l6TzaJ77wnl0unhvS +yg1f2KQgsdU+W7aZbp9qfw9BkJ9MJOlCEW0pR0ufj89Vl4wq3m8VN59Z9R3RJYy3p1ufFONSWlg7 +4RjUyNMqWCk50W4x2IuBZd2dWm2m7YDW/POSvHSXeFC42cO/MCsFdIh5rYhgCB3gGaKtH/oXr+lG +digk4X7eCskmgEiwqHIWA4bYFrWau+4aSz/qjdsrkC4Gs+7/ErTAHP5GrMhGTM2fFcItWXZaVIin +CFF/Fmaoze0JBBPsC7YHIULL44rMhMKCLAGPPt57jjwZi1nXCRFxTc2m6dDjHK2Iq9y3EdMrIpFD +xF56sPQ9cspxwbBUWvFdCFjdC0oPLptK/E4ir27uUJ189AXJIKorDqj9x89Hi4oKIYxhuL6elG3v ++xlIwb1wJK5g3OggXfqJT0P9mWeahZUC00+AMqntW7olywGZ//UMD8P6MpAQo6f+buyPzfI2jUlw +qIMTMuv77zmD8OjJm/3DnicP22+fFiErAxzZ7wddKrRcAdGXZPNy+HirjQ5WGPMvFyDaa9MPebfF +/RZOqIIChg3KEzp/tb4NADLKuoSOFH0+wyzzManAs9yOBI8wf/AMTiKLiR3nUfz0hC30UDLvwW33 +Umb6+LWXdkQpsGvWa53HVRwZUhaVbD+aU/4qinUg9oXu6Vm7LWBJETgCLUdfiKWB24aohD7JL91f +5yLphRcKiqGUNlg6pQzS4Pn0lh1iF/V0oKmK/UbF7txeD7rKsMk8LYzVHZQ8fBtII8qG2j8OSDa2 +1ULtOZjbHkiiFC40xHz96n7+azZGvZZ1RhQYrV4CW1zeAfOlW/aqZBN3QCkuPa3F1SZxI5PGAku4 +xpO1PaI048u7bf4LrmuOSs3P6rirssu1OwQTa6LHXcnf0jL8r6LAAec3Nd8nkdvCcPkQo1+4N9dB +VYYgL9S7SG5/bo8sE36hFRPyRp9XENVIw7UqzTDJpTBgE98MueTL0Uvz19vFX7OIJTHaCZ90VPf0 +KeqyrkLrfgkubLESMK/FkEbC6Fa1DEGDpEnjeg7LeaK3LR5YBFn3N42532yBjeGmuRRvgRXOmKif +4zK2Id61ffvYmaw2dt4OXbvnhG8hjwK/47nuDpZ2qZe+in90Lt6tlwOt1HuOucF/EfYBg5xj0/Xw +//INSR7md/ZpvWPpjArqXN/5j09jKBXrfQBhXeK+XUsJyw+7vvls5G+P5ausr/96l5AT/9wharuH +D4IW+Kpu0mXww6KeikjAwaSZhIHqeYdVPyCsjOMoxEZEVHeIy47uNhsqtuUoVzPfXP6j2OLzO5+u +PHqoKUBduSOKHNtd0gc7BQ24iJSdL1jh8l0zA8cZ7oFsZYZEWWi8YpuZUAVNFlLemAoXfWnPufsS +j1J0f6q5bdo3wb0KKBZhp898e8IygtYD7k1ZDt/N7u6FyhbiZ7upUe62ZXY+xzw9W29EOSopZXm7 +h9SdkGslZ3gwQRKNqJp6WSHypKKYpgu+h2wXsFdgnpHBmw697yqAyIWQlhxVkmFlpzuyM4CmK8TU +taA+2BGuxuu0yE9gck6PMc7oqo+wwem7j47dbY2YnGs5Wc0Ozb59mPXORKWkq/C02DpPogtgup/J +uSYztuy2j5mJoofBWV54Pmc92mH+CbBmfzh/jIjH8biOc174KuM8Qc891qqgZ64f+c87kP5Mxgx1 +0CxtnsNuP44X0fgJwr+kyrYo2Q/+47mOwnBivZGEV3+8aws4pCjGk1M2llBbZf3/2smIxj5sDz3f +dxw3+EnZZxkzguOkM0MVXqcTh5g43TuX/SyhNSbZ7/yHCW685Z79ly+5e6LrnmAGY1ySZGVKrXIC +1lXFFEpDV1wXBjyrWe+6VsYRjOnTBtZvrIQ9Grf0yBOgBUj0GDlN7w2k31cCX3p6G/UPxlgHgT9V +cU/UvZOzLOvk7da5WESP+7d7yQK4FsTItF9DNmj0QhvmZxxoiueGFGsM4CxvkxFDwOsOwZnBwmqa +wbXDJGLHwMdBIblpNh4/wvJftng5rflP7NeLPneTDqc7e7K68QMOoFJ9JJhUuA+PGnOZyy1vfKy6 +nnDSsJSHLtUo4VuBGBe+w8oeLDE8NTc2fDAeeWmJhPum/XEfIOtXTo0PqgqhZhXLjJlvCaiIdSFm +LfYGuNH7DbkvrLoH/pnvj6LLS+nzuZ4QVPbx7Cz7EQvp9swPW4/9lDqGLNAcVDtaOX111VN+EyV2 +VUuL0adCq9EimSGsC3vZtHKRges2ZXunwdpoNwdBOdjKcTDoieY/3X27a9NJmSG/DN4ceVhIJXe6 +EvoyHqINhbmuGt/VWsh6fYwqggBQnCowXxMJZlCjGkbCgMWa9AZRixs7a2QXd5VQwOyVVn2DNRMw +J5POvsOJhAJm5qKOph9yC6j0HF9U2vmfymLyuRPBZmEWq3OJ73PSN3zysIyaKOZkDRrLv+sjUMDm +Y3qh9RMVp4s7gW/OWymQ7c7w9raM54R12xoDvxWpO3rrxgdrF91d3q88W/e6TiaMGKCFllRWHhez +Mw9s94vYJ/zAD8HyemqdsGRs8xrr8DGdxwUuPPp5peD1bGFRvcVkE8OHtwNHAun4huErLhDfiqdo +2W4cCCBE4086oI8TdB5cJekQ/5IPd4wS3ovHyr+M2P6FunTQmvHv+rwFP+8EEjROJFRVykS3GDKR +IsBll/bEcab6ykYHK1vXaaGVdFYgSM+EjhjlL3Vnue+grobsuGKHS8NMB+lPxShsSXBj1NfNKoUA +GPZc1fSO3B7q7/jolKKLZupsSKMKMuIbE2PSsAFc8Rd3wHe+3r8Wpt7M48w17mzcgAU8VKFzd09H +zYLXsyHDqG/ZSHHPpd8xEA9d7//uS7IPi4ldf29fnUZTMrVD4e3W27xkbH8P1O1w4FuruqKM8/Gj +ZOyyzL8EqRdwNdjfxGpVIbOtelT3uoXISgM2PThdV/AmYSHCCwiGbAPFgizZ1Hjvv4g6r4YG9l3r +zzFnK9xY+98km65Oi+6f2h4AYhJy+MnF2mSwPWYbUWtc/8SbQkB5/p/TeJ96XsxKmicvv6q4pHHY +Gg/CwKmFcqWWzo72F88CmqVzqlcjY+Wfc892LscmuGRTNVzLA0797T7rwtchoyXs+t3SDSk5Rbb2 ++XrfP7KTNa0jrQehUMn+Sh8M9NKhtpR4pmmccE5fgfo0XZR4LdFXt0uWrRis4nm+kFxFgwrv5DNa +t2M+loOHW7Gw3rqWRJZNPsU2bzhxfdbqyu7or18QXwobJ0lgLmvfTQK8PBWZGTL9jUhazisK0ZIY +nfyoHxOesAxxWrZsF2xYfArmToG+Qy5UKUcuEI07RnkrDwANxWWFfZeTY5crPZGYPg6Mvi83XUaw +eZ2UM2/RN4shNqyHCCTTdmHBAO/1BDCWGllb4DtbUBZyBGb48vDKjAprAq/pwwT5Rq/Gqm5SYnqx +zD7BXQKKAAkA1trUgJu1Ir3jlxEwkW3luDn1Fs0qmg3eGm958IwWR++WsVr4PJR7zEIiVxftdIs/ +6yqKgBDI1GU+cTgT5sMIURyCrpBc11FmkRKPrt2uz05XZkBpkTZ6xHYgL3sQRWL8a5cmue2TkUTF +5hoNrSxOLUfR45S2oUryMHUBgDJF/rktrM30NZyasRBjX9oD+wmmBaElpJfWiPIKN0HPIUt0hTj1 +trGjgFRV4F5t69DHWoSzH6FrMCqr/KXKDFpTfPWSRJSoHvW/mSfelG3s5YTiUnsbZzn8LtSvlPm8 +LxReEmQtBtiOGIO3V5fxmRBPl4D7zfV2G4f/l49r/AbENPTh8n7xnjQiN9Esqw+fNkf71wql68Sm +AMpyhzEbOoWMbElLH4qABAokRWvkRi4FXBVvy6hRLpXahWzYK8XHjXPdmgfhXt+pfy++mC2PfS7y +c7hIhlshVBDI+tma87amPSzi2rm2Aofdt8pyoid6FStMru0bWvbpUfkCaRVOIlK1bUgcbipPm/O3 +cbaivYPaUah9ZiZnmS0eCNENPn1dzJzZrr3rCwZU570VNycT6TxeHsq3GK1tXLpA2pZvrd6VPMQp +A/v2fgX3XoJxsJgs8PnlCRUkk3HXNAuPr+zr+sH48dcZtQvMSd5PzYPdxGhWDVkrp7N2QUst+fqJ +khNdFlhRgl8CKczPSGMyxt/ECf49FS9hA881TUZOP8F0NQT4gV1CPtX7c4JdpAphAhNElxhFn3Qe +D7Q8uADy3LYHayZDR7dhj2OI2TwPuf++FIp2akv4HJm4Mtm8och0Ke10OYxzydMTXr19rYkqAUbh +lfI4Oa6sAmSb3U2Y/ovbBD1kC8PNt3uBlrLu/MsIW36b+PZd+Dnvzp6GMltynaQRXLVwt02QMoIY +wc47JKTyVn/PBE0t3HIybi7rBpS6JqXNI/BSJL5ib1HlyAsfjTZI0wEx37Qhdn6mvCblhu/cNfAQ +C1XuyQUByBEPeMSJOpHECeRpsRRccPB/qPZYZagB1sYzyqYlcMysOftMynCak6Fh3qpSMNwk4isT +yOnv9/U7sdCF5Kyw+D0vk4BzYrXtS0oX7ct/p57IZwTO+vt4hMpaTKeVjMO9wmb/25C8LXrsR3Rr +mg910pdpnS1mGXPiBzosxL0pctlKHco/iq4bgFtwr9y/yZxgXLYTFfoR4REO99yK5yPFVNPX60i0 +9MGBvY8nVjpbGPHLZ5D9mHKXiN5GFVcROTcqVR6Ncr/1FMdKehg6ofZ7EPNvCJLhDdeZB9g6zh8m +84SjbVpPlW4godsPY83SqV8eUbQTbAWEJEX8m6UPq5G5Uza9j3hEuEDnZBG5jbQ2OwqL/KcVOg/z +5FSzKOM9P3lpp6PiTyKQdeXgPWUIjZCCrm3gtiWgUvVBMTS1lsWkEIepjXDm93h7POAOBT5uHfy+ +KMyZe8xOYgFS20Syx70wxFZERR6ci9J/kpoJcnG6QQu8mKp8gb3B0s370lEMr9CwECYdi1K6HMQr +eq5uEIkaUavMmEu7LuHeyEkr8gK0F05CBdFITsndV2RpSJOk9RjBj27eg50IwqACaeP3on+aVYTp +Z3WyPxQU23KmIDHfBote1uf9aa/cmDNldNGNua8u6hnd+x/1k4NiSQUwiKayi3H26/73SlDse4Wu +VBd9LZljLz8xz2KkThW+LsBsVDey8McmNbnySYqOaUNZ+GFwbY8F6VIFU2NkV2/bWdSPBDfFexLl +g73Jg3RSTO6AWwFpffSc0BJMAKMi6SaMGH4HQcWtYEIlKsoZO0K4GGSiNNM6Ij2EY6Z6kiGVBh4M +nGlHo+zC/77v2q6WySDliE12ohJ2uzrvdXjPwrRrjkXLy3+clHTqr7B6ar0LRCk97ohl0HXiaLBq +7+1Py63og31weJ0nwZwLc/cnQxnBmT6bUjMMSHMW5n/WTaUI2qmzU3uVgTGko3Bl1dB+pE/tutiF +4llPxLirFeUEs0QD5yGKumzzVsjTMT3dE09Ui2DOzqBp+3XIi2qJ8ie+eXPuQ+Dl5Up1B4WXwiBi +dlSKfkAhMuLYFbhHY/zaiaQGH9y0q3nnWC6Crswdckyl0lBIO5b+6vktI+KBL4rcnjlosIQmcZUb +5uuio8MSCbPYSvm63U+G+4Ld6YFSoJOoAHL78mWN9QqpXFx2Wb1yFXGNkvf7LsdHfcwtg4dmWlzl +vnrvpV9RpcG+f81DTKJvW6gAGwrrP3Gj88cdS1XwiQ74TbgPr3TBIDkCx3QyAUjO9CCB23ku1bqm +df3hb+z+7bBH5alXYFzew6bUxEBIQNejmCOmxFCBKJ+2yYEWxG7z7qXwidmpMG3zPbEKMNYH1dT1 +8ioi/TVzvZKnQDVSGAWi2MDXnrDwz5KkN6Sewx5OfG8x+ZfCQNO4HGejI6Joo9lqsNaMSILsZ0oE ++RT0HUQtGzpdAnLkxw8ekqLYLibOeeiABgVDeaV1T7gvzEfsJUr3efSwP+WcntwIaa7mqsG/lbwd +ubbls2OgIFpeZX6gN0f9oAMlrE51WD3oh496RgQ8Q3dBdvTYdRiI87DZ6ht528BhiW8vR/H/IYAC +C+5c3uu26h5p/VLrTHLBfUStgSaJEW2eBbkm99R5qurx8EfT6tiy+aE67UQl8EuD/72zmNdqFG4f +bII6yGKCQpsi3Arx9cWy0AchNwUAOgoUEVHBmZ/9fWT5vvBgpA4kuDZAoGASGwipcmcXk5EVPZoU +LCMO05Fan3xnfG+AgBYY3LD0sx/Tw/vQcgjH4MBsu6oWtcrW2Kh0TyIA5Y/TAg6paqP3/ssw9pT/ +TvXmJtWyDzbwwRHb2J3/VXTHGEzjvLApE3H4ZOjsyE9bKyZo0063Sp0j2d47rXg6JTX95A+10NIv +QhCQleupxyUkJE9PwmLxWd/93camuSyhugqWaPUZUSEt35XP34KC8yDHprN9MGOWLyj3U/o5+wpT +ZcAXzVAGn+3FVMtdR9AraegimR75rluwWvmVAL6k0PLyzu0tSAZ9YVTkcAPbcGe0WKC/9ii451QR +namm56pGaol5DOflJGxoNifsi+JReO1YYw6yiGvyOLBf9LyipIfeBTtMwUwkhtvCZotPouNt/e6e +JK4a4abROHHyE6vaib8x95/B1Mwo1XtJZOHoj3IziYurVA+eo887QqVN1AoIN/AQ0mlobAnv6QcJ +BHIrJF+zJGadszoHqBXQTS9mJLDyxYgSqDDIp5RQg0QQ5JtKqmW8IOkBI/+PXahUP44sgnU9lmT5 +33uCKfjnQbbRZRc5Hsh/MIEUDc1kUYYBO7xMU1cR0imWr4PbnhZYFXBJOoGTNIERNSKLc0D5HOG7 +LHoLaBuVYzF+lzhAPqFc8ifvhC8U6XBlthUulhk5PC1o7BHwUln8/UXZAu+tEmKZhzphJ3h3A11/ +DMk6vwHReBxQiJGAnBQqVViL82WM29aWdohrihEhEqb1TQ+r2asZ/OjBKlUJ8++f40BIGIxXLymn +5OsRjuRZDZM4KcJdgFhf8JoxP/lUwDI390PrioyRgBN4A1ie7wrm9+H4PStPn4P3zCPOLjd/tpOr +Fc7W+9E76vsbMG3J5YOKWcGs8i7mVFDuesSlccalP/t/nwmZbFmAu+VDHas3+0ZZK6YXbouzG8Me +rbwpbSE3GVk5rzFvxaZfiAOrUQwTHr/wMdVTgZ0a0t2B3cMhVbSDhFiz5zWMMtT0f6zukxY2e16J +XqBWqpynfPihAHU+RmlPPY0GgmLRg/OqIiIyoARtyW/Y+NChvt0zAFBV1FvxKrmHOf7BAVKCS2mP +NNff6Zvbkujf9jjG0JHKzuJ4gTonJEcVaZtDQWm+5v2u/7zV3wNo9YJkG7VPEdMlzwBoQPag65Yx +IsF4og5CI3ofFpttSlIFeWzxVZmQ9UlbjvkeXw6w6/Kz3cipIB9PV5Ef7KtUwJ+MrVMn+8kZv2W3 +WiplJD2NZWt+QOQMe3zIQRouxySf2cFqAYxkJ3eX2pXN+6yxEzqqA18iVhkgoV2MXfC7jdvYJmC5 +LZK7mJ6H9mDpw9mT9FF/Lg0fEIEIQOoh5N6hNCziJ1j439lNwTyXKG+MHvpURh/Fgnfv/ITf2aIM +ye/YV0Xa38w4v6+cXqRWqUZTrPZpkAmre61gWcvtofltA1pnYy4EJrUrEv57UTwB7sc2W4+W6LAb +bZVHsCr/jbsrD5o4EXCBr4U//fkszbwTTuLMQdctvBHrX7ZUabuEBbOJc4NDCoz2lZOHrXHIssQM +gMSeuIkKW0oKjMbofbwOwk581XHWtOlthIlzUCgnhUaIn3AuQZHTP9jk9QxEBz2qXlkV3HeYInlf +4po1gbnx/5d+Sbo+O4JQVtF59089/Bq/bLfbR1Ia9LFMRYssbsbHqxnC/z2osPyyztMbY2LpbdAb +WGQ25AEQ2WZ7kMhP85b9ROceDp6EPfQPkQwwAG1iGueODPz+34DdL2aRODOzmOs67m4pZKSX4A+a +A9TdhYtcx6crZYkxDeean3/l8chJ/61u+bn+jbKUlp1JZdFFtJwu2aukFXRTln2QNH+0qwne79HG +fWfvJ4TWd1DyniqWq4lrBBaOqk44gMuvKpB0sbrtBw8qCvstQXXIx1RcJZzVOpr/7Xxy/BhpKIf+ +k4SFSwz3K2cp4iemLsvquZeIkl8grnbj5kN4ulb/sRDFcmZa1u/OrhF06GDSGcpjbRBUvKvclqLn +ghW373DOmX/joVL6iZex/iD7lDOSgHCtkLVmKCUpDWV5FcGoZg3zbikDgM3ZLMZjoRzxVU41tw7+ +IOmzQRRm3Qk/lILUFdoDhiJAjnLuQA2RkNlHXPngnchplPckcYT1HLtyCxvf9X1eVmiKORCGllrE +UgBQXRzp2ydkzKnXWnu42oOGoBFhQ5VK/MITo/Uht5vVaUcKQpWBwLWBJvIwDIM1w9SnZGIDycb0 +vtmWKoL88Epgp2GonEk0CLDZXYJOPA63Ny8bkADpsmE1+k3uHiCxyhpKnRY2VwzLoxJ8DWji4cVb +LGQfzEoXCrulMLIoA3LiuWF13nDkRY/QG/rMMKaTa6I6CsVtN10E/b6S+s/48k4HjNEHBXbDyBtT +VGVaZTnKNk+SvxfFvXZZu+as897aJaEaKCcTkT5qe1BIOydQS2VcWqBN4KsxXa7W3n95gJ60DYj6 +82qLAIacOnQPeoZy79kA/UBSbagjxYeCFGsjSnTErf6j4EEOtrz8LVuY446FYKwBqSJsZXtguAa0 +0B/YXn2g9l/fl6Ej7eBExuyRFdarv3uJxs450EuTgs4cNnpa4tGCLedFTI7sQjckf89uouynvBBM +UUp8EFJec25tL42jbGI+cq+4Myk0J5q2uSjv9y78ZkTEmpaMVnStUwEwM60IdDRzhCnDjoJArH9y +21Kulf+2G6Za09OW3F70svICCFR+01BFwmfExgGVltsx4mR1AxhgmySkDRVKA0LzSa6AwS4ao2+w +hny4672+OQubP6mX+sD0BtEBcYBhh1izIcPKafTm55oR2E9Tf7WcASU6P2ZEr3ojlbs4xpJo2jrD +/wG3lYDEtDYq7O8uSsKwbqfqnsHSAlmXLLCJpGRnMIBxrgMxT7EqMP40PfSuRK69XJ2uSP78u7c3 +ELpXZwwf40hkO2rh2Vdp6c4cpJA6J3PM7pjLrBYj+LQkNhezOFECpOKEGm+olfUKYXnzlaRIKkpU +PGmhli5V14ESFVgLJ0mCcYkVztL7Bc67LT+jfCrYxEPtGuU33XIdlM+PwOxAYORYGivniM08/zv1 +GTNWZ4r4xTcJGxfinCzUrWyEfyBzpYnMPiVpGisFTaXO2gDUpXiKGekiAlbJCPrfuenW/Je2+Tjo +parJHxU/q2ZdMGDFjkr0SUZWFied4xedkDEvk54pKmZRFwefJlSoHJnel5iGK9WiPkzlmNdGHlCL +jLgJC+vOZWj/7hDbh2g9LliiJmmGsrpst+cGx52zEPJXJSoaaahVk83DTWfSSlHjbSMNvOC4UJxW +wx7MR/0EtzM/xKX+1TDLSBZO6zFp2OtDZQx70c/Wyq/gI1aRwjwG11ElGah9ExRMcbcNyHNK+O1G +LMbaeXdS8RxuXapc8QYqXTRV8aYCGT91M8BjlNp4jOe7Bai7eQZcwIwP1vz4MiMf2zUxWh8iIv+t +aLmHdrbCgWAKnvu2ho0FPBQ0zvu/+bc3gu8edRnT2ITyPxVZuIjpyA3YAF/0T/3aPNziMJl7gyh0 +esMqfK3w2TMaEKvjVYvNuc/fUI6b+83mH6aTgE6S23Rhf1t9Irmmj/hdcyXbPAw78eROnfAnVufn +O2SQbvMwjgdT6bPvt7PzVcUVHTS7WSFLtzl2AOkGvgp08G2VHBcS130DDGQZLVAug9nyXx795LBR +tU/dTf9fNTyghQ3dE/PjpsE22/IFxnX5nhhRflPPoZ6pTFTgjQ3muXCipmZUSseZmA6BSFD/HGbD +nLeZMfrvv1g7wUvLSasMcQxp360oPhkdceJawojd5hJuJNEoagBobY630YigD7YK/xquaTX3MbHc +wwbiglcCuE+KZAsp5bTPXmeQf4yEhfET+Oq1yGmyxpw6P6gu95GRywaENOQJBvs7Vwiw6EnRXxmR +w92DXMhdecNZk9c7dv2yVXZwjl3+jvltOMHZ/kzbTkuOKk6UdLgDW/CKYQE3wat2wwtttPfHXrhk +KiLJ1jFoCVmjkRIttNluIWdpr0APbekE9xhq2xyRVJ6y2YKnuSdRFpQD8kj/Tyv+fv+MkUQ1Pwvb +jA9p14h34gQ0Bi3wciQZRZ0VhfKOx9T/daP+JJbB/fuEr4ljb82pkOFkba3ZIeuqzmY6RaD+r0Me +fSZVQ667ZoPASK+PJEcYdqMalube4Vcfnu3qZieVH4FOweUfN4wUntG0BaQ/gW31a1/DYoyZZPzt +wCOfBVhvUgtDTJ3WC3w/6N9wpKl5DNGyySdHT6toWFZFpTLZxXBIoS7hRsnNuOVsucURw6hxmRnu +zGPm3tfLbVnE1kaKPitsQP2p0jGMA+QydN3kk0TYasGGIKLgGLjW2KZYRwsa+DVM1130wS8kMB5C +uYIA3bHTrPjIRe9hhMP6aBZTGWQbvekoj5FV7J7e9ptWETwdaX3rzLDJs1cJFHEKsbFd59rHGPqy +OSCMK/RHPTo9KxPkQ7mpSZXieRtktSmYHP/BFXwOZka4IZCcY2LJ5MPB6g5CTnIN9V49orPlI4Vk +rJPzU4P44hvE+mEA05HeqnmOTR6TU+jf8Crzk8MOx9Wb5Cq6ZrTXW9wlNaH/JMnxvVLaHz7eVuxV +p/8zzALaAbPLllmxnMS/+uUDCwLSnkT3byOxiE6WqGkA0ueJr3FtR9D3fmJQwHc2AwtJ8AuzeQFh +Qkn9KF22JKJk3sn1kvxRYaY2AQeyZ+gLFHau7jDQCUXMZBSsAJs0CeBjg97DQsd2SjUYZByoz1g1 +lwFtVg7mFjR7fafo8tN+XuvgFP1XGRDLyakgs6MNzTeQ4cJv5/eU/r5jNxuIx9fBndzv1yf6z3Ev +yb55AIdMv7WUgfL0tDSUDRJ8UdRkpWo14ZBYr7lLoQP0Oo/j9oJGxL8MXfhf3E9TOHt1PgnHoBJn +GbytcsWfHYm8gX+pgVV9fBjbbpgNvJKDgLWYTDYFrkLbMXoVJmEQ+f8nB5xDqZGYqfDmtMUu4TpT +7mHthr0YgvTe2PXxy45he2A0zdM6OCYMHB31CRqRCkIRmk+9BHgCQj2b+N2VNRbnAWme7bLKhS2M +RZI2nkF7ykmC8N1EjeCzfXP2SzhP7gWu5lQrbi4qtRlcdA8Frpoz6eJTTfGEYKHZLj9QnrLP3F8f +3pClCK0bNj8mgZ3w4LQgaupM5u0zzwXEj5HxklVc3a+CLWhDFYjaykUSduIUrMPIJXT+0l9vvyzl +3686J3dFyfnOBStufo1Sz34+LIyGnE1ZzVodVu4g2OKbUL+wbysMF30PJb9UtxwZgAkziTzso/8i +YnG+pywEeMPTrxYuNG5Uo8o1h5FKhkqtjdMuUj23B4PopB3FV9hWrbId+IPqHhyKO1sJZFAkmhS9 +k4bG2G5rCntAqtws1wYgF3jDxoWt8cPnxoHMRV2ber6vebck2tOdLitj10TZTubMSU6V6hMSI6PW +i/pWvbCaaC+3+JuDECoEB5o/DoxrfVk9dca8TZHe4n4EGmlnAngRPAySsyUoi2azjrkm+jFkDaiT +uWHE2VqIFcXfkhxXjwG0UvgQ2c0xfQ4Kjr60O9JSpcKLaGsGBFkgIi6vRIkUDO7eKkEsk8Yk+7Kw +rjk7DTtWUTO5twjkDyY3TOX7HoeDYz0OW+iz+wQB+PDwViAz+UaHutW3gYFeXExdqwIfzxCBs9k2 +uLW/1l2vGAg+gcurxSeK0E2wH6YcaoI/hESqFoSQmMBOO4lbySrD0yF36dPyT/HIj8Gmt03Gyh6N +wZb54N5guYyHiSVbfq+Fz+s5qrS8Hf1AfKUgl3wZyRjdYRLV1ET2u/QhqJElIsQLAx4JqJf6Vbis +39tar/kxjP4AGQrXCcb/gRDyBYNqqsyA0pp3ZOdZuJvilsn/g1ROOt33ZOD2XClCcYv9lZrY8C7K +3PUmoMCraSwBMuX+RNL5yDDXWEArhzviT3GKbweoLVaTK8KH04d4yiTl2tpevJs5HK9OI87AwgQ4 +XT+ZxgmgxdeebIxzn/XQnDjf1Q9Gvc5IzEC/yZ35lbMammKxuVrqa0iKD8yC1a5Uewf/ePC7Cj2A +PqBqbCoaAv/cyfWFfYInEw9KX60E4KrSTBZ5c28n+59lLCOgbWyYfqh/VaeiPNiv+v3a+bEZ/R28 +05woKaOSd3sf9Tj3dULlV7YmUfpwszgBOvRH11jPX3O52aqPHfyxNbQ3qoyplya0DGP9tM/RsEKW +4qfNtvmS/gI48vmfmCHPOBQpF/lsP9glgssQXLIjbGY6HFbaQN/tPCGvGJMj2ffM2UNF6ogSqoHU +sqq97fwD7xOjNOQMAdmW91Pwv3Rix0ax9DpSj48E1SQmGbbgIEcP43+3+9pKTlXejja7tgQtUJCk +bx9f7aIrgFBbAD3xsmyXoXJ+81NG8jUBbc13a1lcVasSuhs8o0aWjHknmNEM2EL68pU3iUXZY0Tt +xeKLMsVxvrp8PPU2POG2vCuO4TDNYE2Z+KuIq1Q5vPtTiimaKKk3HBjD+KH8Fc2flJMJafDEN4mf +gb9nMABUhodwydvjqooXz70ByNx/7gTPm2u3jNyWsT76TaYGYS6OFO20ZxI5U31+AKxpfNmBOrYp +bDL1+IfrxyquwGgtHEB2p6WG6rpbWu94qCkOXcpjjAaC6ZcBz9441oAS22Kk6cLJ8eu7qZ6wFz4v +lkMOUYBHi9Q4KNZQqtfhrE9CbJH8yqEOANH1X+BXDNQTXsIWGzfZX/dO0FeWrVC8fP8pt3rJAtUd +roSPXpzliWMt9otDVjvSWlC7DygQvDGV8vji/xgL9Lx8Xwjdyd8KbEZuD9iIFcLFa1x6Fy0Qh48H +cDjmiJrSPkZfgCR9odaZ4DVF+4nOuZyog9pmBExhKf7siB+YQPovE4Gbk1QxBZ/azuD383lEGh0N +xGTlYV0shjnF9kjh4FL5XSxTeTLzkNYdDxeqESDDAijOOjxepSNt+mxj01JngDvevxcf6ZCInxmC +jX0T9LGI31nJqaG9AOytOOYGllTtIO9hS31kxyHLcnmHoyf012emL9xCisGBFWejBT5VvGFRrvod +bwwkJw+LJdxNoDzOaSowIrUss0pt/QRpLyJV+A5/VbifXM4jMO7npshbv+d0iSK+DAKf69EMDHPM +gR+Q+b4IOQ3h9IQLBcn4i0ZILDIRXnTY/+G84dM3xYQX1wCKP1aTNf5//R1d7x9748xk78rk313D +pEu9xNYr3QcCTJM+jz/elwlvxqkn9OW9hMRww64QKJNJ2Crh+Imz1BTryAM7LJ6BAtUGyCU8xySu +3ZZ80Mtk/UoYyKHEWRuqenG40PotRhDnCL75HjpvLLvgQA2u0/B9j6lVImcYMwGuQFtgPhezn4XL +H4615HCWCw91pXTNVltKoN6rgW8hJsfoZVny2cZfoHBoDbZO4fcy3DK8UIu5+9FYWO3sSwV9gSxY +WSwwpXiW/7fuW1xGGki3BAqa2qI/yknb4an+U0DolwWWGZDtcJQPFl/Rro4yzlZLlg2tO/rAP4a1 +dlOqq9w5JBCsNhxbX7DThYXh4NI0Kza74lXuXGl4OQUJhJ0f4LJCdwvGxvRyc0MIe15KHaHoHgmz +YkRykie83+x8XfTM3xdjoJHJTjOrmKV4zDZ9eWr9gTOi3KpbZOcCv6CC2UMVIbVSdkqouToN7Mib +wQlp98EBzp+nR6VBrHoJc3W1gdJa9qPVYyvcjqnKoj91/+iQHaonbKhQIIio5KUeRHBMcR3AYNYK +NyyqyoatOrxBJnU4XIShVGQFymX7kmBNdkrOUz1L4eub3saOuVSq80pvnr7Xama97O0o1mP++/cv +z/qIWqE77IOIF6Po+GoWqDNP0JMt23qYxkjwvFllfXSjZ9uG62FN5+J7VR/6/3m4nTFkYjmLi7m8 +wlZ+rQ1grT8+jFUqkHIrjoRHYpRqRbTyd388plEOk6xc/mGXbX5M5DRBfnDbfZgNjIUH3C4v3Lc9 +6Jw54xQV0I+HTeKS1ktWY9IH64Qj2exvM7jwmTXe1qcpfZS1trJjLs2jbu6ubaDD8QNPVVblJeAA +kV8dwtkYGg2hYI/ximXgERY77TXhm/zAFHrFHzwOGUfTrU6NegCFPu3yR/Fg7RWsXdHsCDFF/P+5 +digRzbB6hMhIGd5Wz93aQwzUFgfoOXLXaWKdyKZMYrcXWBzJ2u0FeDRMo6KrMKIAxIx80R36Sk5U +K21NcEJfu43Nd+gDA24eK/SsPnDgvT7ulqoakYfQWQV1ZsAhDX6JyKGwJ9Z39HiX6cSI4i/aXaeo +tIs4pm41p4hN+fPCiV2L3c2h7eDh0gcxGpT8WvNz5QhBR9fZCsEO/l1qC6fKerzpiDQJRyp++XUs +8KxqqIrxJF9o+ZxQ2MnvEy55MS0ElydYeb2GbY7HkP8UZ0ibB1nYAGAj3PfSExprUDyX+O7IrTZG +8RDQTVYU6SjMJBM3ZhOKV941VEx77LeEQN5p5DRIqlmy0/YniH3k5vIc6UJ2mLAN3raUWry3ukFA +9hhJ8ENYPxXPITezLqWwlM/82lfiJf4Bj37bKVDlQ3MWWqHb4q3lDodychCMJRjyNEMJBkd6hz/6 +1iEbSY9ckmBkSSyT+YJiY/CgNrzyRpAjwJECKkID8xmdzYqncvT0qeJ6MyTd9el15xZkXRR9rwP+ +cJf7afagp2WJ2l3t8eM8ajLV9aYLm6LkDI5CAtan0D13nsb3EMdEOgsxhQhmnvl9z+aeub3Y7W8b +11mOlwouLIkVaSKjDs+g2VjswEGhDXpbqv7JABmE+1A2+Sg1jjo1Sm3CJdbem3RCM6b66V7sw0yf +SdJNnQ2spBvK6zV4pSe46aWYpjDkjrTuppMRz9tYK2YOiCVFOXfAnG8XD+qCNeFOlA8fX8/h8yUw +PHlT2yEB5PqCWgnlEsphDXo8f/v8HfjbM+QofqOIiuVYFO/RBeW9ClehlRAqb7zBrvyGh/l2juVy +c2vHfCBNLdO03xrOlG26MJbiEs4knQG9LxDtFuEPjpZm4GNgyuiWks3Nwdx/rFQFtAu074zYVFdg +BCwwxl1LjxRMCA21MdSvztIcncwLE+H1iR299Y6aYMgqXgnnbOZhoI4y3aKSf8bf626km/ZpqleC +GOzaxk6HT/o0SjOH07JyFyzCxXmludn48IuL3Fyh7WHRIFBeLKdz1Z/6PpjJhEdhD7YaaTEh9npi +wyCWn6vZRk/qDghxg+hi367ZhwfEAnyf5zAjlGCpC4l/zjKD0lDGe/PHtG7G5xtQHJE19qRWxQjj +C/X/+dCdTGPKg4Yc13AcyvAvaSlF16/YcGwMOvWFKAlV/UsYl3r+cNEXDdflZSLJLi3drpQBKYb/ +pdlvrmfPtDFmhARy7wZRyDm1VR/E8SdQITI/+d3aJP86M+oC9ydzOT5lolGpKrDj7A7QLTFNg2rw +7tQpuC1iIReyti4hF7ZPTdYXf57i5pP8B+R6KnfByy87lba2/WAVUMjU7Mb9Y4Hem/7cHAEab4n1 +GXpBhLX1jNQeNflRPIthtdRU8AlPTUxQ4YdFyVLR0mK1FQMso0wNn6Rs+gUVk3V4Ow7/RJlABY7G +SDLfmu2z98Av6OiB6RhO/692w0BV0eadrLYx3uJoMzeCCd9G/+wJ1dcnLX+Gobse6TAJRc1uldhS +wYfZlx5HLzmubSNb/Og03msBZMoMop3p3hEqhaOO7tmymwACGSHBjOte/aIC7owMvYt3HZdZBhlB +Sz+vFxBHd3yBbJVA4GQvwAd9T4WPnZjF1AET9qHBxIk3di/hKQ8dXVUN4X8ugwwynuQ+DmcDte8i +NYwlAen51UFMdmttPae8o2xCRgOnQyqfvzrtThu1pnx3sr5lzDhrtclsUG/ErPCBfbtQ03cV8eRd +Az4HxBXNt/QaOV/cftKHmxKr4ClSWZMuXTEWxOZDQmv97u+pZbgFvYFM7/xdbvs03yKRqttcmRlk +teV2RZQdsT3Ckn7U3tKu2BHmT6Bq9zRoCPGRlvfktanc+9YkAse51Y/CmESDjVQFz8TWQ1T3IGBM +LKP6eBVqaETJttSJIrwqfLrV4Zmc1jhvtwxexLni+Oy61cPWzFRX76IU3Qjwb99ybUGHeMnoTj57 +l61JKIH6Gw+R68hf03qLzXp+otmow+HId3RY8/wUhWcpKnt34WxdWu6Vqg8o+8SjZ6Yea5v6RKzO +GmUGAcsybM8Y4ox6nDn9xJYsrGtGa3nQcHlo9ANZd7/FsX7K0dEs6TxogOEJ7EleWf5y4iQ8u4+L +ScrYq2qb5I3+RzxnuJPxxpoNOmbIGaQZFWi8TwX6L5ZqbQ4DZXcefZO+PlxAEqQYHqe9+OFpMun6 +l5K51c2/40bhIkeHdxiv0ZDOPPiBUJABD8bcpChaedekNN5XOtQTAagzFCa2PKGgHx3W//HAKnJH +7Y66QKGu3QiLiXZOZyM+7CU67kjTgrDVPifJrDUifT87kVKcttiHM1udXKGrBpvzQfiayAbnx5SN +mGox79zkD2X6gMy3cTBmodkkQVqrpJ8cCk0BZBEkU7hJV6cdReI3th+qjcWJrSwqrFsI2MT3gj5G +5GR65e2SKlhBBCVhAootC3LNXyI30DrWXDBmvkYIeHrh7rcDjsxRSRZyaf7m77NjPDM8sCF+RzTw +vP9e2+XGUkwE0ih7JroOPHcvDlMq0LZux9jzH2pO7UEHyWzlYtonrfP5Lw3PIH36e99X99EzybfX +Zkb7rbKy3X8lNQyb4svmJahzjEhGFhMgjVS17GCo1XL55uk6D6ipfNbjEOIk4Sm4TWKfCsfgqqwj +l0HeUrYbp/vNv+iTcUtH5tDNDJA1+dMVqvlinBllCQLYBFe9V0LdTXZ4a7ZisvOgNgYH/hMxVEAU +Le63XbJOdQu/A2kGjfo0xOEJLHJv0IEakd3/sA6XMeaFLxkZxRKP45RSWqu7PoA1Ez30gr1zKr5x +Teh5vB1S2j6+0yKAPhmMdjK8x2szCdxubj4XLTeWfmdpctbwFGRhRdS3hVOEOYeXHokTwlnV+ZMM +kL+XySR7lMTiemn1PnqokaY5vItHwlUduS/pyhYkqjJGlDQfPJsv2mh5UExgsFTTb3/I8sN/BnRw +T9FE3I8Hhbq2gqeikJFqfqtXj+WGa51mxo4Hysu4irdQ3++rVxYvmL5CKgnUgmiAsVsbMZYbf5iP +k5m9yNhz2GE1YCHFxf1xx7l5+6q08JKO2gZgivoK9n5A2ETiWJZp+WCyhXILLo/304ISy6qYtpYE +InNOpEEol6/H/KvLFLRg07f4r8YuIczydTpvXIZscB58ytGkPcSFaJbqtiHm8YfP/lAbXvRQl5Zi +7j7t6QsgDGZgheWzZaqCQTnIyKI2Of8X1ObigaD+QHLiFq3RdtDb7mwCIVBQisetOHAKSx3OlsbF +bengEZ4K6cAHPBsVzTPiRC5uwbENpXWS349bFFZpsI9naEZl94Glc2QxWw9L7UFEOM4qti1YWW1b +As+XcE7pZt/ALNCQv0HJqmOQUDjSp3dF6W4CHQfhpAG3OORQbYI9awmKlWjQybmL8p/WYeIN4AhN +L1bnED235w/3UEsNigPXE1Bvyo+ExojfRJPy0o0napmEEaKqgFavdjeVNP3as/ViDDddb8eeAFx8 +YO2orG2BboAVXTM+O65j/nRTg4ibYhqB3QW35SSsa326B03/E4YkpAkJv47LkiFxoW5o7CCuVask +xf5DuAV4LK7iRIaNlQh9JoCcGO5hKlgfQvAp4ka7uxWHOPZPNvKWLuZmc6jH+8DiQynKWZmn2Rsd +RRXY6blPMQKeKe3L6D8clMAt28iQLrpDy1v3hDkX+VmqreSjBt1OBgSrDdRHXDYSKyqxGFmQ78ZK +rmImlc5XH0jlBY5HcHukIrRqfMMwP6bGpEOdgjVwNuBJnRgJNQBFgj2f/HyVCHDyx1osHP4xpSEi +6f/Z0uf3CZzxM3uI8HgZGsjAupA0ctor+vAFHOZw813P4GXnnRZxlz2iWlROwtGpVbtZmwkvSuf7 +zz7RsNOjCje4D4/6YlPiGdt6oy98HoitUdXtNC6sl8VydrnRx9SJQY+OYlNiMHqIENfn8twnueJk +Txl44ZzA0Cj2oYqyGia0iDdpj1qXn3CG3rlRG5lqGlHE44mCzGieOw466HNhCujkHaHzg7UF8Y3Y +bqGHUUg3DKb3ndyqg2utB+ZNJzl+/kIcmdHRHTWoMcdDX9x3KbGwadCjRjHdmRDtNuw7hQ+AFIwA +t7VlhZmrYoYM+zrwmUqyeb9f8J7oXrwWZs6dabxG+Y7CMSgVceoGmsVbKvDafJrIM8JiO9UJvJsE +VdD2YmombH8hf/P9IGDE0uigN4+6NxZGAxoBXRIb8iMHLV4EZ8rh/KZDG6Ppr8jxFFq6oHJBm1rz +sDx7fe2SQyDA2bi9S0n7helkD1CJ6zIKJ+T/yH8hfZUk5i1/p4LLSg7NjNHXwZoeCMWcTV11IHoj +cCONchZpoWnLnP33uXZ2K8uP4a4UiEfekXgZVOgm9yOLrTy70IHvL7fs+wGSaX1vGKXio6JLtwFl +r+UzphZix4bjMoDSwGfqU4yWFXk2YwEtH/gDaceUysqJ7m8ws3JCR9gYqSzqrzWY5JBItEQuGR4W +kwp4Px7s3RSGG2rtvXLXDVKB1ad7RhEyi/Nh7GaB5qigxp32mxEnrHZ0lPi+2YsQMzqDkn9hI+Hl +rz5YH8OAoTDtxBBJxDz0WxFrRs2NY2oH5HPo6iRP1/QeBOKt8EKXKzKIkjZv7vSmohW3caX8FZ0c +Hp9RNBGHOSIPRKRjdYah6CQUlpNSNQbkfkVdGsZP8vCOTVU4JLaHauvjrxHgzozzlqGLhil+/I7g +13B/v2qfS0HJs01+1+JKsdZMHI5Bn2pwU8QJGxWP7xw4KLZDQmIC0yYQoNPQf1j6vyb+Zho3JSWb +kAxweKh6kgTJ6wr/AZpr9yNRW41cLyig/quGwYcalT1okZUWxAH8Kc1TWD8BWVrFRFVB8ujYvZfb +Q+UzSrTwvHUPCBpNrKU4fQ0Ysb5+DltxQ5vWMeBtAVlP4zxD5dPnhmDZ5neRmMsC34utAz0zwoS3 +Bd+ssP71J0UrkaQHlhDNsYHfIiEUGOEcugAC2Clw5rU7O56MXXLRJzcEgWdNV6CRySmEksfr5vkI +Pt46YRjqSbYvPHIp7iVeIusnoRyA4lWqmOsG57s8ur/aDlZFtqRG2/LXoN88S4THZCvHx1r3Eca1 ++CjHirbmYEk4DD8MlLxYCF8+7nACEaX+qTqSmQ61U+zAg24I/SraD7anxPKG9Mgkx+XR8yba0Bdi +KilpYkKAts2B65Zas/tRVu7/kj1/t+Bb9B2ifatl9WB5uayrUVgVmyUkJC0VqE+h6Ux+al1YisfP +UCQdRSnGLeMxCtLLYrxjGlGPGpUpf1vgWpXIbPaFAfunquPrih2H/9DcbKwr+CZtzZKMvt/kzR+O +Ubh785rtYyOle6WHgfFJQwXAxhrNNFqRWgxTFRuxPlYIaAwUrzEE9Nk9FnXLOXc4XWYmTHl2jDxt +o9yiQc9T0k67doR6lRiUoJD+U0cLmRtAXVUHJOGeMXrSdp2M7P6exYBitV57hIwH21Ig48XbdwHU +xrDruRWBGyGbMNo2u7hqxRJ7toiU5jEVVuZaBXGAKUQjUB1U2xMPKYPA9y6gH+NDaGuwRpLH1vbC +axYlSquVN2TCeKAt46qQww371U41njq6lzwbN8dnUm+s5sBZjP3cKJYMfdN/kAUuphjYtCT6yior +o1rM2kt2A9HUh+XkOcTRiaY/aoWK5kSzTip+5kpTMLpzo/vsybGAYFAQ7Bx956HomF14IY2E73M4 +MYRzOocgjXM50Bu12lAY9U8o3yL0W+YcTWJh1dRGKiQcZnHqHVCVJhIEIiub7nzMXLyEaKwRxZvt +JsTBWSEE5xpTMS2uuVcDIWu8gBswZtSTOW1aAZW8e2cHOGzwiq7onyCUrooGs61T2be3XSrLYnjW +yOtXE3zpMTP9TcFdvIrbFrsn/I0kFPN6wXrI5OIY3Lgy9x7LsjBaCjbWbFhNTIc6x6NHfAOD96+p +AvWgIJ4SEVr0+Pf/YGT+6PEsDOXS6yeY653MroX035rNcU6tPBiH3t9OGOW6XaCsykiqxYuTXzL/ +dfhXztnP+WB7ZH25ksnnHrxjr2P8w5j53JbLkCs8qoahCXZVqq/VYELpCtfe3Lcjn/IK1hV8dnyi +qLpareA++Qz/ylq0RYeN0UJB8xg+t2o72petE4aaMbIuyZ+117ahrAFr2anUyRYiSpImhUT/eugZ +wtU7dn3aIimnzfyT6J6Run2DNgASWdLAt22EeoK0rTqsUbkDpLFLG5ac/QL3NGydoC8yIIkfclYf +zNQB8iZjWiKy/+wLLMTfbMGK6vtiD62bc5EeNelUpWBsfS5d+uJqHul8cdZ2UqD64mXdZsp6gIo9 +tbuGWGMygSoXK4Vrol/UsmhdehmPfg/EmYgrM4rmU0ViM9nlLqRwOJd7AWM0v1Xka7sxBOmhHt1d +BSN/vqxOhDCx5DIj7JvL5FsRbvAZLIxINPnEbxbwqrD9NMBAwKMDNz7O2OFP5rs3HKvc2QB1Kjb1 +SM21B7tsrk6cu7Yy0bebU6mu8lwGLHc/V3jjixBYfGleFTexgZ8Ow/JI/cYgD+U7dHEh8qfH40hJ +vIyjUKGfGxwnWZ2nNN3jg9egzT/kDm2zlvQOk06vZ4n0TBDg4O9IouJYv+d1KRFhjzPAwxF7UX11 +HxatEeJ1AW8Sy9GtDt+C9KriyPUmhhfjVQE/cXAUjmyGpq3Jky8viIdKF4b3AgZhuWkT6ItNFiQc +ZGX+XbxE0YgA0OSdiTISXCEI4fFZRM0nrIzDuRgQeeyv8gUnI4vS3nHXnpeVx/CoFN0yZ1cvzTZW +gYHFRBrtClXaP/iLrKFPro/7W8BdQDuYUu5xrmVT8GBjPQEGxXBKi0GYViNEnFV4IeUJRfpXFk8K +1qtSIyWFdIOWUIcUOJV3Izctkob+0rGVmlpBGhfLr8Y5Kgxj6IvYddkTRDFJIKQ2OaIt99twPfD1 +9oi17gxq2Wg5bIlEtt0RgZ9Ekv0ci+1hz11E/gaDmfFHLOTHPIX2CxB0HVHFAi42fra67GU2fgYZ +Tsd8zOYFO9y415ZnrtaymWcHMe8+pWUSXeFZSOlBo382ZYLyOA8QK9FZ5fSMcYOU+y0WGyriLJcl +pY9QM5EED+vVrAXbpjohlYWkVzJ8kohF6evURrCsfZz1+9RyIc6/oPojA/C9IrYKRA20LjrCjGCs +t2w82PbshhXc4PorNyaJaqTYE41tY07cqlPO8To2a2l5SeuLrMITCqA55cqd2oi19ootIis/GB8K +H9XNrMx+vsXgcg55CCm8VntR/EdKH1t4jLO7FwjZVBdHFBj44Qi1HIcj80C8JKnUH0ZhTNhJlRKy +CGLQvB1enIqyyWF8NHsPg58LaaCGszW/HFOSqan4g4gVLmPnYIV0DwtSVpiAPBFf6KsZV169GK0F +j6aSwiJXmqReqzB9e77dIBmUKEME6C+8Qy7Sq9SIFXJ4oNuXBR2PHOsfUPuaBuqN/nUZFuJM0+Tw +AX1DnatZddwCGUAeDGfcXbo4bo3HMlTsRfBqV6b5vYWJ4NSNghOanbRIyqhM39WEMwZyCbZZy4hI +ZzhtIg7h6CKdxjb7OtV7a+2ddHcTdTzpDDXW0NGGUBvc6UqkuGJz8ZBDLsCrQEz6SR7E/vE7nIot +TbXvp64kTHKzM9NWGvTSNJHS1lOoaaHQ5h8lhSVjfuflwbvXhJNJyfv551S4K0s7dYiKTe1gesAZ +zdbznGWfFZjl8Z3Pg6gfbnnOApLcWQdAX9zbeqVhr2izXBGHSfWUAlLc28UWHssmuSIaPCW5u02D +mz/Y78bo7aQ0ob6qyHke4dUByzocRlyacpH/OgYW6dEYyvGYVhKWDNuXzAmBnZpLOD5KDFUyIZlj +VL1WvX+YceQJQhM59PsnWX0SSpqoW6rPDZEqpQi5C7z6sPD5dwdu4j3040jUYeEvh2vxUb4he3Y4 +RpwlyJyJXkA08bIn4VA53r60cOmRpvj3c/yustVdK8Q9z1fn4XHLG8HLLBdwGQnpmQSBuP2fY2Vx +eBqes2/sDayBGXlGXcAA/5gsGmJBEy4Fa7PcxNXLCZ1x3NJVDFGXdj0b64RzTmivLmgAWZoAjprb +Y0JXXJxnjdQ2BzbH+MUjQ6jB08Ki4v7NG1f4geE9fXN6AEVVsjszKTffPNMd8LjcjwT/we/Djikb +E4/2YCfym1dXDcM/Yvvqe35puwr1cipXt9sdqMkRsd7XR8Q/rPfMf1IrJ5q7rUeJfd1LmWtmBl/E +stEzpBEUSBzr4mu662jaLzAEw2zctHgLLCBF1XFyApXqfaxSt8r6dQ2/anGbZxuy/8szho31vm14 +ixnc9YDS6S39E8lJDSNpTNcH5iVvGm+h8kZCc2IG0ZtVg0UVgziCe4JFOU9ZTkxaU6hahVlG/ye/ +lpwgpDXwR4eaCS6Gfv4Unla3Uvf4Vx7rPuJ+SCUinA9R0i+Fkd4QLnm5lWD34Rhg2axC4Q53vdL/ +en5jqVzJDc0Iwu/rfeVtGI6hPhXSjdAUumL9T/c/Vi4dLEMNOusdNVrPrJxxCgl3mnh0H5nlEvW+ +GXxr4+T85C3d4STktsp60zW7WcCF+EKb44J3rFdIUIQigC5sG1nroQzVxXIgshCb8wJn7xKWaAfW +dotl/HXV8nPtEJe+cLYSIMQc/Xm692h9a92jTSgszctioJTRVNjnCuN5nQZ/NmgyYREvXR0DV52m +X+ysyiW8VNQ2E2B65jRx5S7mVwv/PHmsC2ABs0XiGU540hOAb75GJm6A2j/dkLeb3U+WK0KSoeE9 +ow1I6cbCPzUk08VsCVLg13ayBxIEQRkVPCe/bTq0xYCS9IxOy+TS/FlrvK8lBDwcMcE7oHVX+Lwv +7lYxKgebIrrTEnJZ/QuSxIi61/klnqg8k0kLjJRfOoufxyziXQh/9jAmcA3KSArZyOzEMIFgMwsJ +J9obbmaZfi2Vm6nYbhv35NqoSvWxlgwYA37QFAS/oHeFN6cVM3tuWvmoMIXI5JAO10t6ccJ76W5A +6hLXTNiAjV45GvluqmMA94u8BCKqCbN4Iu+9tuzsBxjB8eVTpo8hpAiRXUKvvtNEFHcvFfutxSUh +gGkSvZAKB/sgqABnGG7pTKj95aTH0Nvjt4HcPo+8XJhRuoCk98viZ16AbhIyjpkF3XURUZd9x42+ +rpsVPYBpZslULMZB0+fb4LYDDEha65Ows4qcMKRrB51v5UMkKlL3LmUMx2pitkYYvFdP6lTVZHQD +BCTVCDSixfX4n2efA5Y3ZBI4uxWE7AgK3iphSmZg3iO7wm7nY/XUzZ4rQLFNjtEhqAuUAx7GnHo9 +4kaZgFDrVGYdi8ekgpBldyyH5NNcJCadQ6fG4l1Up8ZHF/9kxRqEtm8tfPTE5R0beftL+Y0yHvSB +AgbbSEIwFr23DiSWLDR3lZc2HBC9CkRj/9vePoNCyMNk8UfgNiNLNtv0q1tI5YF6UBNIL9SRZnGc +KfIz6BB8NcCtnImzIZ3mxPYK6u2inj1GMc5IoljL+0nvBnlY64U2Hi/8MLMYfq61ESJ34kOduX8q +6naex4HGF+MO9RY/i0oANGq7DqDoXGNuTgxiI0ycEpUFHP/psliJSbxoagtBWcftSXafaG3A1TaX +TzugVw35e7NbP+0etBz2FisHAsj7hmQMO6BDIWQuUhWkU/uMf00Tq1z/K4g+XAAoaLPmUba/Wiwf +N9YWGh1pG59tcUrZcAo+jqiDj8vwaR7BW36BGCHgde61BC+gWOu65FXUHyfN2izCxQo/a72yd53N +DsEhKcFAxcO08vwdwq9sTdiE+qzCwjK+zos8k+9iSy2D69U0x668dVwUk0bhChFolvkzI0rk1JJs +iCPIFXfJJme77n9EcDfCO83Q2wHaw0f9gVU51t5WwrNBIYJtC3DZ4E3Au66UxBkYKNM410Iy1/Fu +LcIHR0PM1lEX+wMvqJ4LhDffn7WY9XbvCHI0JAnucOndRJBMwV8ZkfV4MU/sCOVRRXchBWQAZrKw +Z680KZBsZ9cLiZItBAv/lKHYTjsjhMD5TOxgeiz63Vkhdkvy1Av+3DzsLyB3coXDCeehNBfQj/Wa +9BcSxvBRumCxRaJ7M9UN1hfqbXjPpIqb23NordvgkwqjsFacNnFJyjDhFtH7F/uLWcdbbx3kA0/q +UFakW6IcUZz7dscBlXeLnPKj5L2N/kBkCwF6co6g/Ls3Xm03mNVm7+7/cOLsYhoZ48ikW6h7j8LW +U6LW1mVp8rKj9ebBbBdO+6FskjE4PRvhqp625RAPXtIUyX7EzwO99XiTKcOKNQarLAwDl9OLM1Oo +a4SdwwJdWD/oVg3UZCjD6nyABYFSe/UFLNFNyxjDfclXWhwX0jo/CwX4NiCPPlF30Ge2r71bEN+X +eY6dVlu8jPzae9YX3R46nMO1Esx27ZyXzsBxQykXSl3Lusn3SPicwbs8iH2p9V8Ob+cdiHWFzfg+ +JaH58GXw7hlLVgEaZXSzJKMDlaWwq8ne7DzQDEPuViMfZKBUOnwBoyCTtTTDwT4ioukZBukOo3wx +QaOcOs51R3MDQowcX/Xtr0JYm+w/MnvsxKayrQYkhglZ9TtKnMb1hDglmBhDWlQi5m0eH83zAOYy +0kzp/1WLYNwe5kWxmLNqzgBpqOHZj4hnZeSC+0wGiyYklCUAtdu/p5lvxKQbXic0/No56cnpAOGw +ZyLZ/D60Bg7B3Cwv+YVcWV8ZjECxaOxb5ezgHji9+7mngEgmzoDh867vPKCXTHfin7TImqMbcitM +wBpFVD03gK/H2gO7UqJ7OB6dwFTNUJzw3EI8Mh82R50PTYB2VyWryUefAr/+HXp3Juo6TL6WBT5Y +3+L4hH0wN0hLwQVDL2pGi5xwPamiXLDnwL0nPrdXhC1UssV2+2M+OBfAC/TAJWqjaRznHLLhzT9E +qne+Ulj0hDFLaIzDM7JZi1GhOddxksCKcE6TNfr+x9Xn6GB0H5coEhO81VpuNQv+xmPNFPzIm96w +csrkdG135/rIXK1guWj4MbjyHnX9F5i9Lw81x35vFLb4/ztD2XFo6KlSFKPnGVjNYH7idyZrhIok +ixFgGWu2JYTwb0zikzXbNsGp8k9t/6nrPBRyL0cdSvdLm7ibnAHpfJr6BFKGJXx70k0V5rkTY81L +eX8N42auRAoIf/exmXkyd1YI1l+gcci3TEp3mz1/DkVh0OsL439ox3y+7I8M4I9cb0haeJnPc1JS +j+/gvUrR33UDfKUUa6U5RJRqKqR84Ob+brlg1XaPmZP48iGrts57AZ+Nb11O8LJFfkmYOfkWrGiH +Pov8LpcLECY/dnm90aaO28i0AAdJrsHUtxzVYv0gsdU13QBh75r4iWv6HL6XABXePt3m73Pa6dtg +DoWyCb9Nrcq2SbW5qImWsLNxj0JI5gkKLiEN281bTVu3FOFJ6MXXLKCIFFJ7mUJ/+y/chW4VQuSB +lg751ydHFz9mvk9HgQrNbVgTkWH3PcCmodQuT4irFwUeKA5u77NIIuGWR8Wf5XEhFE4GSQ5k8edI +Sz5Q1qUYjamT3A3roH+aGI2wPjN9SEHuG0bmoOpmoKvWczfQK2fIzWm4kR1U1W1ao3oIKguyyBXB +nMaECppTM9xzAGrIX5MIbetpNCHEeQmePZfA5c6xHi8tk8kUbKdZsdmqgjl8WR8UbpVBPY6N9bDW +Ll2mXLULYqo/H1EHeyegqUofixBmPZh5reww07N6mwQ8b86Y2MdltDdzIPRJEhzYIPrwQmVkPYVU +1IrTkBtqqnh2UgPnmKOeV2wOPGTMP4H615Ftkw+kasZrsRBfLX453qSvRt7JhWXnB1zGx3VAG+Bn +hLQibaF3GmLEo0AQzkz5UyQa2eEp6/FgIOxQTPj4f2KCuQcos3poBMYN4aZ1dADjjBBAftBHSMlx +TUTucpOvat5Gx2a2IQIse23RCD/qAR2VLcySehYFd+wJmeT3HX5R1kcKulP3OhHGr+3K9B8deYUj +M0vJmfD7TKp5FEDhPyb96YGqRqh6QEuNTHTNka5HmM1wq9xmVkvD5hHiYeqtVJhAlLeJLLGjeBbs +C++x/WuKh5YbqtsaERrwOwG9Q3LpCO8tlo9851qOjvYA1z4CwnI3Ec20DaqEH9QBdvJkjtO3rjDr +OuS57PQmkRyKo7DKPzfjm4N5XDCZl6IHfBEGyVEexc7VxoFpkEH+TH91e15JesrhJfJHP5XzkHcO +kgaZMU0jS8iAAxbPKKD8J7MGghRUDwoEBAFH4yCwY338VfG8WAq+IKAufm3WLqufuZ8LWJp3tOGl +zRw9Z/dkjI/NS2KFQwQ7I+V7ndxbdTC88pSmY7s/K7RFBYifMxZ0nDk8eKviCmFOQ8XPfei3b+vZ +CWMPy4Db+K1Xx7EauK8AtILz8WZkIvQmjHF69YlRqjS3CjAkqMuRWZST2X7HWc2O5SumCBE2VIyt +lSTNeoCd6KjY3CZHlrlzc0VUVDCr0AaJk6pgd0Q+aIXPlWVaWI8RKN54nrFvMvLox3iyKPBkbOz9 +fCiFmioA2MN2K3hwkxdzKnHPZhCwcn/71VlP+5AmkCGJAz9GbAKRAcwcOBSQVbB1PrgnjyyxMah3 +Bf+3qYW6vG/C3L3qO9lmEfDWpyFgaKMJUDX29LiDyEzcEp3cxFhNn/13dAnslXSm+bHRROLGD239 +werHH56hGsdoK0JfAtXbVzE/UFTPjbZq2NWXfiCK67X6oip0CH9K801zrPQ1weQFgpehKlSJIPRL +irRDXvEcg+g16LJSeDleiNd4rrj98TPp1kFKJpGatagibrltbKWYVeTcmsaiurcauF3BvrC/tukc +6XXyF8lemXPAdtTVZFrf3353rSxRtYwm+mHWAG4HSDOK4WTcRuAcqkvPxgcXu726IErPriCc8fvT +WXp1aaRhB0VLWIHc4UU5KpjQ+CwiimS5LmsvqEpgw0x1Finj5ORTrJVUV3mtehXUtKlDPSBlsU3z +18IfRAGeb5K8+WKSzmS8fEfm5Fr/EEPhWD4G+VMp38RIjztGGVXTPqi9rEBgsNa5f30QOQnciuNh +BXLbHRrLEohOUpyiL8TkowWax5mIbRoxeG1Af02xjKdd043wRBNfmOAQfRjkQZSJughCFYE7nErx +yqifZG4aoYF3/vY1WAkyyZ++1I7R2VcMQ+qSyYX5SGHkHahWZiRxrawOJe5nPEWw8qbchbfRAoqj +DAQLBynl31I/0LIM7CfRtMl1ETd4tnhiyRnPP4nRc2ghChi2WBbnqYBkYJ+7lYdv2I6km1hP7jRM +6aqiYPvbXnMP6sjCO8bkgZaD2X8EAR13kR4HesxSk9C9o3i/fZG9/mMmxEjEnD/wM9T+1FMFdeqJ +uu9FlI102QCzl83l73bFGax2LTsXnR6gM6cf9Eymdn7P91jgIppzofvLEJBiGSuBSk+qHMSXYC8O +b3LTnVIDX7QNdEcpHuZkftD8Ry/tECWesDRzscxcL1pyC0sxdn/GNNUDkCl58A4b50pusGy41ePI +bDrwcGKX4p664Y4n7QW1ETqaDcVOL4J97Oe+dWL5z3brf4nfIUu3TNHyLS+AWH8M0ff5myw4hqP5 +S3KOW6o4rtNOGUFM1AkUYWYGvh57QhwIJk4CiP2UxK1fKADAVFJwmQrKmIVKrNuLiIZxLwTBd/mN +/sBZL5NjxeQiV83hc/2M9M3i519QzsffbMcWlZnCWa4TnaPiGd+vZetw7QOmWn6lZEMhuKs+IEVu +CgdRDpoiVxFo2ByBB8PL/nVKtTA2xC7s3l09oHSUEUrcp4WUkk9LS3Yp1x75nJlSe+ZokQz5esuP +EkU1EVXboYkTSXKz/IWhj8/fpXo/1u/EBPF9OXwz4HsbdB7XMDJw8ozD+qu8y0fpBiLi+Bi6YxsK +HAEVrtUI8023U62vtgFqIR8HkwkVapRmiYD9I2nIbojdNqUmNz+G3/hwwseCzraWWKN1oZCRY07Q +8FepG5VQ4jRybW90b9HGV6Tkz3GrqhgDSjLUiBSiyjWjnYxD3pjy4NmRsPj+Fo/6ZtXM9M+lgCTP +937l43LqqhG6rqczipSzx9kNkLo3J+VNusDFJNNrGBXDvolCNcyvAmwoDWAHcJEqgDTmZoPR1Gt/ +uLZg/+iBghRi3NCavgXdDLroTuiFvychI6Oo80kqgJjrJ6HFJN+fLix543+FjhDeZ36hYuZZfeRS +/u9pICpkOaWmZhhs/YStlO3/9Up15D580xF7ruMd0KywCniw2wwsIHPGK7SrDDEsCSLNir1wbnAL +E+z3LQ/vu4FpMSx06Xf9dkKSlfKrQw7nOBm2Yc0MsbS8H9aU4gRZ9hA62KdDDj1aLpfysOpMtPvz +4jOt3PI0z7cB00+9fSeX+q/RHkYBTfuXacyKm9qxTkWFZQG7w22ZKir4IrWCsGC3vB8feRaaW9Zw +hLZbxd9/M54Cs1XLJturR+5QI2fFoafi3+tZGa+qEteOtmKb188yQGm5dytWo9zyB+NTPob/Hfvq +wQ0Cq0EDGD+gqm1lShcpEmawAGd9dHtbbWieqao77IrnTLEsd1rTQIcQpMDOgGXvQGWElYtLl2rP +tbPu4dDAXj4nOryXZ0i6Gx3akC+tPfJONKowzg6BPdcPLEL/g023rOyUgzZseEdFwWdjvfiQPC+l +g0RkWOrIET895ASfxIQsygnjnJ9u/oyj7JsVmphFvbh2i5+rXP1VUxLR/38cFqN0AfCycSlaWgg1 +p+FgzUxNb4PR+YuIoM4EOx0fxWkwqRVN+XxeyBE3FIXzNKu3bbx9RqEEBIAK3KmINnLoezLgGrNj +dumb0xVuQSAuiKmOK2HQ+8y8gRMMOItloDCoD1a4b5HM1x1Kal+69zfjM6A5GEUYVY3b6jzvs41m +GmFhbL4uclIKH23ovlYj6wQ5mgS2JqEt0sHZSPgOWJ5QJTQ4HeAU141YqTgVuS1jXK+SCaIvtLYX +VFZe3sEcgb/onl3vLA3a5fQ+D0GjrrZJgf9JuunY2taGczQqmQR+J9JbAujVVEHldsaF3OwRLfhJ +orU4EhNTlkB8qLVBrIbRnrTj5iNeYRO6OX/CphpFqhGk4LFEL6JhU9+/rhlbHCfVkMV7+LPVK1Qo +Pd095qJFWqZN3EFPjv5k4+abF5dF4lp+xP8kKxDVVOAf59CRpYY+HomjRokO1dO0uLxCT8jG0CDn +cclULjbaEGf6tplYarJsRg9+M2TDkBhdVmdR3+KfD4dN2cnddQtxHyX46k84aAy6FAQ1pU4INgbd +pxLSq7CKkJBVNk2bcK9oAO+9G8Bp1qf1obDSdzl/G1kpcrV6cEvg7Z5uNIWd0PHzDlhA+73Kss0q +dIoAtYB1Mbaj43MlNDlAdLI2CY3DAIHEAVeTSi56nLwvnM8iHtu/Cv8g9+XxEmRMHnXiQzJG55bN +2/1L9k6ucEU8HFq0i8X3Qqta5YvzeQ7MZzq0hp15LCmF528xok5YbtNHIfcwDE6LpA191cOa74AF +M554e0mHIO6941jrM05dC2dxBmmp1zBLG+n4drHRV0x/zo/bdVGn20ji7m2uNC1b1h9L5SCAmNHE +qGUXS8KQcma8zkAYTc36UbqnGC5UVnBHW8u+gQo7ZLA+ZAZLAOmnQP9gWfqZTcOPAImWe5FPP8z5 +Kv2DVZeu9WjtwisSfUXnIG+dgqorJKVDp7M0hg/lgrZSzXY1wuUTvCr+uc89LHX3afbpBbmH/qSu +YnutUqtkKB4y8190j8NSEN5gZf4iWwXF7QN3h8VwJFVkzP5aWo7fLdwuMP4Yb/k0bGuVl91mRvw4 +Y9C9SyZ3Jpv5EtMIQfap8Lr+WI2B/vywp1zqqp9EGVAykHIpcOdOq8ytMPOSLxA69TfO9lNdEeBL +GcN7xAGB7TrRJ3eKMQQJ1uPZSbU8tra9k8YUyMCDogAUhJ45LEMNprq/FxeyDEX/pQd2LGOZ6gjK +LcPjlunXJknAAMpSOi5JY1gDprGyLP/R6WXYdJ3k6Tyxh0cNQbW+Y8Y6JkR2NupVi/rdVs0t+Ljs +QUgT2HOTZ5R2Q5k6ZPkaa+7Hxuks0obpTdDWGud7Gb5Hisdm7imFjHWkSO/43t8ZHG17sJ2CA/Ba +qFGtAslEqmA/z3wSqgVsrDemEO2x0uOaX5Cs1DXh9aqOJf+gD0+j4TSvERZWjCtSn5rN86zcl421 +6jSPl1tyK/H+EGfgP4eY9OnEkwLZ5uxdCcTavLm8P4iaY/LSMUnasHRotjz0jMee0IrNF56HSep/ +EovR/UcrksgEiB5HdKpAEnMsQZ1easRWNnOR7AoJ9hOVIyQYY5Gg54u5q/uo5mC/6syUYwWlE03n +F0tJ3flgYv0pAp1YwpdpozdtgRH3Mq/HDG8afRunPplmT12N/na9op8jgQmQDr+aaj5kL/xmFqH2 +DtCqvYEpQya46mrYgnorbN+qvo1xMHmEC2CGrDmFTHPCLZq5gn1RkbiAc8FpTlWnRgRNkvGrkFFE +BoTTra0ws7DP6T4vmeSS3LAp6QQo/wMoR+vk+NWyMHo93iTxRaVaa+S1EwU/+Hsa+tuQwuya9EB7 +JbFPJeZpt1tMIe2YTAFNizdNQpeX0D16Y6lk8rWB//zVXD7oa7YBqqPuWLSR61jc8IXpu1WwBgQL +U109WkZ7OrnlHZzDdgZXz7EujwRWB/nsnVVKLdJX661aFmch063ud3BAr2fxSMyinrJF/wOdWmY8 +YKHwcmBfxdk/eONNmSPhcSPXHazqkbdsRGy18l5kd6rKkg9jHtnXH848iExAh8ZGcWvI6HGPEgJ7 +Rps+hwS0bfYqZH6qgidGqOcp+YpXL708KuTE/f9vAVkGP2MplHNLs0x+vIQuPK5jICpq0UmFEsWT +F2t26TnWO4ijPabY50PbxxSz2NByMmq0xFnftfbqFFPV9Yz8eyDnYjaspA+L6Re2nlKFesoGOkRV +f9DXsOR5Q71APeOmrBnn27IS5U2IlIdNMKLXvN4PUB+uQM2wmgOSQC7txf/pi8VdDcwdJgAzmzt1 +MHyN0GJLmsI0740rHrEOhtMrcqzthCleGPHo42Y62eT358u6tgKgBKrSLgK4Jh7x44xI6teIQhW5 +imfzqvzHnvQ9AhHzYooW9yVf2kk/hRcS5G6dCOzAg0+gFPsLyZi67eUINAK6UH7xqfjvXxWPong1 +cGo3/mTpDPaqqocE5O6scjjv38T5V1sV81St7dp8vdbD181V+W1ZMFn+hHDX/JGQBszj+b4YcMkS +3rTsChCZ7dlFBWbHGg+2zYnP4tyn5CvyxvRWbVmHMIbpK82I7hDMbRv3Fgt2/p046Iq0JSQcjXhu +H1CylpwQkx5LynShq+tj2ZkmdLrn2PsTm/GBcl6Xaf/Csq7I/poBIjqrLVNnU9t1u5sQl0bYPCJE +38TWppH5vDBrVoYM+R8FdLILuHlJ0Fd2Qy8OWw1l3GJqqtkytXJrBtPCDAVLYKU1DSijQ6peQcqP +xCcwTgFjcVBoeXLhbLyIxdceFoJoDKJHuEhuZvCC0H+5kp0SeIL/e4TDGqDsnLOXfddSJbeD8l5i +i8qfI2r5rr/jBOzEoFaKmyqJgLdlpXhy6B8QKYNmIceSdZSVFKOmDLK51C0PXHr3iRoPj+EBvUt8 +H51b0eQ2ap71UwRETXh95+mKqVjkhmByUk4F0AVwNwrEms3YdOry3SbHv9kHhTCwOA1Y4mfuq0M4 +nUJexd8heu5Cl3cmDeuCJiE0yvyeSJ2Cilk3Dqp3hFHzuCX1Iz+8HhDcC/hYN5XO90Swg9Hs9fYE +cflE+TR3+AfDiss0XbK5y3UTqEr2J3N5HIE6bAi/XRYavT4waYkUKAM42KbZ+1OAhmH8L4Yeq2/i +LhDkfPF5q2iEbchAmk+atlkudpMvG174/n8SaFEUHde7p4MmVB2daf9gYz+qoIfk9vJUIoHkwfPu +skI7wA2afgZqsSCV3pkshDGQk/GgnjxFiIlEeVl4pmR45Co8FLRv27aZqxiDX9ceuASVasSnt+mg +yH6Xa2aT4FrDr2SUx59jbYHrDVB1XhMIKtKndkCJo57KS6WSYjPnjAyM8F3u8s1H2mbla//eqWRy +NVNi2UkhKABPrpygCBrDXo+r5Zpb664RqJ3xw6uhk58y1MMEY0kDW0ZmKZHQnaz/la67+21iQP6o +DynQUjbpwyJMeNysax2nr9RoSm2ains95Y3Hnm26mJFlKXsOsQMQ0R+T+3cLgpTaltiT0dGausKm +qBcLspLjRhIT6bRIb76RlLMCOr1WeyQ5FZ23rB/C8Ylyk8Q+OAnlFoUT5+rbsWZaFtqVpsCsj3TO +HpyrnXYshown648zhHyEpsI9fj5WQ6Gfao7JxzvByZZrXz3uw51hBY0ZHz1t0WcuHcNktUrjqDv7 +I2oMfar8VUIq+DKINwJN8oH2JuVCRi92P3PBBvSXkpHVa7rRjrw7HDCValzy6qE8m5Id2ui4YD5J +8RVb788Np565yEDnGp/Vbq5+arQVaAUTnCaqzLr9OQCC+bvCYBFMBa1VeGR/gW2k1+CJTwfhSh16 +z5f1c9DL08TL0vsU/SlnRahvocC8+Ca2ODVxWEaF05rdxspOh1YrIqzJnUWmz4vJLrVaqxfD9c2r +aSoE8kClI6N0hrmiKHNoVn5No+xe5whmauUQ61cafSLvC1smRSek8ZCmoihW0ah9JDQavW8+eT7W +XgpIM/1YPJgc+SpFbZ9fe//XBU0aHZX+ecyF/dysEU1SsC+49ja+b83HpW9H2bJYlLlJR37n0v1/ +XDSrq+QHI6H60fiUvi4QSpNHN9+v5HlBs0w0tx+2sFWA6rftZEvamvUFUQ4ES2NyYlP23609BszH +o+gY1HbXlo//jxFp8F8NT+lNWRl+5WR//lKdYAyCGRl8w20qwj8/+5/W4yy7k36nBT/i7zcCtktc +87ALm1UnlAPBNM/a0/p/s2hCUKAGmKy+BW6AczqjKLGFlrQakzap9bhEZD84qiIp/QPsoViF/Bxp +Urm1lLzQf7S41SzWzoN7J2rigxnkXi1xxeLqXdh7u9rmJK0k1kc4Y37baWgI5u/4/4vzTbye2Nl5 +2Mx82gBx9C5HkWH7Ss68spD50/iIeOhuPKuBkXw1+r/urcXc2NjywAHHQufrD7dOWXxCmaPuuN4r +iQDF31UPcrZucD+79ifxawqE1UFFGKSoa8jlZLeZbxCZEgwdMNNmVSF3BItsQJFfy1OqdDQJEey2 +vbqkbnruuy0CeslGQjO8PmqPWnnxJYmMghkzI1+YUijCAjIhZHN5lfR1285hAP/aq1y9Wmw4sFMU +AcUoqqjJ9lO3BAqkB7hsX4OUR1W1/KbN5ZUqFA2RjiSAILmE4rTqijc3VNan1PrZY2kDQ1qtfqv6 +GicUP+3cwqMizAPaLEB1TxhtuGjUqJ8eIY/n40TV/g52tGtNUQ6IEzP0b0V0GCrqzeaA8c7OTQke +0RveT1uXF6NhXrH0FeJTM88HHe4GbPe3UPbNGKttcMIN83XvJa5cMtTCMyg7Q7KbN4PnOK/U2/RB +biNM1f7WZwWuFMsJBB3kVTGc9AkrnyOlO07PCWJJpVfBhFWZw7JhzT5Ihcmqab+zClqDNWeOlUUf +r7E1VjKT0cbrQiuuKd0IK8eH5/mgfOzSWFCYm3WIb0oRi+0TOTWzk1CVutnhLwA2T5FoZnIUdzII +7l/7ofBBVTPRxbwb6/ELQqyWiqFZE90DkB+8FXpZs0Rd+8ATVef/p+7Ij938Uabfqs7Kia+GZZvz +J/MXB/DKCRlE5m+5QEsNLVRqtztMSOhnmpa8cG7Zg9b8n8bXV7wA2AveyXrpidFu9N2sI1KOq69B +cu99wB0qRQ57Bilq5vW/rqiW4k5MKu7LVi/ZSbIC/KtjDkrk377FW+aYQWzCjTOtOoWJ2gMKGh9v +FPm6b3wnVqVx59+MJJlb6pPb5h5jVrm5RLFuw1bCCNIp15tOLy2z9T5ImLRPLTEJ7OdwOlFL77GS +6+9CGwkmcTifqLqOdab9TO3sLzq0K7GKySGas3M6OJGqi+u2BIkhUgXn5+jE5vrM52QxmFAsDU5g +lMT5ZWnWdp78eqy3C0Gq+1T6RIFl0KscvYB6EvjheRUX3X3D+1TvTVx+NigmG3XvC7VEkRXywctl +jLMLblRQ3ClNKLwiWK/USvhW7b9Xm7Yz4mDktKM/aada/A+HzSsSyQIwvmBfp72bvqTVJbQQGYuT +SEBqmp56LoIlNzneYmO3vvkLDXEtXqCCYTxrJhgN3UbAIvl6BR4Z1R6Smt+xqnEwm96HsvklBLGg +SMp7x9yc3cfv541/CXekeZfbJRgBtqdHLXG9P7TRAhVwmIX4HFe9pqf4y+Ma9pa4JNWz0b5kExyV +93y8WXfGMMDr6pwMpVdKVKnoEeT2cFq5ox8LVN9t52EWzyGTaS4MxWRZ6m31XQAzNZ4ecnDg6/Qx +r3IJxa0zw9q35l5VbyHqUo25Yj83naQ6+UKm2hDrC4eFI4G0b2UQvKRzd5CqLQBZgkY7eIbav9mP +6Axuuh16K29eEQFBnNWILtUsF09sPcE/K/yMUxKgdN1cSs8UdSlzhqgKvB92M1mE8OX4kUSKHLfX +60lDIlvp8mNF2Rxel7N88uDdLrkCt+VdsNLpLssBPI4zC7DLbvK/gFR5lLZ931a5UJoXyzSR1oF5 +SJjTP5YbO4onhldgD8k1crCyH/rFqoG2FwzX4I/VIqX13jl7ZZBpZd+TmmaOvrzR5t5Sm0zLUpz1 +Qc6GC/OfOxoZgeYykyzQmsx90HqmJEKObOweB69PxetUE0H8hE/BGnXcRRA07khMrjwqCfBWCSI6 +e5sVjT08jqEQCDq5WLqBWxpG2HKG6dga76c2h/poJj6QSHNtieY7ZfMlpoE8n8Rz2EEScs8JN2l9 +TGNUkqIcgwRgGuwi5kKSWb4spu5gl8DQEcN1o1ygkuvitWtzZ8AGiJhNqU5crRAOnbSZBd8aiYDG +kNXprkG3lwxOLOdlcdMENrfFwfCy4e+dtGVJHqVvUk33H3uQvjStVH3T+A0W8d5YNLwCXoLLw0Oj +3o7SO82edtOnpdIa3kHDOgEWEhD+yY18xKiTGk1g+hQw5qiqXYvvPmhHUQRak3LstUNjOuvI53tn +ZEqNdbKtzVuUU3EhKa18eqGzDEvshPrB352ISEUFlRF38ccTBMe2hJVFQuOjaB5c3/Tg/s3742Fl +LFbp7GnK6hwm3eBstlrRzxmgbAi+vuKrGRgasNGixpvCeUKhul2zihrxFs2c1DX3/4vf8CX+JhZo +LXW6vScW4MfgpNf/qiGPzisx9o8kU5f+kM6VqtHQNMiv/dt7MUqP71e3uhDTpTIqSRalbaDBBa7P +xyiKtLJwPxeoqTI4vkxV+8DhnunB0omKVqyY6qC20wJ079i1ww+2h1VEd+IAFFLgX6BicPLdg9lj +IM2d+3tNZFmykJzXrt9as+HgviwmRvCppMUcrb2Wzk853l4qik/hx9gB9OT3ky2JdgBw6GTo0ENm +QcTfwy8rXVfFLsyii968WYCkZd9E9I7gu2MtsHMkcz4BmZoXmnIhvOaD9mSLfaTlojobqRsHzaVR +lhkNstvez5PF2loQfWXd/MayGfPOAZWwjgS/nbNH9HTypauygAJ2RHmV2R45Ci7e71Jk/xAQTlAN +EYirZFabBlPfQxJtDqtp3+jWZV7gkXBXfTFTa/bfz6gvg7zymXNWjWsSLNph/vE5Q7MVqS92m07+ +5ny75+eHjuzvrWEpRg+bXStMnTzBlRbThG4vroFXXJUhO6myOZvx+fhUP7b7Xq5vnH2YrzJguR85 +NLQw/Ny+MfrUwNLDTwF5KQPvN+Qv8OtWYE7qzyuxzwFJVpJSdznuKeWKB0d/HFYZy632InvxO9G9 +MEXRoEfDddMVoIp07GHjn/1EZ7MYI+KrFyn86IFW7O/PDsHpkPesHIecABiuEB+dkPdJiokyEgT/ +6m0AGZWoZKiU0PFI1YEsXMJS5u2h4bwXFyR7JRDCeHpDAuAFZ4JasXQo0/A3680wEq9ghSTV4udV +9hpQTeiDD/puicATbrtjN1Kioo7AP3uqYvupcySrtf7zF8iOFHgqb3coZRN3WPcGUKDY/CELTuVN +539HmqwSRIW335JT3t6cNy01XVzqsTKwNkwDRf0lZAvWuHgsUXCm3fOgeQkmceTPqEs/EVJOLDi/ +Fr+o0EgVvX8ZwilrdA0h9AA+L7aPnRZ5fp0ZmR7q+pGvMgcAVHaiqjUqdaV5p7I4H/0WOC/t3lxh +MadFl5NhphuNLkkjIeFL1ywsM7kuJfMPEDdmTCzM/RM+inaaGAbqRrc0b1BgrNCr7j3GCN5/ZMpe +A0YhQtUktU3lrNNLl3rmdcDI8eV8yw3raoqkGh1Kg4psaVR0JY6g9o7+1RbJ1pEc0GZ9bAJ+hFqf +comBx6eeZjHJnRKCdZP5JtsA5JJwMjKmMPC9Pi8DoQTrv2i4eJPsfHug6Fo5p3B/YTyoPAStZw+r +YnDvi/x70N5Yj1RAPKPm5wWNIbAYpL+JH1E9e/iKb4jgTYKAzMyhuLL9hB9STYvQMPgEFSIGmZe8 +qbtJqlBlag38THLpQzTeahNkt9ILAKo8Il5MX423iO3Mfi8mczBUCnD5koOxG2pHQgFyoKMSWsEY +n/B91n/qpcFSYQKA9CBNGp7iMVAkk8rtofT/7myj+U4uGB3CLCBxeI+d06vDEkEyeLUQTs8LPtQU +VLSgBOQgIo3IN03MEjdhJU9IpF6kAS91SRoe0PCvVyHr9yr53d0gciQGsyixSR5kezaXD1VrO0gF +8ItIyD/+cVT4WjOjTsGNCiYp7Bf9BC3wOfL7NeuSjafoTnMlJhtqJBr0ggh9R7zJEDlllilzbpRK +OHMik/8IrbP/tq1ZirBwb9+DtmlfbzO1pCVo5nYRIjPNKeSj6BphiFMnuoy54yyvgGuPb6ZTuKiR +1qWoRn/jZ/I0211dIMBCQU06qgPtFgtJqUhqs+UWoTIrVjGspT5ZeVVnhoWil8nuHjPRTJ335kKX +OIJ4zeprpVKAU8LMlCRYPGLlrbNwziohQUdthFfhQDoXrVbpQHf45Z7rB8ByOOwj+k7pNG9gjSw7 +MD3eUz3kmDHiuKLL9MJ6GwhoZ+4ANOxRwSbziRT9nRlRw7IHjj99smHODicVSKperaMyk7UQ10Jn +No4MuJZj914WEYmOug9A5Ts6E0x1HZue2eQAuzCUkfNvzGmR7IuMs8KPwJ2qQRwXiua75LYHRUyC +wdn/NZRz80KAZBWtzFzgMMsaQiXgwzVFcYpc6hDwKvhIpSJgrnOsUaQRT566wv0ogGvzqJ31o9YQ +UqcVusge9EQHFBkFx/gz5Kl8KcN4qCaF9T/3VdRA4mIVK3vY2QYmWtMrvqpmz88KL004gDIJ56rU +KqyEjv7UlVBv6Tj9W5deE9SAWEcBKtLrFtQCMAQlVA8uXFOgmJCm+XlcRuVh5TDc4mfdlaRHcUuB +LMqug4rY7l856TeFDIX4aU9/LcmramgQ7c6kvvsBEPyVAEKfu8swyPHBdGIX5WTCSq8HCPVtCLRA +egw8uSqvpyU7EsHwQ5x5axyF9gsZ2+LBR1D/ykX3sxasSwgxhtShlr/ahl6kLaFYebysg9H51Qy4 +pB6fJ1NI7pd5QZ6FFcMzEEIfaBZhLR3k5ladw+p84u/tS+XEQNeEu4F5bIOLSS0gwPGQHcekXran +h3FXb8mjhoYn86VI3B2ZXjxye+cIMWv/0bZ3zjSfTFazzeUu0jhhRMCrPzLA9HCHuVRWu//A7bMe +PZwWk7VqMVx/wysXbBK3eLRCFnq8PUbwk9CftH8wqriogV39dkPBgdZ/hfxVbSYtio90Mqb1SNAU +1K3Q4+cyPLwMz13K1RdZsyZqvbrAxH+Skd85V+Zh98amwAZrPXZwFPbbBPXBJeDT+t45oYG5Xcvj +yElOEKIQxAggLAvrrUrD5CsI2PBjcy+Ep/9+Ntp0B3IKKhwcV9E7XK3zEegMT/lFp9KFmPJmsIx1 +pZ9pOj8HlTQukHJ289KQyC0bRcL4qY1hRYXOc8C+Uv+p8je+VF3ge6WUc+CO2QHxUKUQdFOZHohr +kkXQ15mDsilwIPzNbVwVLOMXXB0z/yg5tUoN1Ws5OQKpXxt6pQha5R4hf2DS+YG/HEOHtcUKcvb4 +eHSKeZ0WtZ2QimATqJnjImMMY/jks9/TMSLkxzJotHrdpyd+6ys8MPOUNDTI1KYGxVzzjW2hz3oo +L6V/jOA7nOO3tss5860QqbBEg4PTRmShJi/IzYkdRKrFQiDxcPsgenX6bwtQEU3p/ADL/HrWMF8h +C2FPOIIyVWI5YsMrfgY0CO9OUkp/kmygmeROxw90K+NQE1l8aBhjdq0Vhn1klo5dbzUauaDRZWYI +4Q7kJeToLT8fJI3RgqRB6Vx2KxA21mzPyKdl4qF04S7bMYMyIstuzPr70tehQ+HjvmkuxiytU3D1 +T0vSPbzYTCUyZ69taiQmwTPXh73uKKPBOHieWhhbbhuXQZEJgStlNEh8L1h83QVWjNHqGDfloCcQ +L1r31oVY8rEnhjHFmcnRCpmT7LstqYDGHrJ9xaP7JNuwzFuUFbJO/bc1yzgC9JlgP+uwCGkAPqvV +pJ47JKzgBms1+AqRVYp0sApzm/WZihSyhsWjp9cJ4d4VovVmm/3NcIcMWQnF0/5/CLNybaabbOwQ +HBugpdoe3elHkkP3u6GQm+Os1roXGefwll2ldRHZsIXMSOmkGCgsE1hT3Gl6DjVXkm1PEOt4Sxf5 +Y1YFD2SfJTgeP3iJg3ZmqSPe2onIWWnEUF3MslglZgGMGvcCMZ0TyA6/prQp5o/bo2LdqUOYhAp3 +6kdenMYUB+9YJH/VIt+o7FEg0RafK38e2yf8bJ3ZEGbip2bqz1ibg6LL/35b2lclUzreSidHlYuM +f8ZsHfAoHRrjEvNBIowwLjOiLV9V/WzFv0Yv72G6q8//CuCvMolSw860Wng+uNMFKXPe/UdSDsJd +ucBoLRubVP9H02DAsKvHEk+8coChQsXnxyyT5cLPjAgTkZxglWhab1HEUEZEdjmnrZNTio471WoI +Hpv1pcnnlOmitB7Y6WMt3Y2dNnfSlTBZvK7XipEfCqkiri1DKrR+wPAYF4YcxUYzL41aT1O8/feX +q4TWyd2Yqmgy6FKISVw/nlpHTuRNpnBdjfHbdZY+oxhkL/u58XICKzieWW4PcNsxU4bVggfSuciW +CSFRXkyPrvMcFxIcPooZonxVuILJmsDz5H/ulIdhLBkH1/SAGPMapzffv6ET+9Z6Vx8edPky2vyV +GQkdoEc1kyx4dL576GVlMeJyUtdNbBiHe/737DHjSfhBcmNW2z9joGuJcrxTP+MRweRKDjbTeCd1 +2YpJh5Uw8JenuKrO6bWIHz9ImyMYUSnnrW6c3YlrQS8pJt+3IAmh8t/mJqVnaIR3RiUhRsgwv8SU +PCi7hfXG1oWJmsG3HZSJVOy2sI5vm7bzkud4ESpMuskWlka5D7i1vr097k0IUh6RvEErT76FlMYZ +O/MYSgknr47nb/IIsm4DZscYL0L0pogC8voGRfMHnyYB3zp9ENBDx/ofkHJq63fpDiv4DvrGHuiq +6Ro3Fhi2RBlynEiVm/USmKTPdP/Zp7rBaTXfBzxAeT61v7ZwaMwe5GxedlwkROlxVeNPyKmymU0z +irdfDglff17buMV9/U4v+dzaZIIF6wNQJeIJFgBmxsAANsR1JHr4p1QptE81x8sm4uD+gWtMoCkN +NDxnIP7Q2nnzC/bI5Vj0blXQPjl7EBHRA6lAGhgQ3ZzuBU73fTcNSaP6C06Yt/t4RvVgJmYfcJgQ +oAkwcxMrhA2ug4SmCBVjQw+WZtG/TxKf6T2xVSxlv5cJ9hRUoJC6U96ZXNBOCRsUPQr4AtVYevPP +1KCxyjdOrMENysJjMsB7lMvZDk6WgaCAnNCgrhiwjqvbUQTxa+8I/ckwGUxhi/Il4ni58Ueq1cW1 +3vN2ND2cWS0MPvm2HFbrDvwmUZMz2nYBaf0WXdYc9WAokRuwv8KcTst7w5eRwuJNVvra4Plk05iu +U5XAvodP+79pN/1ssaf6Av0hlBBQaY1VNGaOjrF6LCXFT/Sz5DDrvL/DuO1qGo4TvLOAPq2pOCpn +PAt5CAHJW38pI7+Sz18KKNhBmSFgrFUMgzc28/YomDNi1RVrUHlbTOgfZGcDgzKtCU7YFbHYgN2P +XOzSWZVCbOtmGoDsYR1Yz3+v+YWZzNxlw4UFIqd/oLUbeaqmn0kKeJyZtd6SlgGpAmAXkcSaFy8F +V1vsXhqWeAKTufE8W9mtC2QMbtm3ePEFm5VrNW+mUpdzslgqEqHBFw36zAXOM1E8B3KbOtCdOs2V +51TkjvO53Hd8G0uIRL0cq8SXWiIhQxaf1QwiQZ6/pEgfwqDXH29vkLKZdB2jOYYqzpyDKpEE1ByF +54TFnm6ZePEUG0/GAHDXT39PaKK0OMbPkEZq+Mpb/TT67o/q3PwVTxnh0pZyPAt4k+CuMSKaQmA7 +ZugpsFyPhHITToUGeZKE69PHXSavFR8uewceORT8Tk7dnOkGekmVD49OGWyxHSbmWxAyA+yxnJKF +KQnw+gO8vDiMIbCSRNZHbr3kjTfw7jhKgQvpxmEUZ5dHxYMUWCKnHxYzIZslLjD9BJxIj3WKhI7d +alrlfL528pb/R7uH8E/94Pu3ljuHn1QyxmQahijut73T5RfcFxitfxjrUwY8DKv4YUT+TqVZmMzJ +OqX+fzWlt6xsQd+r9r54Z7NE3Dgfr4A/N8m3/HdWkMVCgoKyl0wgnfIU3q7ihaFk6cMax+Rqk64E +O5+dbG8tJSW3bJmToGDmaVxaQVfm6QoTxq/vri6kdFj4QeeP3g6K4IMSdcnWf8sVV3DdgwsW0qD6 +NPkjRU+idsVf52o6o2kbffQ1xdAsHz4Y3aV4cu8y8vzhHXgGM3FjyEMKIFT7gSQ10y6/kKPoL4i6 +hiY+W7/+eGUSxgTXwih9p7LP+xrKfX5+cGlDkLZoVQOaTHM1iWhQmz1tZxn3r8Lo5fEF/uVi8FWu +YuRIJwghoClmmOPrpfmNhmeDWFKIJ+DHogRuX37vlnzDtpaqkG7VFTXC0kuLGM0jOJ9kgY3Vei+e +lIokBR292HLZEKVRB3xGsBSi9bOMtRMeuvMUj8sKIVEaT3l7+j/vSmmyoK65N4HPRgtiZtOaqepk +lz3pF+OaDNLQHYsjzEZPjiMs7nx+CT43tN9XGzzBtei/Jx4re11i/AFVQAk6B/ZdxfuEykuHAQ33 +/5z5p8NjGwLYxeuhSaracYMsGSBDg0Q7LBIElECOlJHYLyNGoliyw6UCUC/60OJ2nDeDJm0u8AKv +0T2VLokxAn1OW7xJL75ZbwUuADLUnUeLjCWIEBKTnD7j3ikiK7n8egh4bHMiM6nA6fmxsIKITxPZ +uysKA2WaZt3tAjkX2uWZbBxjvSEtupzPOajoLkmBSsGV86r2eFtz624m0T5wc2N2CVyTbYSIFlog +V9gHkbVRvrlLrUq+z0nzUzx4kUQPgpCxldEdbjrEJZQb9ZyGlrBvF08m1m5+pV79anyI79aXm2uW +usuu1z/9SSa0OoV/9hhieWsh/cIzctdO8tY5h/aHf0utVDSs/SwVyqAPB1jrlGUJT53rI2xcwaWV +fq9RWqkujQU6aGdeevr7s+vZyK1rQRuGFkUw7750+cK1ayXwrkwZHNq4PZMeJ0TCk4KRxwvHwXnX +38uMsxV4MUV30P4piIecTOuENfvMOtq2s0xkN+tCrCWrA+VsWkxetgWZbYvdBTnWvc9inpUm4hKd ++k/1NMoPHfOfgaaNVuFt5dXCp7cWsnLkWa6mEjFbCLtEohQzxxLzHNR0Y2eq6GPq2P+AOYSH9xcs +pkj5i1MjUz3rAs9jlzqPzG6YyS7+XfzlaU9c8AnFZ0Oc/3aHuNX1tQelbl+q9Aq3y8iRzUYQKrnp +VHc/RY+UHV9t9a9oqqV64Gfyi5jbQtXg1Ah5YtV6PHKkoQc+5RgmdY3F/ukREC6IF4detpURV4RD +EM4/38Z0+PbAbZXq1xcLVU5HnKIfWW+7Hi0D6gKicgg84okWUKDYBrjBCM6aswVREkvk5aWd4d9a +OBXIQJTfi/Hf5XMWIh6MFHzmoA7kDXmERp/7ADYriLk8JEvWwUclgI3KP4vwraS4knRqZlMeUD9b +PqYM/oNnGUx/2aI7q8VR36XoT3I9mAMXRW9BhYnaZSm7Iivj+maAqaPsE66S0mHsHQ5jljL9ZIwi +gETydJnt/odEocNC6eoM7m7X+qtD2UcA8iCy3lG8BB48sEM5YjsD0wcF8mVK/ndJviRT48QXMIkG +OPuKiisGefC6ttWRFrMRQ02/MSwEsHO0jrJF+qXcIjgfYy880u5OwMeqwVOrGd/SQFrtp3qYAoRI +e0gi2bEcAatlA9hONawF+T7Ay+eyf4dCmDHOLWyGkN75aapHpuJjiwmQinzHGFrB/y0nNdcjRz1l +TA/A0lvThRd2t9HbkaSDG4MDlQDV4rpVqAyYDAd9nA5p86d6XtycJ5whtPKPCbnp06ogePkikVZM +N1lPQu0vArsqiog+Tgd6snW8JFfW5LPdC71921y7zB+Ip4c5gRt9Ivix2wDQjqBG9M8N6LuG5E4i +Eyh/R4ygsVOiWEamFpfiHS0HhNaequIy90GEz+2w6PIKFKrGQBJzbTMgs4hA+vRCklNeyasyRVwd +JwgFZZsHpR3GDqI2sQAYmyGFNZ8UDrmHKWlfZpHi/58nT9yMdjdPErRMVI4NzETllx/NyLHf3XrB +XQrtFNtajpnsVoKouXi30bvsEGkOJA0NbBKVqfRIKc1Vbc3E3gPndyo7h/Jz+gwOZ+uLxoBnkP2a +vcIevKf7pe45iiAKyDDl0kK/pH5H/36kYOWLXeUmulc/+nG7s2mzRYuQW3H1QJoWRSeF4qIZwHPq +ykaLdGeI0/7JjheQC8yLVJrGukWO1WfwmftJowkd4AhFURMmWA4z7sLHSA+vcM7n//jl7kPHgW19 +k4RPbr4McDAaefVzfBS8XEegHWPg0o3JCA2R3gOTVJdjMNISi0uy/uqne6WcZTp+NtYcZrF5jMR/ +pt4avE+Yw/y95q5wJTnYgYD6TTwq6FFKL1A07M7ciGn/xltf4ZtVYG4Z11vtyPShNKYyM2TRooLR +9d6TS3Vq40qCaoyJR0uipXBgapLIRiLp+uzypmril/XNnThgaITbv1Zy7cQWb+Niny/88OHRMzzp +O9oerhxZiejfrErEi/ayv7mns30cwH6BrxR133A+NSSFFpqVDhFiPtzdVtrdWvUohBf3jBB1GKLm +kaNRMPQPkkLc1uQ+L2t/rg8MQJ8JgzG9RGZxo8ttqnkmzYkEfJHCmELtkaKmx9tNpw8ow9IN6anV +bS7Xx3ncNIOxF1lghxjAa2eE4FmGCAsl0ckPUl+wm2Bj+nNxhNGU/vNYWL7qfNqpjl9Xbi51exiy +CL/iqMKWnvjxX16/pAby0Wk4slIoqVBIzI1OoM1oU/qLpo/2XixhtjRRiq51T1biaxKTE/Bsa8Fa +UmNfG09RYW4h1x/6+dRXRMo0Jr0E8Yr6jZQiud3HwrJqQaNZhsY4Yurgb+u4dDABBLuG4VnDTF2+ +vi3U4lJa1sbz5Qj3cwCOqwLWIxLTZ8yJ7FPGrKtM2NK/iULntKHvA5i6nt/whsb+7I6g1DTsnLKv +4V7j6AgjphhiCWPwNEzS2OG7yZLwrwlB8aJHLvZrbirROkwD56Pc06Qs38oOxKo171+UKfM4bEaA +/DZkPvSsdMOKnfWlQ/STaKcsRfd3ejPFKz/FbcqVieUsM1oHJ2EDMFAIJirvnFvSYVkIN6Bjyzsn +2gXykeZ2IQ6D6pvaUUHCEZ/egqJjCfRMiHWCXBNS4f8bBfgJzZ3/0Tk/Po/GLSF1Z8W8pbYn09d4 +c0UvyEA0HDJ3OlrUm2l4pennYesk6/wmDyJD998ab0t3fKk4yMABkFSJOiT3kFo4VahjvcNFEYCk +uerXjkCW7TufHKj1xtmPPixH28CyoGOfHwNDBbjSA0dntZVJ2xvZ/cQeFmf2fBRI1yXccin3S1rJ +aesT1hDdiGEfkO4mAZBBi48tfBlRjZdfhlxczTqbSjsP2NpDVC4vGpGXZG/8Z0TSKZmWyI+sFqI1 +DthsjsRA02ZVPsz4FTeRIdw/R2Q3ezvw3kfwjgrfihVPz74QqQkZ8scoa0vkG+AF8ahiWQFz2DgR +kWCZThtqmghjl744716a3lVe0N+MMk7hrq/c9Z2pZaPMaJSt80kTkNXz2g9R90ANyPPAsEGX43V3 +io8YZa0/bTpUyQEUdDIqk0l4OxpRQ3IpWGevijAWNSWbH4bKzEaVnDpAyQhgNAyfdjE6WYKXq/6A +JGVgeecqJs0RtmdTut4j3gAh4wFaMuUbzJkVyG22jzUx34GzenR7sNjmegnrJGTojbRt7HHvTZgU +Gou24w83z9ZBXg4OOxLMUG2EG7JByDDDsPSuw5ewqvHRXNNEHStC8QvS9Iap/FkzX8XwZouD+Mi6 +iDqmCnrJcH9xILYFbnz/O/TMSpkFj97CnZ0QbaG+LCsPfLtic4UrGI1ttxmOtEHzWyfXZVT0Vjjb +pFyAI2kQalkm7TSgSfdCpXHSFslDMkfuo9r4TXioHPmwUcHzNbGyf5xKUN8EUVPvQZSxHvV9OjkE +QahApdLMNMRUt5zLUSCCXplbTFI/gy724OpHh1mUPeNR8/H2LY44GfMQc2L1+meoE9fGm04z9Qfm +hbtyYRgTMmnfCL/1riZj50zrCVySKo2ow3lFz1bH/5O+WlCGbhb3IRxJHvGn4/1C7W2tHDW4qzxS +NhMGgKrWLtWIR/63GdKQ/y7aj49T4aMc/DQDfp5v313Yz396XUbDiqdp+KHXr97dX+NZvKAxaqxl +vM+/j01WODzNGemJbG3FzGPjmgVNkNC0DhoS0RyHTe9ZvywkjGly9zrs6OoWpvHTqz98b29AYDOl +wI3SY46E3g9Ix2BKzCPa7WaPGln/yKQv28KSbROeL+Ws3z8EFO1cWQ5nNFCSsn5RZEDRicKTb/F5 +2gseABPgIMe7ZSWExOH/IgLFZp895DV56RnqU0Sxy+0UkeReRYPOpDNtwedyY6ABLOoAggfbjlYE +yXJmnKtby/V1rTx/aDHt30cSWgskNc4Qac1umr0oEaadA8gDg8FB8aa810TlGA8MUr5JO9c4PvDb +LZfbhsDC38lU5IscwWCalyvHh/xjl/opOdeA8trSa1+6NvBYINOS/oMojC2NI7y1dOge1M0rZjP4 +l1HolywWD60vMMY+8lhIHb0DxuBXAu1t1m0G0HCUBIKxqu4OyktGc89M0vSECObDHDrQnzVvm55w +R/nTlEYwvojAS8e5wniWwtIt8Z/sT7xeBkARdR5NQu9XKoEFQMOp4PzlBER82sZNI20Drxtz31Sm +qcHvCcOc7HpZM8DZJgcJ74hCV/8/TJGtmRpv0Uex2ZUH0wV69cB1ltRTe6vfyJUC6nyU/viLfeSJ +NdHz0bkjzU8Gs9P+LTA2NTnf3a9sBfdkSFkEpIIVO1ga8YwDydMH2yPGDMBfLPBnGj8c4a1QC6dm +g4YC94+2uUzDq4JoCjPc5bynxZlsnBPOInb3AeABLnlTLcx/hH2ZJETGlGmvtmkrYVD+tn7E5hxY +rvk3gdSbJ3XfLVFtJwP7OEtLRS/ssqiOF4966WqendgxfaTNRRoSqm5qcaDvMx3+cvE4Fi6icaDo +rc2e1ALcryBJNXPm4dbFJUCLrKh0wYAWFbIp4Fut30bibWYIj6/n2L/9FaN80rH/xUCrhp3vcx1h +om5k9QQNRaoXCrrqEz8EQ4eZTAEI214h242a1jKZxVXiOtGzBoxFiTKfqURZ62ghk65Ns3s4KXHz +kLkjO7sr3/77bhZap3mXoGfMP68H+TFvvwvIm93oPu/dtVxpg01FKLQWGfPTqVco3GOMNO+WR5IJ +tUUIsCursUGph88wVhQzhpHegKfaWkEbfhWzEIccsHvcPcTWhdLp5X1Q8IKG84cPcFtAWC/fOYTD +UJENN8VeDRpQI3IVfncUxmw/JCmycla6SaI2bQRcXk4h4kwO1UXMllILWbNLh4DE422YbK1GsnZB +emozBpGsS3PKBbe0OGDqKBleXP3PK9I/EOij7FLBzaXW8BoVChJZR28SP7Np0rTA9MSJJr+3Z1BS +SKf4q3sh/5f5uv4cQ5547PMRs/+eZgDvNWTU7mYnFROX+jsj0j0PyRb/x/Hx7XbomAk5tp2EPsti +6vUWTSx0wIymM+RN3F/FjM674bf7Rwq/xBVlu1tEZVmCn98nqy2TBJBhPLCipuMCCptIedUGJs5f +rerRBKSgXoPsq/9ZrHBqUWnwZo+oZBFuhHx+pRvOtTtNqM6j7aIGD2y58OR0nz2xYgftqqYD/XTn +8CBrfaTWJbjySedh+xOM+PSodJlD3KqoI1NWBcOj4QAQkyDwW+f0n+9xbqizs5MJg+N81lLZGB6H +e6X0NzF4KiZyiDt/DYMEhyOitbNc0gfXeaezzRGTNoyqflwbKns8svbi6GL0dE4s9eoQJgCQRBb+ +WBr0tOVBJtURPvykTKmwrSjJYLm/gOH8gQpwA2ULcGxpo+co3xI3mAFenPgrXYE/i27E2j6/XsoN +wCCxkjfiYgdYOaaOvkVqwMWFdW22cv57k6zeJosXT+jZ+wtycAp7Y7RK+WYqwz6xy9qBkUOTJK2Z +jzgbXI/6pj8hcmyq+yuF9IYQqetXTi20A2EOwKbTVUu+IOkIZjBvWgBVS0U8qcgnAnE9dNm+JEDU +iCQ74yZyms4hMZD2twIAem+7BGoLypFpsi/9VltiKyphNYLvzgWHZXhKfDKa5tolG4Ag6On7RwQC +lNjfFGT2/bqmo+EUneZs1XvEjg5IoEwtQwiy2uewMN3breBjkdknVrJI5oNnptQDJGkjlqp+ivFm +77VTWoWdJBW6D2yYgYQzcpbOw5etwJ/xBFa3QEll+pTO/y+MYzMEZPO+74WWAi5280+dzcnJNuq6 +Lq4dITbBFFrAhHuqvitFyqjUvR3Jy7w/8VYObkR+I1Nn5sjQkj0E4Suq874wHf2IkrjGX9AxSrFw +BKXCWm+Nvu0Gqq5yQC4xLSp0kLUnIjL+M94B8NrCwaObr4m+SfTzM2i2S4xiDPSJkjO3ZwKVdzWg +4nX466hvSfIE5TWtPS1Y4fKGOMnSqXdB91tDQKZIH+B7fIk8AQVKP4xCMdIVBGUMa5Fftb/BPgsi +tF9TMjhBEdOZc2q+fGrjl1iT39exkx/7mB/YkkA0ou8LRub5mdni3TXRz/xCiS1HrH6SupTAHPpn +TD8CENYpGEJLKNyCsNQTesj1uYf0XxH6CimwUYDksSykfZRw7bu5Mi11s43R4CRzmIdJU8FokNRd +7x7tGwxaVvmW3fIlJvbq89Y+Na9qXuL0kZz0qMV8YqiJU0HTxvl4VVUjzlmA4LPUuOIh29fU5WJU +0vzcFFfvmjAlUPmPg1PcUsxpRAty5MgtAT5k6fvrZCvI8LxyV/0QelaTtJ87w69riZNG1pWd53f3 +/anhC8lMjsFdfQhdwhclSF0ghquSFILICied8W2kAYefhR+E/MUf1B6t401fzM/aTh+uOVsVZZ9v +6SaPIwuipbZio98C3JoQedVF3DSMOV1caQ7U2u2qLsY9vH9wDsL1ohQfPm4uzN3gUPL8nT2Xzs9r +29Cc80T3jgFNpjcmaglrGYmCqDZocpdrfgSBJIKTuw5dH5kVI74pWOjPfc6O4tSoYM7HQDxpWz0Y +OwY83GpGxAc0Q41N8qLhwFhvTNFirLZCNzS/x7DMyeyA4CscKaNn+AZeQlw4B9jEK+IlkQDybidj +jwHUHskbsocmPJ7xaVhsM4brWuh63gE0lRD3HM52k5qLyWGpgpMvdmSdlzcpf0FmqWH9uPoPc18A +IoX4/lcw1QmuPxykWJEoFn89BakviBPZpCRtiE8FPIIjoo43rCPifB5AdKO/gl4nL3bTgGr1ysRc +QJoWz/7jXwHm+42QYt4fsw1g9GLyplqN6Qk5fBGH7199ZN/YhxKtQEYsNgAhWJxfpMYK9igNGvvU +f0C27I90vCRX3mlfAC3IV12/buQhdcuTC2R7I50RFgFsOGnSh/sv6kjqn1vBmbnZPL5oTuhJH0K3 +ukpm+NBn0Jri9cIvyNgdhze5c0jEr1E537iZiP/LSj47noUKNhilS2CMnnD6fO9ZLEtI1oLjprn4 +ygVg8WPBaockjUPZSz+VoT3w1+welbvXXQnS5K+1rH4jTDphEPZn0r1mZtUy3hGWUMuLFNRl2zp/ +RsRRc6eGb7vc2AwIW6v7ecMfgGsV5Mrm8DsAAIAB3G8x4cPsCc3JY9OjcHVut6jkEiGlfPX8ZwFa +I9atg14hki5I9wXe58BlkO1RSwJ8JWhMiYbEg4bZj1v34yCVemqXOFsBUQM9x8mrj6qiJOXBv1Y2 +RF9xVFdm7bCURYYJLHLni601xd2Pri2j4OW1dDXlToYLMvROORA1wDY685rTpPYn9xkYPOd833fu +pfjdQP28tSuuH1f4Wv9znhGd+aBLmZOmLw2YLZejmYVRNGSf58xF3+lTCvlGCgg2fZ88gH3s64em +SwrvByvwMCCJ9LgMb48fl3itEFoDp4wBW2pE/ud7G5XpEIyCa3KQr8E5eCP54ynwUtKt9qP7GGrs +iGsnsvMUGhAFa87JMgS1A4FpIWLx6LIm+FBgATFrYKFmAawcWNL1rHb3ga5V23+61XtRCubGmuSy +aZ1JCSzkFeFvdwU3TAyfGulPA9P3J+25+IkO76Kbzizgb6Yq5QkIffjzeAr3n5ndte3EJUJJ2jHK +fyc/wL2toBtLwXjVkrMHHr13an4FjAob0LbGdXJOjgCRnKHAQXJ07YqmYgllMoVqJ9FoiHmGdvAC +ZDw44uAfUzZppwgo9APox9+4iIljleWNB8Gvoig99h2ayXWHzeMb1i/2+CTFvNtpTI9ud3DbpXvZ +2wdIWRLAmwmatn5BOyw7ZUZ6jjGoJDmeDZwazBtVRM4+TC4RaaJK/1dK9Np/gVqaL+ff4iZTVHUh +JYRclAKptjlUbTJgWNdQZNYXTpHePjPPx+e/Y4cf/BptZWp6idBurdiQaui/drTiENcRFr2GeQpY +awwUVi/MUcj6yr9pSb2K1DtMF3lWeepUD2jcCxLk47AWIUIm/QnOlS55JlWK+6yPrQJpLBpBD6Z1 +CGatnNSUFm8OJYooXK3sKP6vChA47qxJ/pUHzMBJcK/rGT3KQ2KB50m1d1aOhpJzz/rGzxr/3QQi +mK3Cf8RHy4om3mttRwH+Dym4muN3Mp0Xwfhz6c2voRiD70xbyosbVEslT4JcoYA2S/qq3QGOCq3u +73+eRFV7kv7Q5FHHNfGj8SFXQKyxXbArMCBhi5Yt73oDIAoF8peTljGJ0BzISo6c8O/e+405Ongu +D1kPkA/DuRKsYke1B/k74wDbfJoCFMX+xV7lkpWV2w14CGDOPG8cC2H9uNMVaQkDxdwxYIRE72TD +1nVPttVHf3BoElo8yICpQIwD2nVFT0sm1w4Ewejsz6e7yFa1Ln+7qqJDoFJU9F3UfZrYVDneufhi +veT3BebmQERtMQQyU0Hrxi4ull976Dqd7iFNDDKPhJ5v6ucqZbmNc99rmajdxRypckANbmRlBjLY +SQE/kMn1reMU1oLip4GdvBq458UN+dAUMYgReVjpAdWdUdyvxE5B91jK+q0WreJ/vdnMqz2UgFwc +nijT5fttZ149UTJlf1/TkmqJ1YQUFVpuB8wVnTMtgbWt79pnNWcay61TX6wV3pWf+S2c6EO4HBkb +aFfd9rgWku3QP2zuqRXhypthrDjn/ZBY6srdRcqFtVUxh0VzVvSkqqRK7cweB5PLkvvDWKJZGnCo +Cb4rvjcawOpkXLDckjtHidJ39FznomOBSIujQSw0QiW1TeqihodS4OVa/68q1rdChh7J0rh3wJwD +GogTBZWvb472G5IHuHClNf1d36JOR+FtUMyJcGdxQ1JwU8hqUEsxIcwK9bkGQvdVJ0y4JbsMZj4G +TZEVyT8tabB7cKBMMXKt8aOJ6brXc4gAUzbR3dtHqBU7kHj2wOoSWt82ABYFowJ4lfLuRFOYdD4p +0a/nlcWJt6PqnwIcvP9nf0t5MrqP3LLx92NTgdRSAYTdM8lG+NcoPDraVdnCKOerK1ZN/VpE4VPF +YAvyt57BW8/AMaaFRlcQ154LzFJj58DQk6HjJausB7HsXDrPlAMFrAEvaFyCXlC7Nn+x3LhhE8iO +EEoA8qbyYPSRdzV2H40ICLPcbcUJX/JyM1NgAPjismdTdxXZBz/nvcDuaaFjQxuFMVyokTbAcF3l +k5FV2dAuFUNC8Lea/itewD7TdWwlM0ICGPwBtt3ntpT5l76u8ISrGYJgrdyXVo2F5cLbBpX0svTW +VyleRnuiRrqCa+kh3ZSsk175UzI4Rj3x1i59+wH2j2KUeM4GqJnDAIlYxJADHWTaCfHavnmNRzrQ +J3Ai2VUMfLH80isNrF50TzTMzOxff0UOJnzhZ094jkpKO+MeaLvQdtobbKAe+TFzyvIPPShs40H8 +6hYK8ZwM/QxNwf4F1x/S9oG0z2Hmyj6xUCpy/S9sG2kL4nSGH66bwClWh35dkux1IGDkWBoKKcl5 +5rTQTbVdV+IFsJuck+zHTucza9DdP/bhstnuj5nSX5N/uJbhBJLt65yRUP1mndzcF5mKG7z5PP/w +Gun3zhh4CxohoFCV7gIcIsnEJ1bps8y4aVd2cEkzBfe4c1SwfHPJt2b0uRsr7XfenrkLcZiNk12E +2PN3VViKvRsRPSnmxazjVjXKvij0IHAOQq7DQ8NMpNxM2F9/apIJElMpphc2THV+LG7HVIuijfaj +XH92/1VLMTuFDMxHXVuQzmb1KbbKG3DvAzo6vwtLT9H7/GcNGQT2r8s7wgtdQDKenBtevKrwrA7H +jWn/rXhhEGWK8xUOdPMjIGs9lglHM5ElOwvvdHtby5v995FWgwXNg5cRMrZPbRnMrziiWYZ5ANfP +zuxoDNdIIk0CNOurSDjsZ+Au/NnUsJQUH3xl1tnIWu3YRu4p6oLqut9mEYo0AnCBtXkwP/FQ4Y4z +raqYvW7nBU9HwYQ0iVXp1ruXt3d/A//7GXfUsGUQ3MKKnRJqLgE3SCOmoFvc/Pbt0LAK9lf88ixd +G7By9o5D6TLKVx+tdfNgmeEKQEFS68YOTc7iL6KZ1FAaE5qubjW2/SFYGbNhtEkZVu3pJg6VcGLv +CCdmNW/Q/tnn53oH5UqoQXbWXdrBUjH98oUABjzyxSaeQCOfvQkYYDgR503UYn89kUf9HrVFsOTu +/y+9+dkHjZMCIEI18vd7KSa0hZ042/NsgVZQc7HjGg/gc9lsJ8vu/NbTxkY5uJGhieEytj7yVFhN +HUB2CAT8S0qw++YfT4i/+J+x8TwZQRc+Vz5CHlycKTzC4CnAX+PK6Ofj+0f+8jMnJUeaMr29Ivuq +ZQFwLnjVJqdw3YN+KEVS0xTxkbaDJweR1jqbizcxnHP7d15i+XyKi9KexBarw/0tHXJcAZl5N31v +BRIw7gpxSTEflAwsw/WGj7DCrKIB94OzaWQYAaUSeDXWGlTBuzIXyVt2lPFnqwa+DTEH5bDHbKJx +icjKW8XUSw4en+gdBcWDi9EOXp5+jWdfqQD2BjkUf478X+SKF7ttBvPgqYEC8lZPZbzyorXPSUZC +D7Dr4hFL3VSBNckYf84vsu7abu9+MFAKFh5QtSkH+DDnblWSw6kEA9thKIpTFmHLcETSCzUOCTO2 +nW2qGkuL2G4na2dqaktRqHn9SVF4SFG6PlWvbaQMBivgE0cYTsb4LtSO47GHwUqeyVG2rx7hISLm +htNA/BaWzivZYKFd3Wlg6/djBNJdZiAxeko4MG8qNgZgAD+iqsZ7gbAwArS2qGXlyBrt0Z3hBTVP +xIE0kRvWpCd82YynkYNf+i0hhutcKcJWNetM6+H0CDIutUvt90QcwNHAfe2tE/NY0Rt5QYx4lIuW +vjvBIli56pitsecmlnIa3BgrtAMngIUh/uqdbZbZhOTMSRWNfLhlC1h5gnI2Pt6ziqPeJ3qObsMq ++u9G4TD+s4TY9HKEEEgpWjmRKZtba+2PLbH8VNAHKj670A1wNbjsp+YbIPQ7ANz0meMXHf2WLdAn +2Tu6i51qhL6eDfIB7NZBoWR7+DnqjPvwUDak1hy0mGq6WzfbkvMEKzYAR4mCC3ZOw9EFJ3JUREcD +wOtdRn6+rZSyqyTgYbwBNqxz+Sq+tmgjsUrq5KwUEblQEFqm/qK1G4G10aYhjEYAntW8x8SOdcTQ +hEElffT5rvtVbAAcVqv4mYDyuXHfQJ9oEaI3+wUvcVdgVmhd7zPkAJnxKOEjI0bX0+AxUDihWJs1 ++bYuk7ZozOTvHvKDW9LeJyEytrIKyFAFCZ/XRD1b7F2WKgiz41wEllWC5cpaXLTzKE0pnCz8VbnW +1oPqwswQETvIvYQNz8gfV2huEdIC/h+H1d/vLl/DFoiSkbNbfU9y4vQ3EGbZN5vsCejaWirePzvA +gUAuy9EvdoDrjQek7lC7gJ/6OIcnAz10facinViqEZSVDsjfN7KeIGWZTWLdU0i4EtzJ25ksLuTw +WpXOMDLmSIje5zzQHgCfzHjZOTRGomg+n8MzczvNiVDXSPOdByzhq36HO5JfTwG7QKZF3VQlsne9 +PWBc+aGDMjlif2pXKm+wuEI8x6BhUhH2VIOy05BqyTGROrEItgbf+Mmfi/AakEe+eOredSi0fqEd +okxoB0/6TBhXz2YDbzcolDmVeyrUTmrtcFlWfz48wdozdo3djjRmA8QiOQgHZrVZbrs3qexf22r1 +mM53efmSN91OPTimV6aoZ/YkzK9c4/Rrev2gMTqFX3VGT86YhIa+K1v1pRxmLjhtOEkfJBL5fw4s +Ku3g0ZFIJvOCYT0JWXyYKss015zSaq8qkjKV5oDISVAckd0/H+1td41460HtxVgFzmBAj2vMD6V1 +BmNOEz5RHqI6PbyXHs9Rs5oD3H74nryQwVZdJetTaX06oXQE7tYVQr/hBiUuTAw/66bHfGythKt6 +d2FiPl8QBjZehRDBTqaGfAYOsQDlxfDRVxPO/tz3TSza3XqxTFZ68+sVP9zkAzRwBPeRi8MLZc2s +oRauYURqZ/dUyuJCtcF2nx/4CpYFAkdOvBY7HK6hlWf9t9QdpM/QWOL8me6NR3+VU+8pkvmAZ4KP +eQFH6qVbWuVF2guGuwkbZVH4SsfJ7T6m9mX+HO0N+seJK0gsFYxWq6SwBV/1ZQ4r7EklKglME4M9 +DJCOER3cy1z/1ztCv/KbFk401aJB1dg5n/VAelc2AA2V8+oXfTZPpqq9ODLdAULqoUqkhslp7IGp +5jyNkBb6vbmte4SCaAtEa4roqFp2EVhB9cegNHLCfCi74S3T0IpnksZkjs/yGu9usOFOzOJAG2op +Mq7TlxoQKg6g+fk4AXsYq/6DIy9P+I8waM065YzOPsT5jz1uIKi58EWHNQq+HdfWxAcaPKQ9ZKKg +ZYlvf3wkjJkRp5alAhYmjR7oxFjWl7e5doUVdFDUlyZ8cAZ+6VWQqgYZK7n8QqmWxEW+6cN6NKgO +5BWCGUygr51YWH1CqgGxw9YvvMUbEHjsc4WNOBIzVTCQVCgUmFglyxoH4J/zf0wJxgMiD+Zlm435 +bypybNneNgIisomI3BVJhRwllfzoaHLS6IV6jzoAwJa1r2PvULpmMD6J9myY8lqr8z/K7WpDrQ6g +zglAMfZnn+tHAFuPaCUC93QT0Mm1hoqDGv4xx8+VD8bHroqe76yfrdTTKFP37EYINPLR+LnLWAJk +fBda8/TmSO1K499VGnjppdZpTvWq0bbHU3J7SyyPPv/cJuwXGswy/9dNSCzK/nEvQA5zJvebeqeA +LpnEOTssP0ZKTFgWaCWmvxwda+tDvwK+/L+h+0ZM6fRjaWYPLz1k5W6a2E0cNzmjJh5e4Uhc5smz +6lsN7fNetghrbgTARvYWGCs4KjcRYPdJgx9WpjxJt/it8an794u36dbkdvFHmDOu+dtXPKydNE6+ +Ewnu3Nd8fYzhvqq6Zsw95G7Kn7kdMqPpuegnJvi/WFzKaVESjU9uUfci1dOs1lTHr+9OmNjw5DlF +HE7yElzvE5ot1xb3lC+zLvoJrHU2axjedTPZpjBhqwcz3tTl6GShzfvcweknHTiFT9hYRnnam/QH +P+SYcly6iD2XRYa6M33rtJYEsYj/UlGLN5xQDuyWTBbH4PZ94aUIKvE14U3JhQowGYaAFjPzhPEy +7bwpCXmKaS52mpovS4dkO0ITrATLle3dMmLcKPOyaOE03ZtraXUnvFVwXjpp1rPeA0aW5ao8f48G +MMouFK1O0+eOFwQ2VFpBuDPzRw5t7HuAMHuahyLJEuPMI/pq2WuuHpbZre3ZJCiRnrWzVQx8L+Ze +maLuu85DmFe6+VRQlr4v3p3jljhraMOcl2gC0C57hQyYu2IMzEiWioVYLFX2rGVMLCQIUE30h4nd +Mqd55jT36zZ2hEEL5J/mjPsj/Ceq2fUpsaWAVSYMyO0kJie3oDkhS+RNPIkHZo+3EDyeKA/9K+xP +x11PhilqxYvdFB4czJK44nYAABD63qdCqrdujI2PCfVQ0/vIf5Z8A/8W6j749woV19r+BGDSRE4p +qdEUaEIYXPIqVlAg6BoobA2ElBd/vtPdukahE6Kqfh89DHeT8Xq+WdNnTtO+QinQ2cXOb1/HA8wL +diqt+w5WkNqMUyI8spSNvoFwB4o18fkrfCdfjdNIQO6HKEsp+Wgvyb7n1Tl5cFbz9J0l3nGYBitf +o37+lD7jeQFbdnBsrWEGvgF3ckp6G5GIlo+TzEo9beqFceryxVhVCXAbSPvVH4ET+jxFzLcVoxy3 +LukeXlPS63sc8mfn/AfEDUvwhsGa++RnNAThddOCQpD0xbb0FScPS4W94FXCFwi9hNxubp9qpMkw +jYnrPyfSUmsWol4sS4dMlJ4uo0i58IJ35Q9TVyzSTiS8NIsDOrE5phq0NH/ri9ZzfPCPa2MmwtuF +UKTLp0rUqCLyDsTr/RfVJP32ib8BdIgbDdmDhMDoQq/BKPP670QrcLLa2yoqYczRJ0fBBCdLQlzu +OCa41zwFKohfASLF1JQCrFmY7MIQ9qoZfrHuJd9QTPch29eDPEndrYovFT5z50XauzqqOFQ/uOSy +uIWCTjPsTkfNEt8OiMuE8EhtpYSpcmGUaOLKgrl6cTs4YE9L5caNkKXrkaWnT2HLkjUVztglQQuP +dUzPOYI4X2gHb25Q1BP1wc6GZmDtVkAzK3ZPt3Zjpm+ySOHoOQM/2NqH/SKVM8/SA82FEdf3FNzn +PSOFeTMDEJKDk3nNQ7SwhXBowXU66NTd/9p/gpGtPzU8c8+JYwxFdKscBz8xlG8V5DoHrIOsAaHl +pUaZf4gAGYRWNTS4GEG2Dh1XGhFjaOcPZPHVTA1UWkz0Pesxq5RBZFkYsk/Fq6+EwiMjSMM7lh5t +4DPoVKTSQA5Pl90PUxqiVBkN7a1NsZBgei76EtF47V1Uc5vMnR2TT75TUVOx7HuNCY2qeK+vYnAN +7cc9SSSXth7god0CQnDjLpWCr+JBcmiKG9H1lQecvC0L6zTVnz7TmrqpnwijQ1/g029mF0siP2+U +164EueWSHn9N/eFB+27sZ6XkbK1YdC+TJOeZd0VTH2fCSPi1B/qgK0njqYZ7iwrRDKm89p1V9PAa +MfstNTIkzFx4KxEZNkZUzPbkGrXGMaUQqXDlg/GtqbkdL0xlVKuP+zLEJHWwHoneX/WvqlHqGVYv +fbsRBhSG/3SWsp4qC/SzgdvGQ6JgsSMGyGs7eYEFKHaiTWaZDqXAMdgMSesKcCQoWyMeWh4iE4UV +t3wphzTLmHjyo0Dxbax4ERxKSA2VfTpHQ5nTPHU4MGmBDjzUtWWTtgMJuP3NZAfGdxFP9TbKIlCa +PcFNz5EldFPc82f8MHRuLHKI0d/zZVPmFgxmsRdyjJ0ATjdY3hFszNOQlZ4IoJqtkJXngb0VMtUP ++kJwGDMSGRniPRKOJoGu187QenV5TtpsXFdSXoroqVJL6BgEUo+oU1Y/V5WxCZkaiJv4KUlqInxT +fWkiIsI4yEZyuB3mruhWv2UdyNcevg3ySXaQmSnHiHgzuRlMSWe30dUMM4FwC9fMyS4NaTfrCZbm +WEN1zAZEnm707uSYM3xXokCcUV2vHPdAfmNQBd4x5v/zF4QM8dAkkWe3FEK0QxFjwT24Lckk0FqS +iKH7kb+Qq2iQOSRUSKeM2LjlCjDGaO/QQq3+HENdbzKKBrQzkN4IW14xE8GjovD7gWJ7vbHth8Qm +y1z7BIG2PHmXRH1rJVWynLreiIoZEpSUFxJN6jQtQeJc+O/s8AtV244f35MuPBxbZDtcC3rrmym+ +uHVoTMtMY0L4W9oBWVj22wW8FS94mvEsR+mJqwlwbwwIOs+EdwkWLo0umPf4wnQZaCJoghL5HYiM +TVLwHA1PKsYHAdzpU9YI/ZeC/cMTBs2DR9L6hHmbDXum6U+r8YoG6U1zwZ+ulbnPhjm2K/jdV+4g +aFVdOED+h6UvnFLsnfVscAiHyDvjqRE+XoEV3i2zKkhqRgCMa4XGHYpGzwfawZSWp7cTkTdwoQKh +l80cL3aj2QOz5AYCC9ClzPCMkwjU5YfOtnBM2/g7y9S8u4sKMrJpt+NXF8IVaZvjF0e/r9DDF3jp +BdU4+sAWO4E38tPrEEHDfKXbAtv1pBmMw0fQPq+q/8VBPe/1Id8eyKaPvHb16sIxY+9Hd8WHcai2 +YcL2+xZBAUoJyibWB2QE4rYQSXITL6fVv3H5v1d2Pl0c+NEWDSeE0ERrL38Y+tpCOVwhyeesYrc8 +7xhFQiTjoEQZs3Mny0f2jage+b6xIEd7wzwVcoTctePTLrIocW5S4HaufRhGhWm958hpDjScFow3 +82fwrDNdG3deEn45tYZMHDuUqAfhUjvj1d4abQbJDcjxgoSHQmEhC5MhS8MvB2VfNiXT0KUYIdbv +uw7N+MiuPEAO32d/8qDwBGgo6HLL1nk8tX7NjvhQcRKV1KZ1PR2632hm/T0LyTlq9qVqn0RUNzZY +ptdJBfd/ACHKoLbYlgMpdSDFUI27vK9GLdwsSPM2fc+QrTGlRUBGZUjC7E5g6b3b+XP1x4f9Lkcy +6W07UYnWLUHYI9DVrNbZ5oxE6/BOg4jyOeyeujUymf6uy3yqUTBmgFMo4UxFU4I5EkUyeqdk8tRo +Wb81YhCdTWZymFu7pkHDjtBAeTQV5SncJW6mbx+A6prxmnvwB7/4BfOKfm0H8R0iw0ETROUz5xP5 +YtjM5nqN9jlzYjpgs1VMj9UHWlGGauAe5RE/RBMxguItVQ5X7lFdUhTeOs8y2GwpuPmBIhh6Qhuo +P478uMUvE/hguW8k+6Yi8gA53zIjAvPf26WcgOVo34zWLmK2goQQHu/AuyBqJKlOsZ66o6rtVgUV +xldipGoHfUPw1qUvfq7ds/RiDllwtJM+Qb0nHUJnZT8aTJGvlX5ziiMXS0a7n0mBa80kGrlL7fgE +yYLYmrKVYJOUFASYPVMmBRg+BG2qMQE8JC3ayFq6hHYHSrEL9sv+eIEfEnE186xKPiBZvWvWpNtO ++OHRYGhiVDmEv5iaqUApWjM4RkHPtHjbgFRc2NKF+jH/aAkivWCBnZNPp6JJtfrpBgyI0gwKx6xT +3+HDUOQ6ybnaIp59X3Lu1OTG3DlXhYvX+XgO6xYioNGAKHapkg0ROaeRvoQ8JUWp8p/ZZNGC4XZw +TPbcoPHX8axUHjLy9/ciNJ4NC7eF54AbPdGETwYCdhU7ot/ssKJ0P5sYZStkkqGK2uZuUbkWz95E +GrSZeuUDvOd9lobYhbJmEFN1gYNK32zstL5hd2eOClw1SDOLZch3bn2uWmg4MKvr48RrKV7Y9Vzp +7o8NrIN5Pu9P08GOIKsvYXLYLWcNoNYdJIzrkd+87GLVKn8EUpyR2HrDkhOkcfhqVxAzVuifwctN +nFt+T7X3guT9dCH+MdjsDCw5VaAwqd5/MdTr7Og2ZQvli38S75o1X4fyhkxTnq6VEFaKpE6C/pkz +6jk4JAs9Rsj1lRzs5bV7L3d83vubHWwTKK02SB/GUzmrArsxoE9UZzJ3n5LQYvZ+MMCXguo58H5X +ljvtn28I29rY37HtltBkVao//aMhB/5SXfoSOxBlZN+6yxPacMmfp+qnITamKzNe3tVGhBy7VN2X +oT6MyLdZxKiHaJ6aD5Nvl22dD9YOTSRt4z2cZgWleHyE3Au6FXbTHf3U/ad3AWmBdxTkWI3YjHjd +ztRrmoVZUtLQ+Agts5m6y2myUfGSfuggsRjKUPAlsI1Eh/g8w8kYce5Oy4pE1Qy0L/AhfaKjTDhc +uP1h0yfsTbisaO7TYLzaYqSOLvqolNscnm51rRb84uEUrjJh41AiTjN5LR1hnkRCs6AqN+rYb+ch +2nhoUd4f+XnSu9SZXj/A2Pp9t0QpXA7H0Y1o2tBmNyEtfoVH6Qi3cqrDpcRqRrdcc+79f7xeXlM8 +lvBIMKeUJc+rW4W6IhlsUi6rcmze55HF9JI6LvODqXQ7E9cj11Vd67KdVaUKcojmKOdyb9hnmAfP +5/2PsbD5q9PwgDULZoibXRLVr4MGAthAykevtLhBeB29Tn8eMG3buvCLLFkntJSXzOVu0K4FQc3w +uDUFesMTEH+mnKj9FN0xO+ikeqyfEe14kYrCWKfpN8ktzor7RcBeUTHmeIloy9Jc22e8SZXK5FI5 +xnond2kV4KUbji5IF2rZztq18RtTqsa4QyR2PCCCKN+v4N1DLkxvNBpFR0JcHk9gK7BRttoq+LXx +9FEEcufQhlZUSAYpKJ22IkELN6hBGS4PL0Y32Q5Ys5Ixlu6+QxOAtVM0OPiQJmyo5zPJjFUbuTip +46e35sTZbScf5BCmDELRnmQXe8ye16DAq3RFjgnxraIMAQH4ecI70OQBJKU8JErudMDk/fkvK3V3 +C8ufbksJIOAgeTFxlycv9Bk5i4f/GqD2f3HDo2KgiFu2B0OGTawkxYpHIaJ/38CDcHwZuhvz43Ym +mw6v9Pfz80LMoAgFv57YISSekDEFMgDXt6IuEyMSvIRNp2IiIdqTeYSvMIy2lnHVPf0oRT1GlJ22 +BJwYKYxcNjKEI56f8KKRZca9ZfNWcgF7IUpYzIGrbweaWLIbp+riBusNXNJ4/O1vZzlxZocrjDcQ +0P/zdlQgxZlXmyzqzijfHj//YbhhxGAo0UaooSDLfVeIanxXTRcGC6e2lksjIzpRIL/shqh2u4V+ +pftr2GxEJzmDUnfzi0Wc1vSu56rh6JLj/pNczQRvLqLWqSEsWP6dhrvF4sXdAsA//qJ7lYrKRV7+ +ako/4pCPg2mg/p2Ts6ZzxR+thKuMDmkTmgsSkDBt/fhjVYGe0X6oU4B3NGr0I/qiCdrBBj7+QIDs +tzOyKnKPYSXcdfrLeSil4tIq7Gr8LMEAZHxFjy0BVGDLN3sDu4FynAvyWPAWpUpCaDlTPxHL80FD +/Ccl5GYYG9OmN3hs42+1EN2Odddp+0MjeWpTWLU5V9ZR74Fv7puGcm3aLKWITEmRbj1OLuNhJL5y +07iaQQ6VavfBau56llyWFDO8BpOPFhU9a9VL0qcPbalxOkfrro+TKZY+/LCF+9wggl9LGCaraU1Z +vzJPkRVrizpyUeeVCo+/xRvSR8pup3Uupwqz2BYM0P5AysBZqzn+g7e7iO5cCxc4AVRf2E7NmqJl +ZsOZfpae2Rh+eN6El81xfZqGVeaCYInD9LAZUAYhPAyGRzJsnXLnRlEtlHhNkEffnGSlYtdxxSDx +HCjQGi5oFzJFXXVJJvsNyjJDylvNL1hL80yL4GljwiQDyvjxJyXeeHLZRb52KVNptIzMjQ1z2mWP +EyUONL3dl2gkoWqRGmmi0h7eRJ44Z136jraQbcCE+FIDAeh/kBo3J/N3mzCElCDHLAzMgf/KRdq6 +DjT08+B8/YCL5ynr5lKfXKK6vRv2GHWaeCzu3Xp6Q/ZtjTfiOufuzRAcVVgajeJfpYe4Y3FRtCjD +FjGorJmt8eIRM9VhiAYFACQpusYJ+xeCG8eiQ72+/VG6Q7xpK1r8rkw4e8wwPtdtC38f3AMN8zdj +6lj3yHU9uRKtz6W6Q5cCEF77czcnnAw/IWoptpM8cP/TZkgXNkP36wFXJQsPzM83pBNRCMR7N+Hw +TCPi8Hpr/krklYoVl9anoHDSiSx8lqG+cW2wlrOlcZPH8LkpJTBN+kkIOTjrhFw/Q12XjgB6fC7H +eKDGT/iL2LFRrSgAnPNYNd365kDOJXFtR937DGKkfSHvuvQb27XCGOEyS0+2p8gbZR8AoWzFe5Pd +r4tqkGEXP2XES9TbB4/uOJZdDGBDSEHtl/raE+P2GJMq+MJ2PuPKGWM+zgTQ38zYOjAqkmC+82/4 +OtdkI+QyzloxLnbX4Ce7u2RbAzvaXZMubXZi9p8WoEC+zFmm99M6pxk8W65JcV1DzDcqajJ5u+CM +/hOyWeRbYXIjJPrOtdgJlj7mUo7v1LPVmFp4GGSHeXNHjseTcRnIQ20ZFMlixhFvCyGCemraAJ9o +UKyQ5DyChq/WcT86QNs6dQrHZ9hYxbG7wqENwIWUgtPcRq23mqQk6KBplvT2tQu6BdYtGdwXsQi0 +XyManvtRFPbePDGsEN2p2ne6YRrCjFO9hZkuouKAsd5/s0QTeWlqlBlONMv5xH63RCbXUEssPmi2 +NKZC7ZuxKkszZelRVtgIMogF/qpH7Z4FqO6ae2TCqLMxuGSdGY94h0nVcU211PIpofFaO18yUqgw +620u3mWYzA9aW+LEKNgx1OJMB1SdvOv6dx2rQizErcKnMr8qT4XVxan5gs/Vo0i2R7dtb5n/BrtA +ksR6a+VUiBzRn/O6aDoYqAl6XKFxX3FD0AlV3IRLhsO6+EZHoNyzalR/X+0DPFGg6rRAUBql2FhH +Lnmpi5UGlRagdpmyxp4LJskGuvhg/rgatDK2jJKScu7W8T58gd8WhreoqGBFS6QBeR3wWWUI/WLQ +y3Eu/H2ZqzBrTKuNKGufOnXXWT60IAjqiinE5entqGk+OMEJNxyP3mgKmYaueVkNy0vA0nW9XAwi +mnQg2o7ZQBiRN661zSrglXizDCcaXkhr+kKUn1JhQUNFfwf8eAf0ubdW42qq7mKjD7G2uazMTBmo +rJFMo3M1B/lZ0a1o58QM/YWcNbDiStqmRYgPP6I+03YfDfkElylVZZW8iO1WwkS3xJVlbPwlrSmo +XIKwGFl7bwgTRAvlo5SmtfY6MCAYD+E0NMteof7JxWD7EmhFqL8nhFhCjUuEKSoKT7EA07X+zHl1 +CZXRCNhXrmWM+LG+Hl4bn4u734OZbutDqyi9Rhe1htZyv/ys40mkjieedmig4M0oInngEw+BPyiN +DMc2XYO5l8cf6l28HLgKf1cd5xV7NqIJJB2ktzvyvpWovMd9YJbtlDgOTWc2FM3ajeIZbjT0OI1y +4JnM2FsJIpwKMrybLF0DI3k8jOEtvB0OoEG4ejzbpTluv4UEDHQ6I5w5VFCxZ2XdJaOX0F4Uyg8u +aCc9W9xc4oU405GEsdl+u/+Wy3MzE9Y1CJAK8rjKPVopaP4qXyFGhAqW8jo36ZGaQiNkY0lJ1+YA +ntx/DqL5cEzgMj42FuxH8BN3J8E1WLp6hLbRsps+z8bT403GrcVU5CHynilrETJ0OMUewtqtpDp1 +k+M6KH+oKJg01c6GNBx5RFQkQW0jFcCDJTy+WtbSgNeIzfJu+GqJc8lh3tDkleInlb5xvp5UTOqT +OdsdFtDnlsKuduFk2xNtgmkVHwMwAKq7e5KmFcd9RZ3VWEsiufjuU9B18KABE6tB1vS5NzmEBjKM +js+xA/RDXau/AkJSAYkqWL18krz9wDDaEH2jgeNJW35UMyDC/gg1mD9V1/YBl/o8Z7J6TNs6+DKf +uiEha5WBm+iBsiVLALNcT/34hwLPJ4NxF6r4ajywaAM5FQeXQ4Vcsa1KnHXGvyeupDjgicoQqqvZ +KyphsdauyRm0TTtLgXVyQmLijJYqkcAFCE99KiQIlT2jVEGr6iwhNXdZ8NAxzlUIbpA1H1yJ/jfm +chnwoGs7s4oO+rTbWjzqD1T2339f3AARp6E7Vg269aLXjYrOin2w1DRNuUcdHBJEkKj3D7ciTXbW +TRpGMSq/C37r25Kde4pJFEViAtHQdh7XZw9Tx2CA+2djXKo/GFaie3pSQZp2Px2MkIHgWlYe54EZ +qffLSH7CwjnhDnfYkbuo8kzC5XB6ojlrO4G58mXfnwzVoGgXDgKwNDeOEMX6/dAdcIIkv7cC7EC+ +Nr2kFToxIhqGBDmWgsLsKlnqcKLs84NNAcdJ/XAy+mNgmJ2wbUmQCJpUlxpNcVdKVIMKEPB7jV6S +PP+6zNT8VAIG6hZRZOYa13gCMtpOn/lTTxutlAJKq9O0T1tAfAvj5jSMPgRUPq80JIxzDAthmrhJ +LlODi+9RsWk0rSf/qkap1K5z+eWuARSoJLry6sZFM/lyQIkN+5+gYHKOMBacRe+Ozs0KPX4uJHzp +izMJ+a1japy58H6lvdQGrMiPP/Jhfan1OuSO2qeDTjKHdDAuQQGqbDKNWXVV1swJT0Pw0tkDx4yo +TTJm9HLsi8pQlY1tWV/54w9M1DcSCPt0EE7ZzpYjL7rvreMLp0CFd01eNAlKuKdXlbX849IVmg3d +8Sec72L3H6aKF4xyloXxR3mqqS2qVanRVBlR5HkYyLzVP5a24bLux6QQpnqZNSvcy8LHWipfKEpy +Aap7iiQUKmJ3eGH/M+5kyZMsQx4mZXE0ZToHF56sJql0EsajVM+USJJhKAJKv7nZcu2GD+vt1A/O +ul/ggs/6CJm4d4qmXxBqjDcPdjGPiKBEiwLJrCsdP5rrA2xsPdfuun1NpcV7RthdW3szGLyZQAp1 +CqoqPvq9tBbrMqAxJ8oYPNGh0jurcJQnDnQN29658Cq67P+dyvyefzOnZElBOjZ0VzE4d2/48sDX +wWUSr9AXez+tN+sZievAYMb+3RlaHynSJHGk81NYqxeaOqQflBmP19He5khjXfITOhEjD2PH9850 +48CMpplC/ykOl366nAK0WrVLJB76h5gXC/qUXYR0iJumGj11HASgICJBkYocxbVT4aJ0TnkHo3IX +sAKYS1NcGmNGgpWRmgwe04jCsFBUMoa7hjbgsWCSzyOXKWeC+VAbRrz+Yyix8oBwX1AfPmhH/07x +1UpipAg6tpWCLS+BAtVU3orl8gnlde0rY56pGaKbES3I/JX7NfQPku7YM1il9HKspWOqwGbCz3Hn +5jANbee618OtOQex6K/TjLijBsYoj0+7FZWI9LRmcU470DY1yfaeWgVWbeYX0+JCd/QcpMdLqjbd +rbeTOZgfnRWCzDGfIDwoJrVkLU1LQNEOstUNGqrXYOTjE5RbHMxg95H+a626cajhKz3I3mblv0fW +K4ieqoFDemX9g/jp+MYGXJXvTFM5yZ/XmHW2eEvGbTq6megRBBqkR+5sNBByct6k7cH5idc6Ucqu +VuPrp28M8PahNDIG8VOmDdSQqMTrXoKSctRQJHBdKYapNlgkNE8+YqKIyPHVho+cTYIDWzSeELr+ +svbvgiNi+lg7H8QeoqHehMnWglLlcwmbROf+jdHhQH/3vYjoY1zQ1WPxfjGwNmMuY43PwAlBOMAf +lU31Y8Ylp8f0aTaQtOKVirPNWVglwK8nYHBMBM+NqLislEWGBNlIWmnjeVVfl7eG/TmKclwWEPrq +6Yt6sNi1kI5B/Xoh07A7zRE1nM4OZECHXWVLYKuiYdFoXABm6ELYLj8XQcMGcLkXGSR3ikWtHmEZ +3O5q/hIzDWyFnXdX8CxCse6QHP/32acBKmi3kRBfM+jaC9JKih043TI2FtqYXUTOddsOzG+U9Mwy +GuV2JxResN7jUMnHyK4a8ryYhK4NBEHyXUorz6qYWhzvD/0yEuWQM6hhdtn9n/SqhVS8D0eTP74B +z8eU0WScwcGX858rGNPWPTry+hhlmui5TS7Ch8UvqyyXbu27Lv9PK/KhgZFbueW7qx/Lm7i9YcAZ +PJEykAxnKum7o8uIH/IVxZwoImVPmrNw1SvDwTYYp00WC93sW26qucGY8fJMuXQsTFf7/gAUDwou +ISByt+Xdfp1yyC2qI+i1+ifzTTUs8h1DgW8nHFruu718Ld6VAfhpq6kCemLzWzmTUKrFI5Yb+kP3 +obqy1qjVzT5OaD8WTkwS5Ngkh3MPlaTRtSO5dswZAV7Rbc8xN1G81wDO4Uiii5mdRztJCxjumm+F +PnS2fOIu8hB1t94ScOplEiFzyoAl7PcDzhi2Va9VIiEMKdVV2kR906HO1VnF2P+RqvEuox67orKl +VZEFBnOIQXTfABLqdLUzBiWz7w6KLSFKzlAgOMsnNUDA3IKB71Zjj9VN45zcdeIBMP/ElrGJONYS +5ycQ9EbCYEjjbRjmw30AgL3Zr0XG9++7TI813zD6ipzjFCw0UC4isT0elgtWK6pFrp7xxn+KPoPo +a0irYS+xfFsHWxvDxq93m0nfqAjMqtaqacKd/N3/GLiu15u2d+HDVpcd1EihhomWDc6QP6zU7/bF +mGSC7RkTOv56JRfSiqV7557O7Qz1sugxEsQNOkyW3k/FE7C/o/HKOR43tO80Y5RzmFtqNVxhdxdr +eNYYD6oUgNp88KxXWYXJTTkxf2FxSxUEi4QbXtzXaP8eyAM04qD/YW5CPSRQadp+xQ7UwQ4VZeLu +BqW528O1F+VlNl58CMC3qit2BrbZEikkGtzis4PVCwqoXiRhlCXRYKGJJMLoSv+8kzSFOSJYWYaW +U93SEaCwTTWprm3xofhtf7/dsx6T4dxg3taxULN2W9P8ufDrejGu7URXUesxIbcYWHCi5vxqln7W +nrXqa90VNz9xbd4cHzA0Uz1NdvlJ/VQRXnQoarVnbQ/24XXtLqJ7JYtkcs8poAxeAncjK1wkvIfv +ZR5xxZgH9UpcFYfYPIrmv/TI3vo+tB1Tsep7Y47q48kY7HDtxr6K3BdcBsMqptqybaJdACMWn/vV +p9CF6k3QkBKIBfRczYooObSz804Ldr+m/Hwp+SyHFWJhJmsgOnZh2mWYGDhD3GtF11QuR0QAlCNa +zdOZ37mBlAvv4MATZrLCczka59XEmOOYmWMPY8ttg60iLU7+J+Lx6/nGvFyCTu7SIoy3xi+Ky9GF +FKi2ZVK98hG6FjJTH/+RUqV39nIaLgmAR0lkBSYl/dJCtSrSdTCi7WTPg/Xtuku9RJYuVHKALah1 +6DoUNTIYDrzpcXp+Gwsie0IARwSBK3e00IzqQ92mUOfDNqqKLlqtIciG/pLHBDi2y4bQKc4NVZrQ +hIh0F4kEWAneXYeegA9Aqry4u75FiAHVulb6Whsokw15HYVy9z5sfJfRj4WYNrxzJFU6edDfIZlh +MTzmYQk+dGprO/WTT3icn8v9M1U0797vbfnL5BgVeyBnClTbLIaFiuSsDDNDzfHCeMbbT7rXfhn2 +qWVmM09XDFwF9WldCgYEvJvEspBUUz1N6OXIl+wDl83Xql1B9BYy13EeiQdhHUxL4tBn8oKfkOCR +18Vpml7PhtmDZZdzcuByBbwrOxzwu5YVyAQY3qKDF0kKxV6zi94s0dzE6l/Oqc2aybuCjJUJGXXC +arudixtZZL3869VzWmg2sVMMo8sdSKwAO9Gx+ShxF5yK/x0zZgzKYRrFhKDoojbdfIsRAVUwDa0D +ZG1mGuSNUs9lFT9YK+5OReop8P1CGyQckt0cHLnz0Mdg6vS0MbuI7Hrrahyn5cuWnv7xpdoSJoNj +tXMucNDruGEMXgsTnGu9ych2Nnc3Kq8yxllx1A5QKBzlY1CBzO7lUhSXhOpQdVb+zD+OjWgnCR3M +nSIvYKsx3wJkgriMlnleSR0yUEOsyAXWXBc9RqisQuVzf9e0/abLTMjngxbzCVNe2S+4s3PkBdCQ +XKhb6NfaeM1Z6WFBQQGkbCUzgSmoPFImGPzANljo9gB722vlQeAjax7xXfXT2hkwUNk42kI4KeRm +hQFk/DjbaLdBDGBU2bH5EiYj0n5NsaLCMZr9s0wrmwgx9hFJMysXyob0PVJ0r3B2PY1+31A6aKDR +CaeChQgN9PAXMNpMLJI5A7QFeiZ+VZ8FzDSAOwiKBxCdd2+CytE53UspmkcXV5/y1o2vWUMbVg9z +WASZ6ExWUVleHCnRoRHjAA715uXX9E5A5L6CgRsbWCoiHpMRa9HUT8AidBThVkn1bbd2MzW9U7YO +lfXVJ/2D49LGqqGmw23xNFOokvThDdGPzCSSLenwpWohP/681vdZbwu9PE3NtqraQ7IDCkagt0Vh +O9rVBZ4UoVp2YLw1oriDLiWT9G55yuC4W2ihCSs4JDqIqfk14fKA46af5xaNndU2Kg3AOc8BAxLP +b1pARyYnMLw5WESeq8BHOt5J7XFIiP0Pi8njrJ2Eq5i1LO21PIBceZMxqgIlkCFiC2NE43VKran8 +hsii8DIAjvQZoRJQlb8I5VnUiI9TDViLmE6qJTbO5eEYccjbEzGvnPhRDMzZ6SCbeOezlLQ22ejA +ygmf2gvFzU8zUvG4qmGURQk3MNYWnY32jDBGMO8GOjwUgSSeapq0clCZ83lhfkPO4Svg+zQTNAfB +6SdQSA/r4WzGeqN0RfVF6emrMjr3++oioznhAQBnKVzeY5uTExFLrmdH6GsNieAfLOy6kKAdGPSu +kxmCxzrmxV6QBVe4FCZC6rhTxftR7IS3Qd6K7Acl0pyh6BgIS7sv2TlvO0GpYdh9JDD99Q5QcbIR +iHeTbq2emL3DNId/dMrI/Sey0JXzaT9nklbisVDweDXF/P3V/cR+IHAjdATef1wrUnAvczqSBGUe +OYAv9MwekKPcDBHcDlc3lU8WDzmbBTEL4si20z2GJKYHMvdbIw9nujsGHJdnI8wpnGMxoq1e4PTI +Z4e31kJNn6dAwH7iB11AuLFWHZ12OWIqspIhUqNQlbA7639JAiOe0MKWGiWClscitKpvzgr2LKPG +GYtAXOMBrAOCVl61huYPesMsLceotbsQ91qX2L05xCdujpXJRG7XW0QL0KzIM4cFqVSCuoOfAzjl +Xkm2i7vV3t2CD70UfVm7Y/FszduEYvqpfkfOxpNO+LQHn0BRp+lrceSbs6tA6B2sFwPoKlkLyTPG +TNmTZJMMMNPeQFhO+KCc4V9O2mLwaTAJfvQ7Ed2ywDWkoaVmRHONDhOA5GwI9J1/5GeTgAlk7aPw +J8btdehy2bWmfRgtWekSXsekNl08ugeuEQ8XU/5Iw6/Gr29RrHskxYI09lee31v9Vy09E2/agLJj +GlK/IG5JzBknRj0epAaiinLjNMmrYV5DMjAjHos/BYiKE1QAojWqhw2uG3fg1s432Q7zApXphmf/ +1bNOi0V4jH0pq78Dy7WBXpoEH8pOXbPymhluIR2tgZnNahnlOQrPIEh6SCvji44X4G5ubgLcL2Mw +oerlvlfgbjDJACe4iKEUYZCx2wa5LJU87iE3gsVgeZvuLRXANTsv3oflTrI402Br2UamkJ0iRxod +NhqI80ozNT+j0l6m2pto7N3CBXXSqNPGaGkUYroWRNQ59E+DLyIqF/+km4QkIm9D2dGzeeMTwO57 +4FO8876aShhK7SqFw9OOCVjNlf1omp2+VFqgc1ViOHkSJzp+uOEsh2rc9/fVcWSsWyHDv3w3T/aa +c/3LeqhukxkmpcoxMoc4U7ECu8gOBeyjvmC6nMNK+dwrug/HX/Vi/WeimBcEnao+tMLL2O4r2A6f +HaJdOac2R4emofM9pzZdDfJSmniOGbAtdm9hRGO+VepUIm2OFUg/VZcGXRH7VU8uqdSxlFnXjvnu +4EGZfDJXt8XGRCH+Wh3Zopu1Dq1BCgiE45kqkfIo2yaJjuVVbAhFXgmRUUyWCGydDje5ZSxRi7Ov +1gcxnSKILBWju7ptgEa7c2uqSAC3pjCD88HJBRc/d5p9xE4ocDjmR+FUcyP5UQCB4isg7agAKmy/ +jy492A4417bKt0szi3VW8NumyY+rNrHNTF7O3Zokr7RiK8Axo/BtqOJLdIaHZLMe8ZFdNoHUQFT7 +FX08fiKht9DYXHyErfGPZEtycb64tk1t8Pj5wmoQ238vnQuZwZsMNHQrKa74A26LMECRPOW/kO7J +tcVC4YZpm+B0U9zeg+4G1UGB7x4YHQsiuzwJuKP7e9/9xr68Eg9WuCgWWOAwb9JASGW06psh8m5W +O0SQ8KWaU3g26oUwiPYeMfe10BJZ73Xte0EFMXPFA9bWPfVIe17uXV2Y5nbxd3Sm4iq+j9+gj0YL +i3ykm9O6S/yg6/ba64EghFlu8+W5eF9kD2cvzWYIcAxv+jPXKkGOanEVpBmsVWMkDgS0uM2reD/w ++dm6zEX1Hm8MRrRWQODJN20zItrFNehLvG5AYfbj5vyb7Z8ysdi2zpb92aWI1Q9AX6lLuts4nL73 +Qj00DNNSE1dnY9BxyRh30BD2+xhEXaCIlYftN6CeTHsIJquzhkXA0ntO9Z+n0ILF62UJcBfSFKF3 +cFVbz30jQb/Y3VN89dj/9p6fKCopIupDSK392kG2MdiaRt0XKq8q7YF/AjtdV/H+IESVkWVs0f7r +n956xF7/PlBUm43eqGGiOq7mYTUPIl/sthBjmJNygt0IANoRr++e1Hbs7aD2Q8hnZ+0NWk618EPQ +wVkit3n15rmlIApqE63vt01sQFBDXWotWEAZJehSPn7d385EKsxTvZpK1Jc/4C/ZtDufVoJUvuA/ +G6yIvP6qpdA4nz6xbliM3jZ452w4MUPU24QE6P51F54mjFEe55aJeCrjhZ/XBuo1Qjv5wUYnH6Jc +W0O6Rz785AZMOuBLFsJ9J650jfc2J8iG13wTxmtWShBjCZFaO/AHX+D2kuWyx1zjKMMLLcldgRXM +yCZkLoA+0n7xbv+/vCCKSIuD1NbKiTxz1m060x5wtaWZ5Cy/8tH69zYuznDmm3yCIEshKgjK7QEM +dUSPloEGu1JfJiBCplmHxJC/FVFGqnkqUMd5/wvgDAFIu1CMLJHi9DUzPdfyNhZHC6ovyPZ6HJwj +IhRly5ZrBH61JY0aDSFcyXoIaseMKDGa3O76itTUNonqyRR04UaWBxCnzwA/l0u2cnEd+FhJWLHZ +6/U6ZS5sJOzxIujyXGHdKh/YtcE67oqWxCUZWmQVBZ4FwbDAkLg0UFIpqblzLWt5pmadb4Rv93XJ +C4sSF1PRYQry2OBZ408Y4lLDYfWifUHwGcS+HpjEIxwirGyc0C+Amh8f14LB0g+Vds9yyHjSF4Vw +IWX4fxi19Xeoi8T2wAHerC3Lfcx+g0KKF2mgQkB4Ad86PIezMk64PVdyz4THH/fBPcfHhBDpUNjn +5FHxVTZaJ8NMppyHMuJsqe5D+EMZCInR1hHzbjIckaKI4uzDHGvC6VfNiH4KvEkhY9OEB0//S8cU +QL0awqEBEbQ4Dh6wwF8Dtgn4TApNQx73zSIXROxgWWNBaC6Lc73bI3WvKABEc2OkCH2S8qSQFrqv +M5g6OaJYgMKAzZzZD1+MqMo5kBh+5ep3Qy5+L1pho2iti0SPYv0QBee/XPSA5hsSM1jTuNsZl/Hq +g9W6NumBvBt8ToBKJ95rUEEW1SZ94Se7iq1St99e1YR+FCi7flAB80qCj/xbgREy9SgGELyrFE6z +zHUgbQ9OsVIut/Y7paGyjgyt2Nn9bv0zJ+e8nvnIgPsnuC6L4dr1STyIcY0irB350O5SGFb1pVTn +B6ZQ7Y8G+Nl320Z6YlZAFIvFqf2yUCkt7N5Tj3ituhWGfVsWeVUaMhQyic6SUGjlh1A6lDKAno1R +/jTAtMup9LVeV700kYSY+4N31oR88yFfcTg950c2OibAjUGYXn576d/gYA/i/bDJYcMSx8JQEWkA +oViQlmWCROuZ3LWIcANJrjJnqvVCW5cgoecl89IqDcovw9gR5Fu6eAQgktSvdYhafk0II7/k3Fge +cgBBzsmCygzeXe39reFV4rRh+T3jR/Q/bZxIl4v90lOcN5G4LEFVjwDEx1IONvajJ7IMN0ZUwfLj +p1YaYFRWnpL+nszrDXQ8hYbHL8yCBXQiqwFsvCwdryLurFdbKPUa5pHurHJGdWNgDyVfZrOpvEog +cPWt7zalYMjSwuWqRbGje3GrEKJVrc0+DUfugYMkSlipCGDAr53oSOt4fpNxWnzV3ZmaAG8bgSau +aUjHajv2e1x55s3LvEsLXZV/WI+dDt4ypcF9zy/vRlRwgECrldNiz3AWT2flnyrLWTc2tvsMFu+L +/FQWobUvqerLMI86aSExMoHTwVXz+hZKyHJ6/3WqvHkWFyT9Hu+6czK6TdRYyf+sjKj8VlfTJYOK +BWOwU5FtJ43er34Brdw02hbY0GElq1g6vgGORqxQk662g3W50mrW7hXIOjVBehJqEm3cKmT2l6Sj +znKNK/fcp+R/ivIjHW13gl37xXNd1qspOMkcpjE4RNyqKqRktfV7DRdME4zGHT62ysfFCFGMQckn +xevXHFFUBVVQ4gmX14oYTag/iicZ3CYmkT9V0Dok85ZeRdox40dNMr9ctKcaah7+R0lebKAZWjHj +CHfFxItYxsU2iNne6vdU5kbfRqGCfjW34aKkH+qm26IfKU5e9sXq2qdeVvoGye1E00uFgnNRRqsr +Amq3i3KtxAfJtcjb0ga+YiAczSmzkElNtjTz49YKC4suyy4zq7Sug85y+aCdKdiv/dHeY6S/ZVik +jG2XJMmLj0xVyZVof7Hxdv2SP6Uu/FugJuCXsH3+aGumQjcTnjAn7/+DZGHnz5aF2nulB2bZwnz0 +wJuOgqbbvabJFUxIhBduXDOtvWv272ldK+DeZs9ZtSXdJRHrwRJ4VSI1CyHrXchMNcEQ7ur/tLRD +9BNgqDghIaQ1HEjBi8zafyVBJci/qTxdxKfWQHqRid40+BWrjQ5kCtrksogPS19axEPE/VPQXb14 +iuqTQ7JuWwlOAb7oItRhBKS9nuw61xIMwh11dqLL2ZXvCiJ0JbDihtFFa8Wr1LMtEyE4DNAIa5Rz +SRKXrfhzN02nZTc9HNsP/JHmKVoDsoC9lbejXM8RdkayU9Xw/bDvvgQHOA+ZNTlbo6JarJ//OtyV +m3kCT16x+Lj3Yq7xsg1BYweQPvetBxwauqi+WXFiCtWn4nVnTH7tOu5M1s/A1UCFhmI72zp6lkg8 +k58YRI1mAGSLxpO9S5tkc1egwyQJKSqa3To6DID5mxOnSmn/Dk/IqXFWv7wYV4Dvv3cqGuhygEQH +VlmEk9L7iAZAIrj20cSLT/or7HXc+gwep0HWR+oJ3/SY5LP1LltS9gu1z6SlXWi/CW9SMsJ2Rezv +yVlr7BmDhJrHDz3nRm+RbNZboiSCVAUci8XYgBfIhK80tu+mZ6MgU2EdAjvzG1+aJH6gQuSNL19K +QhBUdWmPdXBbOGzSs3Fcog2igQYnc/0NQAjqgeFRmKsAZ+yL2SmC5ukoqkuI0aCBuOnpgo635mwB +upH1CvzgEIIDQ98TOr8tADew6Q/vV8B4aWpkILSJjlrh8THAiUjqa5ZmJtEvHAdlcpODrNzJ5rcG +wPE//f50GBAtiBiINoJun1g6bAAfEABGOE+A5BciOSARO4fonLVja5HBHXqukTFbWZMB50Y+CUTa +sfgwyHZOvKBAjzveCOUppA1uHw0+toBABZUM51zcQWy2ggBoHFbgU1v3UbXyrwXX7u1cFfUuZFvS +deOxb6eCtTlMrulYkM0WCu9lGSTTFhQyqymVEpwLa5cnYqPjBbFZujXabbNjczrPUWdkiU1e/4Lh +PRPzbxcRZwG1Mnm0drOuvqNoT1qZBmP7TgmvGAMtPVJOQM3fSFO+N3yCH1/VD22WEpGWLuZ6MzCl +ZWsV1Hrj+54B+eL2bZ4SLNWcB3iT/lWId8DiRn39HhdHaKijXFwcipzkJkzr62/nQEipkUMhAMaZ +stpdAdy8BqL9tMvObgGvRYiseTSqvkyDKVF13Vs7kUdersaPRURx2vxLm2lGsWCH38me7VxNRI2F +hRW7zfOt8RX0YZQZCz4KkpT6w51RmSmplxhsk52kkxItNJ8jg6hmbsH9vUpBkhursT/dVPxnPqOn +xeSYUtLEDAgqsm50gVm1L+dZtIGm5G2klZwHovxBIqktiQI57ke4/JBlpB/Gz64C4No/nbs3pMUR +8BbTBkzYBhkC9VwhER79Zydv4sQqibQDdc9NhDhT3iBkSd/cINlUgZ6C7JoNYOmPW/0MOSF/etLK +0nbrgGR3L+foQbhQY5z55r2ASMoXJ7TOuIX98E9Hdfs+008n0OYSVtmuR9SF/eYlz/Gh2KA38C5A +hqLX595H4Jj4SNXKnDxkHCekkrNdOioYXXe24l5bmLT3Z+tUMt/teEB9FU6D5Y5LEcCU2hi8Y60d +c1ZyQ+UyhVM6Ct43JvLvcq7L6G4jZTaXgIoG+oTnWph/GEhcY7+p5TS7KbkagwUk8zLYmo3nQfNY +Zgts2xV81Lb1vUM00JcN2yshndlOTgsXSLyC1NhU+jjRe94hHz/0CWtP/RlFloQhv5ARkw31S3FW +07XzLaYh5uM8InUwc/aJCviWG2D2a/fHhAS9yVPJThLBib9EqSa8Luy6KTDx87vnfodyw0mS3+ax +w/1Huo/8AZhTqVAUXcF9T9CuomOiC/IQVNUJk5nzmFDbe6fltzUPhCV5Ceq2/DCl9qJMMJwQlOzD +Y0vckkDR234PPyVyy1xqYqYfp0Y5+JgxWBhwR1L0aI9xVtpMMUVLXtMBGfog6bx5Z2C/DQYXTOFc +Ggt8HxXtkz9a/XwgKsKTzatZ47jcsB7H3xVuxDHy2uBF4r4/8lbDlmf5m5Qolvq3JyMZO+urmEVW +TImqTGA3M3vnLvCOdKbjHqzlmfClBmF8EL5cSDyS7l8o86SmRqJzwgbA0J6lm9R7aAyg7YpQ+kYs +6v8YLaK9siCmV0w6cjOM5slk2tcCw/lq50TTsqyzdd35j1zatgRNmvP3PERxgcoWp0jTcTOSLozv +nr+D/qR+bJK6phIcW+7zMZ2iYmbc7rn6vAkM2hjNHW17PMjG5TRcT67gjpvr4/2VvzZSTJbDSxgh +v+c7NzZ20rj/Y6bv/uaHg93Q6TYbBGbWma/i4nv7e37sB0PA2towBFOp/FQLptXRiFmG5WddTPAU +ikrbcAet15tqI3YauFNaMBXnA4SQffqQV9fYsuoGjAM3WH7ivO+WPD7kcZi1ia7153w5ptHevIh6 +N1kOg/fg1XB8VTRkGzs6Nn4AcGSpKUysR0DvX6VOlnL+mAT/rcpbeJqH/HJjYb4fKST4P8v61mtF +Q09nr2hW+G2XnfCxbd+hvF64OE2Vm8lZVR2X/ELZ77nWwQwY15ap+cy4GUtsLxMyexCXc+pVLX9D +3fZ2ZnYzJELwrNePXm1dK3aaYr+d6I1AJr8wLCne6N5y3q5iPQhaOR5eIv1vc89faF314/isdjwE +AyE41GQKlLHG6ZelSsI5gWmKme9OfWlr8L7tUvcUnEGJjs/f1GSeMlKJvfmdFLtkFRun8XDeU0sv +1MfSikOA7SdOsQBgaKtHY02pA8QNiVSnRlsQKzquSg4ElxUSjA5pMwAYEFBsCnmodCMQjWDIAxC1 +8JAuIEIZROHr2kZ46YQ9NY7To+wZG47qG4zIm64K+Co8GmBhbPSHrTLXrFjlqPmCsmGgOZBIpfVY +OCTlCmBWsrOLUa0zF3B7cI7gmtL2x+DeFIXd7byJh9gEMyqd77bTBxmtZFIxXOTOWv4F1mp4W5CL +ITMr11l6ks0OncQCZ3UXitdmpHkpNxGQx05xUq6JpHLBS7JHoUTSxOS/iKKp+G0YgTrqAlh4svcM +1rbIhS8qWtCXUFzO+0CXn9Hd1S2k25tTfTnGREIl8WbK/WBCrHhkkKxYxe5UDycl4Fy34c7CGH2f +iCrwAw1O3akTtWk5ZsJEsoJjS4EwvWj4oUB4k1/Qq7dUxlixZRreLqPEvw9KQZD09IpbdlxYkwi3 +Eb8LEnJneeNPy5pIK32KnyUhqZXq+iRp6/mvryIATGJHpGfXelSeZBpopHaxoIAlZd0AzBBmG0Q6 +w7PPd/gsjmXhM0qA9vS/6RiZk9n7Q5ZIweqhFsqI6TJTk0Qp/lg+evVgGvyhRcfYzyYLd0RcknX/ +GcIUFAqEY9Vi/uqdOtwnXezOjGXyFkskkyKNzlbuc1aCQFqWL2/E9BStOAGbUKr5PiJU/7sQ/g11 +Obez94lF2Qx/tjjwcMLzL6PzfIClzhwhQCiELHDZ0pyIo1D921V4k0iaFuVSl0SViY4jX5OZG9FS +PCdQuvO+z6wQ3AkhZVyNO4N2ynYvYRJzjpwxQmFoEpozafmh3fNZs6iMWI8fevG0nvqZ8sOUuUs/ +lZWdAgE02NJuFQzRuJxuB0BmyGohXsJ0KoccxzvCvOgMBtbiNlC6kQ+ISEIATkWx6K61voLys7tP +ovUB6H0A8ZCHNP5/9S8X9DKfIkM7iKsqi3PGBj/bUFzQ6F5CyKW3Bnepc1ZHc8cZOSWq4JcqY4Ar +y/lhPdDSgi9ku2CKkzhpzHiGYU9/GmEjGtZXxFZ+LO8HP4H0wSZZg3mE2IOG8ajxZtFEYAXbEk53 +ZrvgYlbWE5ACcK3qmp9IohPefUAIbkZXkhUMSXUN+nMUW1dlAb5A3fraMMSGBAX+x9d8omFjdTZ/ +UcRA+Yfp/FdY1CMPPJqsi7/5QQ3tGk0oB+6q9cUW/MX9Q9qfX25kcJM3BYRAq9+fXOplv5rCu0GE +fD3825f2sLasDlwFC9XQqOWEnjjSFFw5plNUU8wkhyOhGuYX2CQ68pqO26DVdvGkCsemH/Yfm3Gp +4v3kBP3ySHCJ2foJR0RiqlBgqC2QoLKX4UwlEl0pK3FIWOCOjinzCyQoR+xeHZC3MMfWv3tGaraE +0bnj8zglP1+gMXofXBi5bRt5xUOdQ9shiPHbwRQAJOla6fVzZbDuW9EgJHEpq5rCQeK5JHlRDYlS +5XxnAf7ZOQplVn+fi9WSg3ly3gr5QHN4ZbiEYo+QEeKG61XVx7zBXszejISoF7ZkYtNquNsQhAvB +UYja0/pj7PucONhydStpyWRBRwNHIOS7zXzM8+V2Pok3p8Ut2fYwbIFZ8NHvcNLpaAfyE0hw1uTi +VwY1MxRYz7qbNklh4Il6OZj+CEH4rmqdxE/36rKQXlIw1GQ9tRV/MQpglAwpL/Q2fZs68MMTgJug +NMvT1wW4XxvCyXx7mmxVgKrhAMl1qyL53t4o7bgUM6RE0lU8dk9guTicGqd1QR4ceOCP0okDWgiU +6Nzog4yVS0mFmFdebq415CeuXUKBgFhN0eVP8dl4dxDb/T7Nr5jDpNGSD8OydgcPLU24udPRG3oV +F0ov0j8FxduMUuGGmvKJQgC+z9VWvvqF+zKOJR6GA6wq/fgFoJIArPY0IzbG+e04Lw2cPtVzlcm7 +n2DjQSMVc9iA3r1LuRx6SH43rMS2zvabu63xQdMymLNudCCfbqmZozxjQFyTVmTIIYsYZH2eOgN9 +aAVzKN6mfsWgo1xQGCYA3/hdfuNzqo0gqgAHf4ig0Itl5AR5jAQPXMcLXiPFwNH+I0Mou7i9xZUj +qCeQpOhkSWfgxgRMWqJNhzeqeXZh/lgAZr+ysDF7fjX3TgVwsWfpWgssYEgF+TsmBSAyIpsuwMhR +UA4alrSOSjj6IW/OZyWVOYhFbF/eqMKjarshOd5kxoQKrVaF2IsdW0Y/vWLYaRhL1E7vjNvIAwaI +fGK3lwcMPIw9kjzD4QBbCINOHvlQwQwmgozJF9bCEnGhYHnPYe7AINo3pGXW47KqO6iEl0D30QMl +c1natCOLBmBa63LJobTygqqmNIBFB/GQpa/vT6DLwYUYmx1Bv5CfsrrudrZeo19C7biF3SlnPEui +m5/tfE9MbuzLCAdjn4+2JfQAaP24hD9g0FzxWdcimW/1KZEdfTHzd8Vq95KFswC84JWSSCXiCn+l +WvN2NTyST876Gfr81FwFslA5gMiSQHTAaLYBL1BopLi4Z74c4z1ZIJrcz6suM3BI58HZGmfXUOr5 +TUWk8aMpo9qLRziVtkMg6SES3iGXT2Vg6h+ovOKgDbUw6k1YHmoCeLUXRzEGvDa/IM2o9b6O9+/H +efNAswPN31r1rpYqaU/bjF6VL4tGokJFsVkD2j+qfaF9Oq/ubyXHN4TGBeyF7mFrIagdx54XTxxX +cazEkcpTsfVkGZtDigiEsBQzM+0Ib2HtGeD56wndV+NaEuL+ODZ4Lj0A2RRhjfdHBLgP/GmKDujk +HulRtmM2JsWuD1+5UVO/173QwjY0pNrWVP8GP3figurcStiD6n0/RVIqSGJN3ETNeWO+Ka5CYnC/ +8rDv6sLiHCIwRHz1Tu1HTIQONh9ya7zZMnvHuwt+E8sAozS5mfVP825s0F2XW1ajoFPvoId5nGAG +eExTNizD8z2JtBsODSyl1pLo742ZTlkIEg6NZo8Fg4ce2eaZkvQgyAigggKhcXQhJtPcpyYUFUEa +hN+tlT5fpL/U7T64R/mOeuvuCLl/y2iTmp09yEzR8KxWcyKaaHL6MV1V8CrAQsE+n7WZyrWqjaTt +ndkOo7PaabYcLq88RNyf0L8+vZsGE1RxZ+7mnwpmio4ozrKRHzJThYbMqbxlp5FYMI59veIy0xtc +q7M8jn6Wc37GrJcql8r7dsEWg2FFBzOXKsjxNQNulcWrT9LQwJR8Zihi70cSHuOFjuL+5ngSSZQP +AsZVgh3OxW2FXRZ99tK1IqSCirALWHPghzKnRTSBfP3m0SAVEpA1tuG1XBenyBR0WMm0z2/WAMgP +SpxGytJcgz33OqYvZ7RbJ+9RJmIPgJlG9q3jVXgYl6eUCwLv8daV+dg5PRFVAPnggMwbwZdYjAeH +s/OFK1OSTAW7D57LiLTfHAQKEo/6sUG9Uk2XqV02zdIyrvzgIE/o+/8kWphNZCL7A3jO6cg0pvc6 +tlzuVLEcvKpoKR+xdVX8ff03oIEQJAUcGzrLGXkGPlADEHZY1o+t3cavVGfGvHYysnAWTcq8Z+CF +LHJ0t9pyt5zkxJHT1L6E6+AG4umRj3weNNN08mOh71u3MxhP9fin3KbE2RA5jJlQCrZj4gzOS381 +/mVMcQIohmt5cSt2gZ7vRviQSJYj4kIsShi0Of5k3tRHWBMK6Gwa1vh+GnkaNaTLY/NHSJ+2I9X2 +lNFGPbbmgPZmTXnRjPGzm42Tec+EOCKMZZwoJH74WycwDfin2jFoy8WQLNKrtcq3hz4ooVtMo1en +ECbtdJjKqqaKurd2diDcwIWPE60R2EfV6Vx8Ump+d7iUj3Lvpr9LXIFJc3rz0ka1oL1mrP4m69G0 +wHEESzlURbsEKom7UlOOnyRfCASOhlJazN9f/TdhU0QRf+9zpGs00sFv/F5xqEbOMvWSyTXuafn7 +LOWZFSJHOY+K1kgVjxyxkxQ4koqNXi04c9HUcv2EZg46Er1AsEKaoJsUKKsQs1I1jVRoSR6TKFmJ +0JWMRGetpGqi7d78GMjovJ6kR3aPVcbFV9RygTK2lrZNe9QCRjS6klDJSzRIhQmuwXpl5l7wBvDp +PRi6lJlwccd05Sy2dlRh+ADayo9OM9IE/qZu6CvVdPGuA4GI35/2R/jVD3CYN/jW41PmJD0k+/WL +jUlqE0vw05nJ2AFp44I1j8SSi9JyyR21ZC4GLn5jAlw5teagPD/KSg9G3xnbE63kamPWt0tdU8Ts +IQ+/L8SqVnpD+qT6mPtY7DTou/iOx4oHr+9Wmx6ljpXULMT6fwxnEXxXXj4JhfQVfFLeWjxCBLlR +UayPd2/lE1OjmzX6d1kwhj7VHQVg26GvJK8wChN+js6LIlTaZ0dI+rDWRL6xIkHELbCrBiyKMFHp +mf0vgiFHMsmO48urRK1ZWCMq6ajnKXpTXyHvsaYwWxT0jJ0u7inoWqIDh+aZAfHK4Szulp1d6SZP +POtJ+wlinO2ss2I8EVg+XDde5q7QkeCrQhztfOV08BiUbYN/OCIkWaksFmhmUM/V1JL5QAKdkQwp +Du/9rs64rl4t8p7a6RtNR19jOLnZHhv21Rnm1uEc1HPPGMcVSFKM8bYpJEoGPbPTNxguiQdZbjQ7 +OodsPMuV5Pj/mquJgM4TPlO5peguAc1dAj6/8FshG45BV+Jm+YorQdiXa9PMz5alG3DNHlN1eXAu +DcBqSwyY4uwPZIcpzlBg5nMEAVzn5n1BzrJpUyftB4kGFUZ3CUX5t62vwrkiDy9Krck7kmbMWFkK +7A6O6v6qSVzNjjKkVUG30kcTOCQ+JB+Vi22HWgvlfW62oz6YgBqPWhyv5C+0ikAZOLcv7as/YA8Q +j7qPM50jfBbZdzXemnRDtw7nKrzzC8VefPku4YjQjno/j3nPIXVGESC+q5EaIQWeCAJSjgJgLYSg +1XK+tn7HCN7h1zba+EVvy4viYs/4pGWDUUb731LVK/l7fbrn4//Q+niz0ezzR+ySZ0/7MmrsmjgY +p08BreoiIkktBRyesQrHOIjtGU0pYULwCSWrZ6KQgWOpATscnp4VegAPYvF6379UGgHj8pwJLuQO +01cVWqJn+xUi564IalIO14kMrLmzLuLXlTeql22bak6rvojx9wUPW5GdC2XWeOx5znnLjLbAHe1j +eyJDdCeBPkQ2DpYPUwJrLodtG+dLmVBbRQLIklEuW64YOuv/v0E1Rk02DAvQECC1RbrvPltT18aJ +eU15jyqY6TMI2F/h9vwVaEHmU/EfDEPaPv0D9zNPDh4GijJanAZMcs87ogJ+DqsYbuReXmMMKMqA +itYkG+XtuUQ0v6q13JDqcGKURTYS7cCLXt/DOSEBpynmMT+g8+7Jhz3o3WmPwPpszDSsPACEcxUz +8221Z3ts43Jz5W+4FQOFvYzRhN2IGEbwCCLCIn4Wdg2Z7S+lat0wctuu/3ZX1ovF/cLo7W9WTwKD +nZ5rMDe22qifwPgDnbYnsWbQwTGcWkRiD4X/z85Efj9gD4pwB+rmd5kP0j1UGxSj1tSAea6AkNIx +bfMoVGVoYN084DIFSEqgTZruFKp1ZJCRqJ3UF+1zGL46rv7rCwROV/mk8SbbrG3QsgR4g08HWO/F +sEZIJsnzYon0GJ+950A7ZC6pbqM1bTN6F71DgB4Jrke63GW1HFN+nly6XV3AXsbbUOOeQVeHYQWu +zApmW5QZwYHrEqSLsTN7CUIQA4clA7p4VkdLIjcNoYnrk886bFFP+7fV7nl6t9U6VQ/FrrDs31W0 +l/BYTzNJZywqVyRm/ga5zlcnUNcIEY9k5kkq++3F3+hyc2SWhDOMFR4ls9yhJQfYVOqJy1jkjS49 +Q7L1FWZg6FQyHvZa1/T2UoQuYX+OCvRq6MlyRg8dEb3GhNYzvVRU9TdOPDrY9l6JCYy7afgbr6cD +doFrqswsaX/TeKwmc27f0/ilwYX6Ya4KH4+3c3WHl1DoUGFTG/KZOYprOUpVaK9FuVink68MFP9W +Vk/s1sIGZFfSCHFNebFhyfhgSWdb1LaIIAOn5/BVPKokI6XKplXGHWwMc6LbhognNi/1gWJ9GtAH +WGNGAMABEBlJslIAtTf3ZTcIFIOtI2B1kI8w4Mg1eNQh3s4Tbx26dG8w1gOXZgNTRa2dFIQIqh0Z +u7dUe/EqUaAIOyArrd+H8/xI0UQmK6gHY81e3QVFiyxbfiMYbVBc0ppa9C1LXA7LC46eEvcI/Bgk +4h3VnmBOFM0hJS03uzTjGoKE5ucjihdkpd9lHezh1PftmBv1x5R1+PUTx6qr2onV2xB0qFvXMP+9 +vmIKaYX514pdd5jl6CD51aXGweVLubcUK+kQEn0mzdtfw1APkabQXMRvGX+rWBrsDGYIHsGEf/EQ +HPWruXtcONHrGaJpnXzknUbnd6HoBRgyTUqdMvfgTX6fL6ul/WYwfGsS4tyC8t0PRcsxi3UJZlKP +XoyjsiiGA9kaMgCsCnE9cIqXBy1sBCDMx9yt4fnl/sP7w6wuJIYe8OFfMmkdYlp/7fF5RcsY+tbM +sBMTOJ1PRz31Le+8Qv7bQCFCC0dIGXHbE2/DxqRnu8nUM4m/5Xv/LS5g4rU9Vx1nZYCtCnzzthNm +1/czC8SjHdXYiZ9/Tdq+pkD8Wv27H9+Fyy/cdKKavXvi9Xw7c1a6Qe9CQZYFqh3O3Z+tMGa/V4dr +r7iVb9y24koSROvI95rZMWBCNvWysG1WZNCbKDbl2XzJEUAiS2Cv/IRILIg3cMSuhmZVjE9lS2Gt +iTU/Ju+pLXPPdea5tl0JRWKwSB+CaBUKuVRTM/Lrf95h20Wq3ui4CtaVonVlatdjfrOnYP86jYAC +5HrmDu4h1gL8gdq6HRTU6L1gvirQky4pjZY45hFji0xdUHtqSyWeLm6f8TN9+R8T0sCstg5j7n4u +anvbcNXFFD6V6rDsO3dlk1qN5TtytEQ5aCkAv85ogUjXX26XRpCMF58x6s0jTyyF7DLZZPou1m4S +PEXcj5SdOR3eMVFzMCB89z990zBEML4IMQ9alr8IrnKjj8EQfkfKdcNt6vNW7cQVXPuiX01qtpPs +Nmm4diTEqjNo+wVelQtBaFu9eGUZdIHs/NzFD+jGe+JX6BB7KdZl/Kjx5EafFRgWdy7N1yBLog4y +g9p2eusVJjO536pwFDe1pTsjYYX74ucchbq9pBpsaULWqJbDwODk400XsPl12WQV6R2WbEyGBlhN +5VtSTOdwrkaTdMwm3yDn3FuIjx7CPA1mOC35Rsov3zX7SkKEDwIOQOzLOwGyq3reRvnglnJiDDQ+ +YWtu0ij5nNYWdvFdNU30MtQv3UqkYa3FYyUUTpdOAVVZG8GBZSQ6B9ibXZr2IdrfUpipilNUvCjn +mlRrMrMxGW9i/TmVgvXjjX9szdchPtwH6A+8kObQNBP8h9vlgAgq0nb7jhKsEp02k028ZBFZ97Vl +zqOw992nkS6qWhnEcSp17/WCS3E1Bh1fDU8MRWx3La+GylaNHEXLYKk70Dk+OxF49rYr4NesAOYt +8LelbX/fn1rH3oVPz7FIoxg7LyGUw0sreutC9WyYcLtNQunsLd7UdkwmSi9m1J1oqTB1d6y2eTGr +hDOP8xTq24epVeQ/m+uTYetYQko1facMUtcTiPCYqK2XFkXdZvAH+UH79CgdYjrl/AaeiGbkfiy4 +sSLLLVglNET2Y70XgyfaIW21Nw7SOCNgIwtJ+PMge04qxkbEck8RPUR8hW7Uqw2dmh1vNe0Syhir +1lris1PIMWeFZjelEXsRZwNjOtVjexhp2hghfTqNEvggjw1GI+bAAsTpeSG0xryCaNhRy98Ultld +vvnaRdWVD3CDwu/765daXNd6jLqqwNZeMkS+SDjbLqFS0JW/738O5hAhtUpjrK+qZkY0eS534sHn +QdejGyc+w/0n2ROVwbyOguqddCMo0Rmd4a9mUz72Z3js/gHIfrcRZH4NBBSmpcvirGWYUCgrcfop +UxEmE+Ae9DOBUwLAGGIf6vofy0q5rVC31PawuvRNu/FNQ7qwqpQn+5HArusk+amF9MnbjXA/YMCx +/Tz7H2aa3tr8f9AMDp+1Q2T2/iH/hdOcRwZDkN5BdSSoLMemz0SMMTNsD0FmQEc7H7PXmSEB06uh +WM569Rm2oU4HB/vE6Td/zP9yxDsA0dK6/2enc1CRabbEy5/k28608OznKC2Xrjiauyze7gj0Zhwb +ykdRdNuvBrXI87glmFCEc58ty4u0moMhSsHs9G18/0vGOrWsQe4ZE2dsvLqcx8rjulncsmz0cmez +28iCC1T7ZapZvTrBW8QbiXWmuRnAEjcY/3lUWjSoGPb9EKqX0Sqio8sXGg7uafNRjAyngzCqoBB4 +nrHc6dv8F3kCxsdQINnkG+4kE5bx+Ek+5wZZcA34UZ5RDog0mwzbZ0uN+kHQLLmQIVaq3zOPDXkY +sLMYRexVAKSrEihmab1RDCA5PWAeny7sPjQTWiFdcGqMNJ3DboKAqXTSqcM9ADAuzqjGNvc6ZBDn +0++3JQGC0hwdoShEQ8YkAPEsMZCPSD88vc7Xl7wbbosndMvxZ9+ehj9gur1I+iwJA1df6E1dJoek +h43djGUTDC+utgEfbTXiUrP1SKguDEVu1dsIkEvzEvqzNmM1SH5oxb1WpKVQC3jZxI9pR2+KBhlp +TmgVSmJCRwPjXoEJ74JF40YSa/fXwrxX42qUZZZqMsMIIU7TK2F/Cojd9kLSw26IiTc24mnhGbhz +j64AqlGjHPyfs2ml31dsSwnh6zeQ1TECSeIonCOyu8ULcmJGn7baJzV1eJzVl3hXQ7YGsaXStThV +AbSYF35gLYHQ5molByLSCcAests31tgr6egvwCDIAoVg1MvHDc22bsFne6NtvmQjlDFh7XKC4CL7 +lOhojZTB6HszuX6zn/eoASDZknVPVHP48Zy1Dwv5DpRUThnHqZbJC3u5BlE5TQDoFqwWVMBRFBoZ +F62CJ0R1aUgn9EaQ1A+YW34IYyt8BjG6QdftqkV+ZqButvEOZJZFFUf64ZLhyo78DJOcHFChyzc5 +ImNfE66DINrsf9f5TVdPS3yK+0LuzedUTOHaf8rHCc75fcebRRNur0/e+Ig2a2wDzxOZrgihW2Zp +r4zNd2DOkHelvg62ikXPc2ihPDWPtdV9flM86IS+ulgsn/kaqM4aoZhVXa4u3aMTstuFGrkTssyC +/blCdz/3xoaNdVaPeeBs207qS0DKq/LeJs3ZNSg5AiBi20wIAoRWly3HlbEWqSBdUAfkgUGrtyFK +PVyXfct/AWnZ+RgNTglJnq++yl9I4JkJ8KOXBkEyisDC+AE4uMctmbAu5hAM1N2iACEiDHotYkjs +Ug7YEEZr5ehFX05Spw8vMBCCRjhd4jy8J1QIgAulLV7EyxTXFdSKOrYlz48zooDIR8V1ZYdiGUEA +H38S9Pq6EyEqvy9xZocJgYlZU90JrMqlSXBsveIzcbPnTAp6SXyl5e6tWoVM8porW3WD64wgfh6G +KRvuflhzFISCv1wStgKXb7AvRqQUUWFakKkGfpfIaCQyx4pYva5oRgeeA/FJhfem6/HvV0e25827 +04RihXCdRN0m6JDXRwVJCuZbB6DROT/9E3UpfrGkWPhIE07wiwNH+oP+bX4d3j8oDtY66TvRImEB +4WfXTOxq/VvH5gVbvOCi/Hf9jww2RRD7HxsgaIL98oXIHaJtMaVLTms6p5VDjHAMTb0KBXnvRWRV +6jl9TblHPug6TWL+UqZEdAn8Sh2BfMaEdCojgMjOM0uu+dk1RnJmzKgxYfCba47sTtzLt9VdDwoK +xjZeGPKXD+DhxJPIF8iZ8OFBnvXZ+q1qF2q22Mkh/K2zxd2zIeO4kxWSaqvsZA4V9GLgHolGigl1 +FPUjrAxr42hQoB24A+199VQcoXE1Q/zI57jf/oEIfgqYUjWxN2DFcKo3KN8AZFcNo/gXI2bo47QU +7K2xTIFrD011IQCIbx3AbF0OomCoYQDprCDLZevUoBILO/SkaJJ0BqRVBcHrvKUlkP3FcX0sY62G ++XLD5mptbuj+AQzxVTJHyfPX1kuOLBGRsXLeYt/Ns97xi3Qrh4TOITF2hYpt/Cd/TcOmnxVmzTIo +DEtHYrLwbNqdbTsKWa1URJv8CA6GmMcg3Ve9XOQAXvXhYOhxPxcEFq4dJN61QYJhGlp1PullNlBC +JOfI/MdI92U+NpkwQACTSHzCRU634tuARH0DXChlPm6erCpEWw+8444bm8KEJQLySXn53s3bQxgq +LnfvgxmfPlp4U4+kperxYvqGIqCwnmOc+HaZqvyee1GNPvkuck6qeZmSYyNaUV5shrSeHQBZDff5 +vbgHR8bUXh5uvOrjbtddJQySeJSMQHkClm/haPR05v8glkl85LRh+Qv5bKocmhUi/s5grDRtuwOm +vz5oQD1FLDJWwrJh1Yy5VwNHxIZVLFgT1i6WwGW9e/SiCntu+eRR6XjJG6cE6MP63AK1eoH+kntN +4KWcvUj47BTvJ5zJzXCQUPnjNVWL0pJDlpQPBYR+MLL9tBDsKqo4BGnT5pYcvHcBXLBIIslD7rMn +3Y+fEuSH/ebY9nmh1loU4/SiD3R+CeRzLu5tZD9BPVd1jaBdLNzjC98DgkN4kcjTl27WB/zwV+NO +KRMyfXPol2i2QpbOd7+P8pD2etdaQ/w2t0Qf/pCMXNqMiYlvR/EMhxLI10+PJtf+pBaYqW9e9gLA +3SefCs8dL120NcUU83o8QFxOmPbyPUH2bTMXr1Gpam08a/wARkcXqdqui58Ecb0Z1QBaDxeXzu2D +rIQzDAxGb1FQCnr+KAYuxeSkV8J0/YAXMvTf4ECKVZ2fRMJ/11k8I3X2BmVGqvVR5KoSYYLy69eV +wsRSol8os+F/yRXn1W8Ujvz5ZCUKtwYotMIfKXUglC+yUseQ84siml9U5Eee34d8mSOoF3dX3fcd +xJRwaqkt8MU9BFoTCkhezUajloZUf10RP0CiwDMPbgcJkQi+EXnHqS/WqvSr60Nt7pyaS21lmkAL +P+2LN1bn5KVS1q7n4lNmCSxnTQjXSYyGrA+IJBZ5rXS2fwUvjdh2Le/Q1sI5SG9S645GtIlVxT9h +iHPNX/Inb9K6LIypLh6jsYsjFq5JQNSz40pw4OJVtBp856PJdJ8jEAzf1/GdomZTcuSB/xQPBnQ5 +YLTtLRiBfmCCIgVw+rD3UL5oyPjNbu1OMem4lTJr7CAVtwxv38AV/rqEPuOg2Ka94QmIcgfpdYrS +GQ0098HbPfyAkNlEaEUmRJ9nCAIytqnaLNzW34gR0db2OobHXjD1H3WgY91udgYgKKx9uq4FiWhE +MveNmXCAsEAcHTMnfOaK/EAsJn6s6Li3X6ekeviN1BQvINKsVTNU8CYdDLL239Jag8FYyR9Cu9oP +5uR1LTU4rZZ6yQubDq18Gw/rChWcX0apbPTfIV0lfEqBJJ3/YKDmdH0xBmItCloMWfcuBHC7MvkM +1cecfer/jaSewpXS/aBq0yM0nbEFi1bgPsoMn4l8nvYg7GnMNycNhKqWn2Y+EBrvvCJLd+bCycIj +3X1OEe4bZjeooNBJlx7KyU0Agbr8LkkVeeV7PDjHK5jgs6IVCzUTPY9WOfMZIwe26ms1fy/WQb7b +3xPpW5vHwrFRMOVC1jgWNzfHZTAFScIFkM2Bm9/F00PpR6HKLP9RvSJ7a6sGXt+R9FB/LqYhDZnd +1HtAUlaLc/nYsBtU7ARal+8V9JUGuMeOGm2LoG6L5wtHxFy8acRwGGZb9LD535QlBZHS8kJA8ipB +uA0+cWOSiHqnsod2vWg/kaIT7UAVnte3cwPokP46VlCgTEm07MLLLP55vOYLiBIx81nONr9ADdL1 +aeID+i2EJn/NXwYr8gcxIMo/R7HUZDR642cMrPoqcgB1Y/fWxtIQLhNT0lmSHHomId36f2l0OGi8 +7d1iincRG3sJr/yy2007DVyC3duQ1EXUGhcQMTJwWSYLZlMXxjFwCAf2QwqldstTVNEuNsppptR7 +UQ5phh4U24fwrQa/SLx9qCl/1KnlQ5Re4LG17ARh0WvoAER8qxBMQ6gfiS9Q2c01ONzRXzYxvjru +Rd+OR22hC2xxJJlq9oOmWIY6qMxpHnTbea+Aj1NFhQauFrnDOjsdljhDHy3GkYizhg7xnDwd/+A8 +1R97DvEQWmxaaeUU0vUcnGtqzswCXumIEFi+utS/4wFUvyjzyJzXmLMt6CMguiU6mdNqOsGtQLAf +DrJjYgNQYwew+x/9rC1d7MG5WzYV8Ihznb3YNt3TU7HPlwnG5aWGFbtNU2FKD/HGuJVNh1580USW +hr/1Atytmk/7Fx00MK5O2Xe5vTLrd1aLITtWO3qBcc1ku22wfZ3Ok64br+dp0JK7CLVJgtojRwfp +NupA0WnGH/LWUwCN+EWFKh6NrvWP50i2imBFtZWQF4Du2pn98zbIm2qMHW7B7MdfHuVab0mZ6Qx0 +AtUY0HVg6WaJ75wnWwmvjxLO5wYg9WAp1TcbosyRpH1EiLi7hoQnyAkfvR2hq3hVnBzBaE3FjfWm +zUFLxt3izycccRWgLACSvqIGHIjxJ0MS/pKkWnVYKu8mRwXpwOQULIkLe+YLK6D0wErlTZ/l3Xyq +2RJW5xgoXUYFoUlL2dVB5cbyYaTUHgsXAxJ2XNoZqTr33skNnd1ulQ2JbK81zn8/XPL1JgCyO596 +caXKfvo3b7JeI7dpcFfV9B/qg4GHcBtUo8v70HgVBdWsFrEyvb856zolxEICwE68urWbPHOXnCTe +lYPnWKsN0sGaKfeoo3amdWpLtR9S4oCGfuDbFo2YrZe4nv98x1Zh6spFs/i655X+8D0SfaXIhv8R +ffV/20KcsOjYSz3iPbvsQc5OXXPHfYSP83a8BhqRJu4M+L9w/fQfWvyaOmw0BSUPoml9dtvHBDWM +xtrcnrPyxkaekDXpU/5/dM+a46R7hgeCKYJZCDQnpfxPzkCIk50+pFa2hkCuOsVQdYZfpUvQkE8D +m4WevoAvyQqQwU8fpJ9h6PR8YqnkbdEthnlMAZD4NR6HLaT/MVzKlJ6dzEmJOMHsCVcv7kUBUp3e +v1Dt/CAycug0/9LEKigqDCgkfkWnHUv6WhSwalCBD1eps5pN3MgQjO1aVMrxLf211HTPCTxJvRjP +Jd+xsiwnUxKBBsk6jhz/E3pTg5f04rT8h09IIE6uzF1H3wj3dMUw4YtUyrfVpR5jYZs2pwugKDRp +OEdFgXlr1KhcVk2tS+oz69kItr27BnZVDkxsAlwMqUGMOZE6/5+8ybPMkFoBXt1gOMhchROZ2v3+ +K66/7kmFanX/hByNVVzi1EYnbZeIAGfjfquk4qXC/YVxGwyynMAcsqXf/pd8kgQjScfkM5MHmZzz +L3azHW8gSdHx9dXiwq2XWGBryCMqZOLPWI84t6jYSUlrOVuL+X0r4zHQarQvahnJK+1PRBZd2HKl +duSdmnazRad4KpJoU3j3oZRig26vgS9T/D9w+m1zgwGWRW77ldS6yiMRT4TROGnzZ1HzL5UAJvuX +i3JsmDntR4CueqbjJYFY/aGBf/cLhdDxoDOPFGo4hbIYH9BKx2HC6nJqUVJ01gQgRSvTuxKQS6SG +nIsNomUaWFJXmNpQu4fnjWe5HWVVKcPaVPUvmsAePWNdKiV0Jzad1GbG9b5+276ebNyu5+G4M+71 +8cSqEJyVNNelZhvE5AkrY8MqA2OwxtzpTLx9xvx1yo8gX1D+qgieytMGzVfQ8M8fzWPzJUAPNZdm +pkYZPdf7+oEkgBtdB2bhDO3L4zSGhEYcfd2v98/JN51oyuirBTQhLhdOzZvUyIoWvnSnJ+UKHVkw +fFySpqhIRDOILOgoPHgU8t5vTR5v1lB+iWXkAIQikailVc8SnLJx0CKweCrfDPQ/kHolidqOUEfS +el69dXArX4VH3mtjTDN1BsBBzNf5qosNkac1ErhK0pLP5XPLIptLn1yuprG/D0/IUNtRsKnsr0LN ++k2kkDizg+Rg8tav6DoqEIo9L2XpReb3GKH4cF7/VovSLkXtgX272szQtccPR0P98taKSsKZGd7Q +67sMpwRG22AkPIGeNM2mW49zy8M25PdAQo3tQaCWEsgJ04SX/cJ3bDK3/HggwBysPT+MmrUtc72A +mqZ74zYSokqKo4M+0vcyeHJMT2iwOyRmkR8cEqgnQHtNVt6P5absDNI7toNxsoUUGcLwhgtQ8KTa +9ab49KCrWogGyJCJP84VjdG5IAaIvDMvF1YA9xMQFdATW8b4I5qM5xT2FohrlaY4OICmSXXRxruw +9AusRmMmWFj0UCXZOAeQOWbyrqvLWLSTuGBGIm41RtG7j1f90AEQuM6hMV8XWqdmSYfT305ryH6o +OPY425tu1Tzk0yKvjYkV+sSccbsyuNf+W6AOZQ/bE7ZmFIgWxAkWjeGALCtPr5Criltpy2HcnfR9 +lhjCporOvqmvTh65sO9VM2NtvPuH364iq7IipQUTvuoc8SK1jww46tIQ1tuYnUClCXSsvhITVblL +nD7cN+vdHtGFydPbA83TMXxN1b2DpwD4dZgQ3ZIr2eeVpj8IcHV5wxv2kVCTqhLpXquEhHpRBZWL +jJUUBM44gOWYWRWXZgeNf2odIIjmy+dtpSvww7Ndciu27Eoo8Sh/INi6/qdz4H49+kOUDgoYcXJR +eQ7+QgELtHMu55MJGTaRcdED3B7Sd3fryszfxLRDcrmsZLPbx7hTWNZKJXuKkh8HuwuszoKBprQA +hcwNRpmZ4bJxEZy21u0DCfZAIu9jTxd5oOvLeHTzJx0AL6Nni3z+LlS8LtZys90I9h7d4UkHKAO0 +Y/l8sGLuGkfSq+oPFaJqQND+J0lgPat5ITEGyXsXtsbLRMgcN3nVJZfstLRf2VAOCyxTRY+51G+i +iLU5utTXQtN85+ABMflyM6fymZhzfTQziVIelkJZTMyFUv1Z86OMWuMzLQjHhE0R1pQLrOUJ7BfJ +CU+hUBnelo+a396/ZpOMAsbvhqEb1qhRJBCTe0D4QnYGSR179gmqA94nRn1nRnBl54LdmPe8mxSW +e1eCCGFLLBHdOtnH3cvtfJAPlpn+b8BwsHHqjEYMAzveJkLX/3sJnVQoASasBzvG6Ed991iNmFkN +735KJgG+1Llx2v4fX4HkxwnlfGDsWmFGREDBXHZlwBwihBPt8CpEu6CfAt0lwpTgn4QOftwDbuPC +m6GctMNSmrQt49JzQ3HTcajy7ZNjHYvXCgxFfQvN3mXoR3eLW59TfH6EZ+SUvySFpuqgRkKtIDqp +tuUWkjSd+a6F2AcbPHfPCm8MZ28n3eG7jbdyPJ+QcXtGOvAgZRPGax/ZoX3dtODCq/42fbH73ER1 +umCAiPa27JFsAD5gWw0EqYGrSSI2qj1dIN5L3k8UqipQNCMtNktNgOQXn5r4xnoCOmfLLhUaahk3 +Vd+7bwtQon1IIp09LqFqtzCYVr4WRvjvhRz3kw6JDThtNA1vbylvVQ4IhXEzGJBZfZegyygS6bF7 +H1EOuqdIzgAAf4RN4+8TroosOXyrnaHSD/NejrRypjEsL2b67fJndBvAx5bMW6N6dhbdjlMXPQA6 +npnl1s7YZu/2UN3qXQu/Cp+DA1F9rVp3MBoBHgiM/kYuOLnCIdopRf1Uw3vvwIPMHHezyZYwdZG9 ++deXemdJEguVTGmU+Gi6yg2lPn414wKCYJTY4jigoxQ0beJilVWkIXh9bE4e6f2WVMnqcOpAEbJR +g1QFma4YiO8V+GHCOeJSPjF6U4TEUovDln7zCMyfG94rdauzn96R7ocMZezOto81ydwrlsG4vQXV +fwWxPUY8JeXIdxk9GvpKHf9hhCIfIHoRcgMAMb3a585XvCn8Z2rCxPp1ElPc5Jwu/GnIJfu2T7qC +AXEDomOaf8iZBbJvw2Sg7ttxzKTWvrI8yvQ16xffuwVCdFU3w4vPrBpG4LG9IA4aE8nuUuvravsQ +cM+0qdgC+jFhfR2D88MbDZiuoP3xNDPccMpBHDFCldSQmWyJIu3wDtAJ/I/6uKlCV/Rs6iJcq4Hy +HhuIw/187eHRa24VoPaXvPvPUo4BzaruzNAukQQ+tfifDdUtW2VzvdjXv8EwdVGkwaQnftl3qsS7 +YN2yGMh0I4zTn3G4E2oSLhpdmHv4RE2te1MbeDHwAPIdCH/iWVzkNSKvA7pHA1eoDTRSd/JYophe +foqFo8dN4/wcI/aigdRH9yAI2KzDxIy6xbHRBVgBBpKUZtsWUwrxAqloVRDIa5APWFhBbDTMOC/Y +SFYyC051H0DQiaQRPR6VG1lJlGaZb4ZukohokZ/ZNBo7dXYil8Wt4BT+NOBOuMV3F08pjBghnN7k +hwe2zk01qk55wxLSopblLrByyo6FnDT4v2+jHHSZWOst1QfuHOcqE/b2XCgUCWtGBCsX3kFOfH+U +D385iPCuOcAwpx7uY7WvaAGJDLNVHEm59c8kNrifglQLEV6WA3AUIQZ/4LlsBTEFIqOSz/rHXVEy +qcBWvUvtWh/UKILrHOedME3lwOqpZwRJLYGCAgqgQYchCkYzlpW1cIPmRkOdCNpQDdaeTtEG7Zhf +Db7XRoZlrSWSWxq7kA9FglQIcnJCQfN36SkiROhf66NvpAIqD08TenDfS0Ad8GgZykJ+RIj070FV +GdDBaayI7FfxnMfRkMSidtLRd34gtoIJY8IIra9IDUUx58Pquh1LCP7nfQx6h/bqPFP3NxNUjKUi +Un8+5zkgUtEapiBkt8u9DUOI10V+xBVbGnOEvnK+UitLHeCMHUZKJRR+rZBiyL0xyaCtKyDVEmTZ +3epFkWjNP+26p5FR0GOQV6Ft8mnkj7bzbf36XIx8FFbPc8nrULtD003TT5iUSqG6uvMc70CwrZFu +R7QV7dE5EI2bM+lQXBnWHr+visEte/8kFl7fFLd72wtGSc0YBVamBSD6+HcAV5zDOxI8223h/O1u +pdr5um8X/uvhkgRKlJ5N11zXfFFPCOVAmLEuLiliEmXGD0WeQPqWkdWouv1eluPYqF7WcV0hqmbR +VYDrTYp4NAqPukp//eeV+M7573sLaK0bl9F+dX9kzufWImggX0q8NAkLNnHG5pBCVV7MzsYZqzye +8cnb5AutJznglgbOTlMkZIgw3qNRsKlt0G5Mr6Vxn0xHBWyiAlycwfl3jg2iDC3dV9imFymOunTr +iAn9csXq/yjZ4llzjyNichUWIC/zQzfKRmOrUaJrrH2wk45WI/3CnmlRgbE/FSeM1EOyGs//Z9Io +ddR5A1WUjlGTTRKfVAKTX3hkDWtd8rhDa6owxeT62/gluFfG3KtEru5s5wcQHZpzXbtbaItNlkvq +RV5TU/1ijJhN7faZ4wb/zR0PLFQZ9kaMyheI0CjvDbsCHFE8PfZ6v0BH613zkAaB/s7mz9jdy7G2 +3pHta7sUaMfk1kbMkUkiHo2smKQog559gAPG2+HA+BQB5fGgElvk/gpcgPR4camcd11k29KP4Gyn +6RJb3I6RP6R+Jx+JJYgL+kCmu7REIj9crD4Vc4WgJpurrOjg62hQKOyfxE/SOewrYAcvPp06OZ3N +ymZlywt3ycRT/HE7ZHxwPXVcd+JQ/poM9GYVM7g4wWITSlbCYyDlF6k8z3x/sDJPHFu0AqqICxR9 +es1o8KRywkj2LRSpQszH/uMgfebnAsIXWTFlfQL29npb8JrlraMyb2oZWicPnjoCnF2kLJEm7KM2 +P/uVUMqVHSgj8ziFSL62RIY1GSlXfEmHJzJ/Lj484Bqwh/VzIwERA7Qt7uofirfqNEr8T2d5Kl18 +XzYjGIuqNEkZ8V+HaAUJJINory5WYwRArttEPIM3Eq8IuFJXOQIHIOt54YEAwJY6pVQVJko/aKV9 +0kineDMhQQ53q+4xbmJ2uX7SgeGRv+/eoqg7OkXpLVkO+wKr7tc1bK7Z3tBJZef6qpeHkQ/sbmEc +cSKWqJSdOViX44R+02S9chscG2qJAmQARS5y1IOtIbPVnDIe/3vu1/yHvZMeQz2FD5ojFZEeXRSO +4KPs+LlFAMODbaM04KyrAxVcdpAVqFdIdmUjJhFJeGe4v4mQk1Bm5xZJZYziTwEOScvqCSrJPq4F +GHzUqwF2+xPBpZ45+O2kP+Bv1XPQTGi7Xt7Kkra0rlNgTwvadsA9H//1lYL5XXf4fcM51UZZl9jE +t2JjbAPPVIdA66UC+pghpNvRsIfkIJQRZW9ruvlc9XucwKbIbsnHifTbB06L7oXiHkP3TeSkQtrb +XspjQdQs+i21N1hf61fE9vNIdpy6U9KFLxg2TlCKN9vv6Jp7WwfMEmYhi4CDs/lPxkzpPIan1kyx +isIUeEj3WsKP7RDAZ0gGUhTAxTPD5qwA0AB4yQNhOxuGYt/3Fw0ucgdEVWzU5tt0VlPl5Yk3mmaF +cjr+nQdQqN25Kl4+8OTAZTwTGhVmlA9znB9Lr4Or7by66oHsPBSVeyg/xL6oI4W7vXX47GHuSkic +xL81cZ3Tc5YRDz8s9a+NTFDmchLSv10eGTP/i864gbYDysPq7XFZj1iMc24Iz2dM1UG6oA1/Yet7 +xWvl832fySdvhdC9d5m8+hNWG7ZTLjqELKUlhuTAiYD6xE6opH86m90K+SaWGBTZ7Xvs28zypIsa +0R0SpM1G/AxJ/UyJu/QIKawwnb+/SK4ilO3I+QEUiRCSCa4MGXtN2xIT2HEH2dzlcHZpF+JT4OR1 +qfFpp0gIGq0L+RlPgx1gj7IccRPsokMKOl/G90lJdgX+q42xf8sOEh1xrdZ0rVc3Nf6dMW/XDd6a +diwOQTO5NuUT6X/y3rvtP28EkSjnHIpqsVSUjQ5wnbX2FBmhd8dgK4wdLprb3ivv/omGf/9lY4Vp +q/gO+mYGawYt7ur+QL9Ck5iwdv0uTZCT02hAT9GcONih6y/N9Mylr5sBF+hWBUp5Z+UK4AGXpnL3 +X8RsVvcZR1s2Uxl/AT8hVjXxqTUWrJIcJVQ9M2iYfs7KP36BKKzh6hcBdXW0X+aWC4DdW75N88tX +y0Nghmr3ahe5UAkbSWa2T+uxWD4M8wBNDjtDF12UBgDWgBNhzkHtZWh4XppThsdgtyEeNVTnHeJi +UNg4Ok6FKWAvuKUBo6NoCZkzRtefZDrap3YaxpVKPiYcQO/aIffs6Rrm1okx7fIXm1nDgGhRTssl +9hlNzHixZX24YxC+pmgxnxGjP4UnKuI4h1cyviXGKiNHuU65/YVKvalyvVBJslvX+J/hk4qDBahE +MGm/2DvAEyvB8vHOnntznsQFT6oN0tZ59J/dtxVXKfQorhcvtrkItI3pMZQzcbUhJoqWZopRpems +scrOD9x8+0J22pE2oQ+4ZgNkfb9ItxQkJSFucI5zfMyZyQVZYaQGR1pE0d505FEZv+Cx5kq1/vgV +9d1h4l3WYQPWtNqxyTu7D097i1htmzVTUCJ2PoAZBI4oorDyBXBrs83DmE14XNrwEVUTxhvaov/B +kW5btTr0ONkJZcfXlOvOI+1axjT3X6HXSkhbunYzrRNGS5qud0hsytNgwgP8V6pkY4eLJuJHJ3Sv +bgWqI309LkKmRLhnlXjHStyk8Q6+vS/0GDCIM6r/Ki2VZ4gZg8m9IGT6u6cTEjH2ShZmNGQWtggC +IurfYYKUf9RaDsANof7TjU5v8Tcj9EAf6LInNxylbgAJGihz6Liy3PUsUaBOsW8Sj0xSz27SUbhY +eizzPqFCVhL6DuECXFIrKPMwuDOET9lAaRk+4NowcXL4FIcXdd7AUsQM9iRcLXb+sv2Zkpnd0Z3t +ffbdYYAFrFpBgG41YqRTEZv2pbH+G/SMWvLvZVg0bvXJy+FqEX0GeHS2YHN19E6LKowXrbmQRTX4 +XyLlzhVe2KO805zv88ZFkauDBh+3OwpAn4aWD0xSEuRq3aTQhVnYRx0wFXnfv0QAljzs0KuEoLpB +owjSLhzHsm4h0VXlHh1OsyOGSjJq4BPHZ56mMu6WtVuij6OkDzzusYSjHNdxSpQ4515GlfAoW0ht +DDCO9WOGEeW1D2VmP4R7A8fOKBjeO1aDzWPj7k96BwYXjMKf3FU9rSF13uE9mU8OOlYquSb7Um9s +oL4qsydyuOMZtzFdtv6o1j/JyJPfi9pHNrxEiGoy2Lmnxon7au94+hJgp6a/LEEXn7bWy7EKRpY1 +Kb5HXSgVqf4Q2NXqBuSifAj4zmTXvQm//BzH4Cn76h9ZfjaPWMHtSpA1hL8E6g1/KmaSZ1j/rnnl +YBhZTBFiEeGTr2iWJZgqmKvcFTpPJR71QTchhbFwGJWyeyjhfQXdJ0I2TdU2HEHr6icPoO91Zl6C +BIVcJ5qisRzU3jcU2pzGTw3DlHemEnZu/2UekdjTeI+kJvuWiLwf9DtcCECo7mDSH54JsTdBL9Lb +XKEWD+8YslMc9lQDOmUxArBKXyMKPR0lx0yWSBmV4pZ2ptMwl6GIix+DXCk1thBv579korNvoW7w +Uasr485/oYy2jSabxKgJ4y7AuphPw15wobllKZqd0oXCHj0KPf9zRdJcKqjkac8MVxX4Ffn8EmIX +0MjGUgcZ8OOIPbQRPw73J4paVI/Aq3bC2U5ywZCMM5FCbKgJhxbQHagYHn1raH8NYZP613HUcche +yI/elDYQ6fPC539QZYT9MdYvSQsJnWHm6uWwiGgMduI6qclzjE3ZvvB7Bt3qvWl06K+lgsWRRdtv +uVwQJm5U+Y1+nw6j17+5mfhhgifnoCHP3/2TlnDgtE2dlaTfF6cRlx/OaWXegsJXPFB0sfA+gsPj +Q5hxQeDWtg9gNeCynoRezp2hQ+u1QmjR1YLIy3MIVGtLs8I1QvMvAJIua7jhWC10yLFxBMTHqY1V +ihcf2GZawqNBbuJV+fPFUhf1CLH7SNb0sVJ2BPk6vUZPmhaTOQ1HFpKo1q3Dwlxl200jRHGc0FXQ +JtFK0ngfLzY9Krh+4mQcUlN9iSX2s3xprLX2XP1KiWjlKzB/6hFD9CF43u9u3qa1CvaoebsREYs7 +EF6oLXCrW8t4svqEXL8/y7TicCT2CSb6wLYF6tDE0UyTaucIis9pCGlQlhFBjRUXeRTntNY0g4hI +C0xx/trNoakksSrqgr2sULIDju2Q3l8LQPQkZpmHszDnwRdh5aW6FMZQfS95kCpkakXCwaw2oVe9 +pS44wtitaFVySwcllgNUaDkaycZR5ePlN5J+q0VRRguLGVDZbNVk0D04u1NEs1JUgjFDnVqh4am4 +IQWH7HkpUyZPZnvaxbWC0L1ErLGKYjt9eEguB1Fgmmop5Dr/x5yAdK8TzX/j7DeiicxbIGYRBSLn +eBZQrrlCL/uuh7L6WTA0yUz9bXaxyBw/mSQAPgITBfH9ytZH5B5bVB9PwtjivVE7Z6CJ9/N3Itol +DihzmK5inwhn5aJnBlv6QeH/uzPm62lPGUNn7oOCosRVZ9uJOPHjyLgJYon/92+778EjWrtyvz7K +jCAu1DFDFof4I8By13V8Pmo0sCRoQ4JjwMIg0gAOyjPeUe4xWZsRWgGmlko7TZv+b7J+g5xb8aqG +7VQaL8lbLRj6NAnR+2H/LlaRxYlw5jWZD37B8FSKGjYHrnC3t1BLWhvgmR+54Gijvs7IfcKvg2ZE +qA07ljGOi4qzCA5pDJuO3KhCaYadb7mWvAiD8Eg5ycaAhCo391zo2CIBeraRBwfkS5aanfBiTWAD +P9mjq8vjO/GLQtjmD4Qo17JcWu3GGLFgOaxKUOTmxPLTV7409wSZQnayccpF8FkhbNcVK7t8EQ+H +CxB2HaQSoH0ZdSfVOB77xmdnf/bKsjxYkY44tMdAxZWEaWpTESSIW0Wv7qzVQ+NUudPNQRI2lbxP +eiUL6fAZGMhKBdOZa8WX8+pFtEUjj6Svma0p2SdHkYPgbn8PrYr4o0vRRYbqdlWjP5T3clBUwLc0 +oi/gkC/O2q97LtIDouTXDA+7y0sqQmsSiTrAGya36QlDFE2oNnkMHLBaWNrOqQxYLB/p4/OMsn/8 +YY2y4fgGomxBxaJG7q1Ps5j/1zB7ADNMTQPVO/FOv3m8jOp8iRXw0CleP4SCeNmBXM6OLOrVL2/I +y+1pxc2Ir5IgPQTJfosXdD5SXZODdI/17OXfN9+hFfhVcRpMfpHkgMQPcRnnFlhOcjodbMr0KGSz ++kuAFDZDCrsaBvCFal/v0IpfRqFtirp8eUDSvWUY9JDrKKKbL0ChbdmQmXYzem5BPfLTy7XOO0Hi +ZHTOG0rSWN3y7wtwLZ3fXN035iKIWfN1HIHlwCH6FBHH4Z1yonpecKSubdBtni76nIL5EVd4EB9x +HMpqsuILU4c5r0CvzQ1zGE1fmKaBGDZu/tymZPdBk+AN3cYJgFrMxC5GDlp1DOmjukrdlvcTA0+S +Pbyq/VsRjsddAY4u9f6o3XgRo0HHTAjlzuge6YFasBgbBipuSMEwN4BI3VBeZTQWEgJZ9Jqb2qvE +0G4t8YyrlJhr5sZUA5yzpOBGTVVrZriP8AZ+byc7boIcj23aJcbMqoiEt+XL5+V3b7AJ16qPluaN +GZwrnZ4PQyfovAnvwD9rFbJBuGvTebYZL1Fv/31kwBCFkn8/vnBg92AJjbr4jj7hwN2/bYLlXxgG +z5NjgjRCS0bInaH1ynT7g9SsrnIzrK3/d3vp2/A8fJsm7gi41n2zjJwmexstn1bhnNpSyiPecAhf +GtDpYwV/lV+ugukRsnDtP1XVsV+IgV5+yJipAB+5VtCQrikAlsOm5edv2Paz/b8EisKx/EyOBfeH ++BpvMhJ51RRXrDTIX+z8qMCy2fzKtqZpvO5Pg4VYHw4h3+fH3wYGrwn57A9vk5//SwSVb2OnST9U +VAGVpjKupaoOdOF4ZxbonY2CEsOBBUNgH+Ycvkf0PxV+GbSaTMqAcr6u84Qd6YRpRK4JDacCcrDe +AoY5sWK+0vLk2IwxRj1SReL1tvFCb53xoEPvU4ln1CAiFJLawrmTW3PshN98DGeUg0gn8VoJu8yt +zbY5bPB/MHk9GdHc51YJZ3La4956JDq399jlVZTQslwRqh6xiRvNPINdYEw09mt6sd/TMeQKH1PH +WLpj+cYwUOHGCQO3G+u3lwFLZDSVuUQf02WoMzS8hyr664tJ7mbJ58bh7XhY8rZAAB92npq6K0IS +dVepOPqYGwKjzrUTO4qbc3agpQ24WbBbKGjWQNsVBRc7z8FQ1XXtADSvlnsQjlolzq03t1NHD8KS +2XTLtFp2D5JApuNSSwuZ8FE84qPtGYkSYeEFOInv/eirbdf6TPtiWIyB9UQEX3cMEny6QdKr/k/7 +4iCK4FSGqdDtfzqxo5sheSQ7Ts2OFMgrOtCtKyca2aTEiZF8kPMU6YBkosiQjmXoYQzqZR4vfBcM +TmxVToMXdYV6ns2rlfpVDVyo3BT4IuKO5bDw4xUfVN7u7pm8zA7RRvuixrC14AkGV/BnhSFb1dbM +Aopurn+RDJ7O1FCjDDA1lmnlRIW8YvTjRVqP38yKpQbSkhN78qXHR9LlDeBi0GH0HbIgLKqkS2eV +t1xjf7CvazpM4nl93OTpi3pLOJW2xkSVmuS4i0gKd1yjwYxfcjCrmjKcWOlAWEv8tKrbCfCVv/Tp +Ag08bTuwUV7SZGLI0fslUt9SG45PR+MKjWzTXHw0OIT4xpyn26nPZUHjXAcJh56INpCph1CU2sH9 +SL3z5+Hj2sMvJeUUb/q5YPkOTx0R5/CIy08HEhmm7m3t1y051n2tYZtNZr2pJQ86fNleLLqC6nek +GFjvlyUeR6ONMeBEfMpMPVUi++uVhPPetzh4NvBE0FgiizIeHjjB9xtGMDbEHnFoppAUQ+oo9SXz +qbO2INefIEiSJQ2VDR0+D7kH8+bbTyLb7PWtIZQl902qVzOWkxmklmje4EzmNxtDr+ZJcGzP1vzm +1h2pGOh/4bCeH/lcSNPubLi3q0Qy6g+r5uWKLYq3+v64SOowvtETwtNg+ceR4FqtwfZgND7FamTt +83URW1rl/v+SmhLFWC2nGaOQn94GeRV3Opob7N35Ag42zlf+La5MnetqmyqBlvYQO1cgktptbPuL +ntoY24zHrz8yM4fENVZCPeUllV04NvWBHEBJRbCtd3K+wf5cM99qU/uUhgUHdEZ0BDppxEduI178 +ARNgBGmXRN6v9V4nlTipxt63B0XB81w2WpMr/4F976dRngGQirp5fOkJPlQ7O4giFgzG9UBFUzmW +Yh8NZJodGXZIBToBBEryOjs91ShRCPAO3SC3pvOV8dIf6U/PawKRd2UduB2GmjCYhfH40y2qU7dE +M+wAWTVNfSnzeeofr9HR1nGjVUyqVpbKLA3QB6hILaZmv+LIzbSwxYXZQZllocqI2aMU2W3QS09d +tzNIvfKAgg21MP736WcSBHT8zNKmLy8QzdPkb7OfDaZNFDVR+djVdxcaefp8mA9IEk1+9YF9Ic5B +UDvMaHLXtPyg9MO2NeLA0NXU3ZZDk5dusLsLI+fDVMUrUO7IVJO0EfR6qqYkWsBtn3caCy1rnOdn +XE+idgt29/UV18ZT0Zhh93T0Z0L6yXCAUgaVQtuO0gN3wsTQPgVsnTOQVdCIkvin/cfiAPg80lzc ++qFOoO1zljf3ZdCnKPm/IL5kR4c8eMGQoryXmIWqtiKqZIswq6uvaExwXi/99GrvnuR8ZzUI6pQb +YCsOv8NLpETwfroQQ+4PIv3l38F9lc8wUgXG3HBSzCKsmwj3PYjeT2kokzxNWu+CbkXndRvG6u9U +H43NK1HXRFqUnkcR0SvxH0aIZAWMZvW2m/XU7lZws0y6L3XgwgbixMOejm4Bbx2FX4euiuYfOc3n +cK9oS3kXtaA2Py1fRijjO+Yb00FHCUV8cn0jrIH8nQOuvKltSGTuZWh2ByddgWpgmWLIlyer5rcQ +4HXlujeiaCYZqBuvjv3P6RjchSvLz/K1vNvHQXXVJKIV+Vff6Nn8ZafZf3UovxM0cQ3Pe0W7X9cp +2e8cHAuhZJaBoDys4w1t2j0rJwkedbbkMhHtGhr6E6xb8IaW6SQaa0koM4ZggB95X+JU3fpBKgHW +EzMDukvuDU3U++SZdhk3A8+XewmphKjImbtl29YGHwwl7fXIl0tGpIm1yr9ColCbIMfL24WnWgVY +DFxRNyQrhR/BhPXS8vhcGLUMDkD4eOB6vSbG/YBgsLxT1lXLOpJyuqWTFKElW11A4NWL1jJsWH0C +cnOLR7uvVSp17cd1+/B65dmgMNq07gF+5/+dgENy20ml3TTAF8eMlRIlmLHJt9ELj/45SIN35QsX +09PQaAzT8lI/9Dmibs+QxR2F9AJEzgBbkRj8nmuXC0d3aD5Bmc5gf4Y02XNyv42o2NDV6B/pPdGf +f1hYvj5P4NYISu4ZdgvqPzm6OFZsKg6poTWazIMiQKnEbBABU2bIuWt48cml7Ghe00FAATaYZH00 +EmdWuMOHUZt9+kf7/1eZTKsg43Ec7EWxu9A3nutAMhoTty//NS3q48JZZkkm5Ii732PEWXUBK8/N +rCK7ShMxq637vV01ysyLDLx/FtGjJfIwJhJZOfsq/923yKUWRX2QSwZTAAB1wMrmUIqsUwrzUgj3 +ScwiL88qg1r3wdokNiLgNUd0tjn/RZMafKsm+LZFg6mojbfcrOYhWF5s5DTMPtDOtXt6W9w/LVbY +U99/VDWvgW8if8tS5qJeJoad73dFo07kPYVPhvvWAmSdaKQD7i66RwNhmJbucDAZ1Utj4g4wsQTo +AUcRzquMHVGLQ9YI/o7DHZRyOYiaV4lsrwfjmDrKN860gEZhZ3Fo2JDUdB3ETKEJYmegLN3+ElpB +b3t2srLpckjHhg8sDEWavjQ0zIJZEDTgAUjg+IflIwKU01Ph4Jne4DwvqylyRBoji1FtyDTLw1wO +WLT9MilGIuP2EC51hl0IrZrvoz4THkJ84dAQm55iG4fde5QmIF7MOoP4H0ONO8y02Y9C2OUZeJbc +EidMrjnHtpw0Z1qU5P9U6Q0W/65MnFrTHj9pEHk0PL6a6yLVIn7HhQ6UWI0y42m8rATRulOYEhIW +rNqZlVNt5SU4oJ4UI9BBwjjJkaxttDI/GBk65GurTZ/Hn1BszrzjolEWhvU4IAsCMCVFDHwYHXmJ +pifpdgOK6iiwO/ZFP/nTH4NUdrOHXFZhYw9lgj6q5B7pPqkVdV/llLRg4P+5zEFC+fJdqM5eD4gr +n4RmNt7z3uOT5M3pAkTTrxsOroAECpVcJ8e3jeEWkx+cBeIeVlAonL9ftf7a030JAbTUco5WH3rD +HsgsI3KuuAUQukCZy+yO7r/3W81L3iJERO2ZtPgTc/sqSGjeBjuiDi0nOuM06K8ylkv/Abp2m9wA +32SKueavx1FVPieql2rkusTUr6aTp1hHJLn6pwpL5bvmxBh0eJUp0hcbfT7OUNY9IgP1CqkfcpZ4 +4BzX1jktvAaZWjWUIONvHXm47ySWWSs85zn3aukVxp8haL5Olc17sT7Ap8ZvRZw/yAV/rqI73ufd +Jrp39780RaYUIhf8HbRvrGHQKbMMTV5Sl50ePZTYZzJPLTAd7xTyomvzKV+RlLlDNdoJ56je2IIM +DydQ31KeZ6bcEnK6va6SCN4Xg5YNgHm9gNcnmjg4BX9KMVSv5sC7aGehxKMFSqa5Weiti6PRUTtN +bE8cdbvm7rncuH16zf7X0dE9li1w9l4rJqAUnrar1g9tgTvSXj8/Jj1g91/Dt1akkOIsAgf4Cchf +zaWj0DUE9eQqn3eyydYhxqdAy98IFZLLn55L+yMNmEz98G6thqy0q11lG3Zpja1M6c1Tr7t5Zu0K +pjyecVQNf/BALSUdA43nHrwsoccSTGtiylsra15HuNfWnOy35zTxc+h322doUHfuaXlWtd3vVR5s +3xUXRC/fIc9kYgafGW05vawd63R7YokoaKqlbhO0IYxmk17fc7XKVImlHdBWvop81IcB+hNBkm6+ +JOnOIWF6gUZtSA2Sac7fWt/eqXEMriHeQP0vTLNwSWIPdvw5XDVg457FnsG/o3qBtyaanNQokQZx +1pBzo9Zvchm2S7O7LeqjrSYa4E8DxiLANJY0QoCSRwb5GKOjl2zw8tKvpK+EhOd5kPxqmSu7WZK6 +CMiL0/jZd2BnUBbh7N7D+ZGyAJGdAaeDtOtaHxj8OgD0ZC8vFCq7fd9KAqOFbUvssJjTexYSX0GU +68LzNCpVK7r6kgA/SKqOncpBJrTNOOaog4WjmDBLA5FCnxeXM2VVBjLbwb/Hy6Vur8u9krTJfe/S +LaKmN4Ekm0VquWLno+Jslnl1pmq6B4LR/vhF8rbF7MpXPZtR0vblcMFsWa1M6I+iu0pGOVBJRtcL +6Wzy8D7lW1szsMU2K1xQ1j+7tPUAojY9RtM44daWBpZbrD9zLPDR5VhZyhPNuBSjiMdK7hJfwLOl +jm7/VRuQo8kzlWmZRdkvsXxrnDgFJrraq2yuaSkazLLBDwJzEHXXcYTSigm1yLa2tuATNuxwWXJc +ygQYOHmVQf9eIBZeiTK5imx2PV/jFRLFRZ35VPYk+UEoDM/GgzBchc3fJ5N2GD8GkGs0g+n4+ftx +lb4nd5GqDQOX3WR1bdn6o/pLiIXKQWeDdWlntB21HqWbGhaQk9oItOMoyUmarIU3Ly0ZUyj+Nbhz +bBwayuBVZMn3ddv/R/9pJXC3rCGdJZ749q465+cZGMMlmC5y39SsGK3dUYR6m1IfSzurSp0r6GKc +y4AhKqmFa2Bg/pYpeszz6L3pyUWhkfBNT+hQti3fpFRaRMZ0oUsm7WiM90texWdHRQwbUhn7g6CA +tT2csL/nRPHKjcGzZIZZBZwEvQXlshulOM0HLk9ZiQQfAH5+AKjKiXeptsnGfz/QL5oASVeKa/Kp +aEToTnOz0574z8h/QXYaWBbkDJqgwcAt9C7e0FMOAUeJBUfMrDa+RXK4n3WnVIyrsx/EFfFVrzl4 +mtDVdlGeXvrQFbjutSgzm3EFEYNS0RCe0aLAI6kjPphHwhJtBhs4I+lpPxbUrsc+Z8UTyeso5wRq +9pag8vvQwBfPu5d2o03ChKWAhuWCVThURtPqqURcoFNX8njXu3gyqDnRRoXYnYDvWBcxQOwspFOp +aPJahkGwO/kUJzF6fknXbs4IBfESwWPW+854UurmRuNnrOdyBxgKOF/YtlHM0VjYzdDcqcEXsM8D +S3r7jH4wNADlzsMnzi90ZiV3YCwr5DW8HCt0Kao1g/1yaCw1akFyBX6/9+sIWsSSQkNwRIS7v1Sl +1qnjgA655ltLEQUMfbqjkqj51vov9syG77IwvfrQsd05Wfopb1LzlYHMQk7uXKvIyinTwWxk5cit +u1X7IaPvNDccC6E48uJAgndNlqUYQ50eKWTMLae0URhEb0+yP34ilANE2LlWpq/q5NCD/Qkwa9w7 +RtcwsaWs9H9LJ0ejGnEsovg3n7vGPUraj82nthWulEbB+LMUNJfqyvvOENFgCso1GvKCSriZh4Mw +wX0DcGJsUh+UnhsggAKNoHLyTGjM1GLKY59lyPkTe1O3jnqB78y0ImOW2/zhl6953uE0sWDBBQ0z +iMv7EsR+Ohj5nLb4OvWG4uSa1x83PBeqI/qw5/FHifqZ6/MYxyyBejYIQGxWUJ1hKBADjT6OhSa3 +V0mRsRReNX0JWKxZY/mEu5PkCSWXhWabO6D6oTAEND2Rmpx7mSaVIomRK5i4Nx+0MLfk+IChnIyT +awhBxZK4029IwLXSznRAGARDxaW8/JfR27v4cjVGWVVLS4KKaI7lanms49u/BjdwwvMX7Itdo5OG +ZJ3/UpUZGVDt8mqkyNJEUvFYe8XnvVP7xhC83e+aH9rdtp7qTPXabL7A4pco/NoCCiRtgUXZHLBm +ePQ+m3/0eRLAnElEjAbh3IAfZx6m0aWMoYH/4vBQRcLY5coI1ccCNwcUUiUrUNCn78W885lTMKma +emEP3OCEvsF9wBiKxbiXk5xgnUG7C0iSHBuR3jPqT1E8i85AmaXPXu+7IpjUA/oL4RKCjy+wJnie +suvR1fkxKT9c5WDI04r4r3btKJXvvNqahrljxJAbK9/qP9eCxxWCQgzs74fl7TqMSe1ipshpus55 +kaiydpzVVP0kNMNWU9Et//8h0Q3cNctKuhWZC3uRSG7XJirg2a+tN2+h8Y4Y5m3QtOJETOtgDPV+ +g6H0StNG+luD7pFh3VLmoljvbvalBxcVdpFtCwUP7nO7rC7GsuiKWUY5tiWu+NSwTPJFdIkxGh3V +W+M2Ftr47myVHMY1/IIimQ8WqlOl3lT6qwRbhqUWy955F5VyIiKVCF5pxSd3WoNe1wuJoxCZt7qv +sYbQsSq1x11rQeQVGrPd8T46N66mo7sOcREb8VCX2zO08xiWTWaxErTDOrTCYT0Gq71G4sg0MFaL +YoTvk7YJ9Cg4Nxa5zFOSN636kJuRGSmRYhvy5J7BYyb07HW24otTVaRJZUijMGEwjFRqFiaLlgca +eeqASs1IUZp/5oscGS+pBOKlxuSDHdeITSfqWlh/70U4va9S1sdQgxjeEmXW/hoce0MtMby/qni9 +kN7CJrcEyRvf1OIy1R1RyCjBbUE/I6SPtJr00qLC0tOu6ma0zNIRlPjWBaqFa48fwjzUlgqUQpi9 +d1O2k/1dGAJIctDANyCxYOWptkqgWdNLWKQ9FyeFkqV2OwtvBo6YU2prTxYPSP2JMwvsbJ/RfZ1e +1MvHPd7L4IWc2jXfQvRgkEDkXAM6TtAVmZvDeAn/SXBzM/3g6el8wWPdVMi/eKq4gxjDl42hBYSo +DQ/5td2pDz+UbNRBnlJhAvGYn6NiZ3rthdZLEKE0p1W0FQFdkX7jOqyUwp24qbfO8OWUhWszz0ck +w9SiEoPoP6CT1L7SS4UOwR/9QOHVqVbah0yLvjNXs6DZo2MyZZPokYJPqY4heGtvABWEGoIiilO+ +3wwkbPYiiAIvxBG473vUtHzqpj7bmBg1A2qckasV/qcSa6KcEbiYvKOOCfxOcHLIVRVRrTwFXbS6 +sotJVTZXKVXxhlB5vDSIrXQwYI4FUBf+gRGseWdny7Wwwz9C4BU9UBuv6LfJPfX1uoR6LSCo/xMI +uGDvhUgGaTimZRRYE7D6txzuCkboSurBSWKnRmjCkSMydLpx90Vgc98J2cvVXIAJjjXvNEPzsOUE +qcLD8pGxPQQkatSt7vJQkBnEgPdv+gBQnwHQSCM6bcPwmBoB8Dp3ENvS1UxyEOtiZF97ASKCbUJP +D3A4JD8Tn7nFJ8pvFrl9ORovbCdnElWgR4/iA72IBICeUMVNKPmGeY6Ua5rfE3d/PR42AomEC8IT +0FRXU6s+CgUfGFYz/aXLiT8sU45Yq8tQoKobO+q83JkQ7Wohq7O0cGSPaHvPaJMfNcq5X5cE+F0g +KlpRUvPGpd7kip9yj97heYLDaJZzrVhsKL0FJjTydR9mU3SAv7yY5lhV/AU6DJWhr3QAtga1oITl +J/UcUF5rzztweoFmtEKhznVYR+BdtBeGD9SO+pXTZRqrwBiafMS5/JOKeENYzNGMai/husrYV2Ji +Ga/MRommrt3DrdQqJf75+yzdulB1qcYewG3OTpUnr2Me1eAlDhoMsDRgNRCWO7k7fcDOTzWA9f39 +IeRmKEpT7Pe+R+WTtC6TQHyzLkd2+0FlMkW9c1fp4FYY610fKjq/z0MG4vpedUBPOIdHWGCYvS0f +4D0BoJM8s2kTkxbkH2bmzumDW11cpiboltt3p/N1Rpj6JOKQpVtplkQdNdLkmzMJAjHnx1d90tgW +yZ8f37Yzb8lbHOn3CA7cFaxYz+3GeSDONHhxawJrmbm9MRsNjPhsN6/WS31oCWPPu+Gy1VuT64Dy +lDs/XF0VrCp9bm71ZEcbP2qupw9S4gsaT5HYaviEG0faomr4hlwxaoHmHVg+MggQHri5Dhb/nK6K +b7QSMriDehQzpNuHUcnxY8/gZCFr9TJS4Icuqy2m5LWirPvEuoN+gaiMlhRou3l+Y5EPZKBeJHCc +nsOVoXCmazHnrPh+geVtYDCtNyWcH2iaUMvhHSYV9vLYnqK4ZFhQ/5TN1Y1+xgA020Kt5lww93Td +b24fx6E5v8cLb9RNTI9uafebiGd2d3ZcAJwgILCHrEizfUDNbjJbeK/wOIkSwuGHb7m5eJUbsnup +HsvBbuUA7RlVT/ZIfVBC07+r8sZUzp3aPrL35O7WCIYvgbW+vh9QwsvhGY9prOofpa4s6kiSCF8i +OBPJedRLHIiKllTd0R1bwo9QQMqI1tpM7zMsXKvs7C/3eT1jhWkykywZyG0jli5CEhb3ief08j8u +QYnPnfdPMHf3ZRad6a5ZzSkn03z0yIFC6Dnfhlf0YxSgYUd1O/jepWIyzR2ZBX97IJs6mUPlGtz4 +CzLXwkGAByUkQKRk/bvJMcBfUB33KX2XD6ptHtBBAHQ06tPlCvxdMN/RRDixv5yiLxPIiJIdANsF +Z18mYjyQJaXFsW2VhV3PcROngpxItrBhxkm7aegzOkjYLOILvnJmTyA5xi1QhdgezSe8gMJ5ETPc +4G7zp1UQyAQ+0SoWn5yAToeKFe9Gin0upiRFwkFptppT5XByLcejxt7PRXiG+4zz+ppkUgXRSMGm +YA2gu4akgTkPIFld4QUYu67of7mZll2JxAbZziJwRz3xFzEAz+g2VeVHxr1TxsJdh30KaMtUSRJX +n5XYzcE5tHe1nUiLHsmJcX3L1dae7PLAwjt16nHiQ4VMzLkBLp7BmXDP633CiXBwKmFNQ/FSKo4K +iXAbEjhQKF+RrM9yyLRCGcWJiwCPezkVdCIEJ4xGc1QZbUfHqpmanoHG42GW3bd1u7wMct8Pqkht +p5oioOdLkEaeKvVS14MIeRJXdOjZMpZ6qQbxCwAWpYZm85FC/ICABiBOKDGxZ+XOAJofCx4bO7fn +Y/jfX2LraFJhttYBmq0i955giTyGunKzmBX1u8b+umhdhYLZEWWv3gpGzwg8hrgM3WnedqqHJSmR +8aTYREEta7Ayy1aEn1AtSaWhGoWGJ4BCt95JRpu7EiA9vAf2f4piyDlFxM0pzI7WYGBle+dVglUm +sZipIFi32QB7Fb3bs/+wgL3aEZrIN30K9ufjUb7Z9DCCN2DHAba2hlV4yWPQzEy14MZTj6cIxYjG +DsyH8gU1TeulTFUolQhfMQmMLLtUN+NYre8SlI+KduQ3+5682fJ+zwDUVeojY/sRdQfvvnyeKMDp +ioxE8oX0WOn8QRESZvyvj0tuAMrbiauylx5Y5+Avnwmy+CR7MbyUw93Be3gIkfThFYLzovDkPJNb +eTDqtu61Zb4rOcSzwQ1GxdEBKyakzX6TtKBiziAIgFFjZw+7GIIgWK+Kx+DZylX3rvUdfQ3ENAwB +7mSl7RSuqAex+FrvCekZsjerd1QK+gTzpE5hTQxwfByzV8FYKtFuwtePTXErie5u4EDVdM/QG4Rz +X50EuZTpBJ/1LzLt4ms9AbuwSztau/KBOBo7cnn4fvolAdHCxbpnaZe2GWd0w75fy/5yr64WGuxI +ndkp+FVpU3/LszA1gMlKKRSCiVS2kgep+4/fdAlEcB8k4SUWufT0VjuYdUSemngKini5sFpOrHUY ++pIBZb916ESh+M0yx3rFwWKhfmslsTwxbVq7KHVoHKO76GxgPqh/aMvAwrE5Jns76h5NHl2Of8nl +pcvbXLDLQDuPJ+wVAra32sL5afo8k8wtOKQZSuyu7GDOuxgWcbaHhLHeM1L62sIf9hII89KNdSvK +vIqtog1eB2JTjT6KZvnwrFNiVMGJj4/GJCxwCsYYhVH9jCNJwoYYuZW4DgHl72cY9G3/2UUK41SZ +kRdkgyFfh0azCltVdUdoi+Z2psBMtQos3blNzecM9tiARliQr0UqQTeWRlA83jIfrBOQFNKpCGfi +i+vDhZp5LOemKbumhhqAA9enALaZAS5+7oBblwzHTrH23wlnnRdgUCiJ882SrUYmi1eUH5+peSWJ +g+piQCNG2pUyD7LCQ0z1HVSxVeeCZNqQDCg82yzylCURBUSwrjwK2VEYbzzNMUX0ZyI3K51gNf8b +xtR/Tcc2gkbLUrDt0iUXGZY8jphvurm03l23Qsuu8L2YFWV1AAhXj6RmqEJIppHjorn36RybOSZ4 +HQqVUWeLrjUrfYUWlmOuizPnd4cqRdWmJVy39aRRqnkjClkSkrzzRjMJSqkFDWN/q75xvw3c69hB +ZTbibcnA0VcfKIZs0wv8JkD2ieHk0Zuvw10ogPvNnVKALAu3BjspKj5SDWJDGquL13nB/NVm/46A +2uMNbwIl/tgaSCyIqLcFiFrU+ciIAE4BORJZrdGvvrxuoZW7cFomzWHxAnDdSeCVKjt2cwBBbmjA +Csj/KE9xCwwmUSGk0ErDA/OWOnVNKJ7fKSLDA2DJye2oP/WP1NcBQohZiSI4BLIQ7r6GAdJMXjY8 +eK9UbJKFB5GlmWrGKXcyBgNNMiABt4w4HMVBHUkDWvpSWVpEfhCPP7bk9yu18EQVQrRXyJSIHFkb +HxVfZY9COfed7gWZoX5EQiLAgSohE0CcwaRzFRZ3qhXoXOxn/Poszg2mJy7ilEiGbpb/TjOQViiU +KGn3U0QBykk0f5r+Cg4bV24V4NQ3UnHlEe768+KbJqY+6J7D+K8JHClgioFxPbbK8GAifta1sSw5 +RuC5x1wNjUSOrHJfzADKBpfuDRQp5VNxcoRsVEHYnpJpzQJF9XqXTPs9ldMVdGmsp+0XhaTMi5oJ +a8mayn9E1/AxE03gkm1mnjVfpx3U2niQr3/8cN4BQIe+3menVslCsoLCzO01vl4M6sTRAzr08RZl +w7rPDwgUNVspNJ883rQSYoaAWyhSl3KHPUiaO8q+34Oi9eOiK4wma5VhmJ3c+r4FbSstUtyf0boI +42YOgrenvSWywrSurplZ+qXXpeSEVWQVzbOefX6vW02SbD+V2OlyEuzSehLnSS2hnMgIbJ+0K5iN +4mCnFJuik+3FOjzqOyVvSwUak4p/ZjzOW767qKbR77tQH/JOZ4xuq6O/axKbTI3Sipe/2JQLpHGk +dmxicjpWJf7QTzTzaK3e2Bk8FaNas857t6DkfqKTVaNfnVW90UfjFdpwSxxoNg7mwmlmt4phVaJZ +/6Z15uvFvQ0pJqYDLSGFd0WNby6Zobvf+NDv3AfL7ayElD58GBfgpO01nZMYGNsOL3gdsq9VS8A9 +ICAk6lmEugIu8kgJ8e+CBZh1gufcO0gPtebpq1sQJsr+LcnE9fu0Jr5FhNUPit1fAmONj9o9CJQ6 +Q8g3+K6v0E5Y2Og+3mF4TmdnVOJ+O4oa14VUlK/niWbfYW15R5ushbY4KqAn5/90J+9yDjwcVIPE +pD91tn7fQTope1nhwCjSK3frhW2blCG0CSJI5vjjRzBV0olRcAsrgtco1Kcqi2ppLIYQYxLofp2m +XYCFDMVlX775tDcxjUHtsRn0tBCoFYtyZBy/i/BVbF6E8m94KbHII1GJ/1Xr70MUsMzXpxQwHWqP +BTMizxL/pP/qF+4pF3eMDOEfcETrxaMw7OkTTd9VRijqJ6zSV/PnNHLd1Q/4V6G/Uded4ZWFDeZK +S8Q70WaVmve2omLDePmtzj0X2XRjiyXhLfKKPjMYf8Yp9maKZ1GiTuq7PGWxWmPP4ntw4xs6qZkj +kYQRmSMwQ+R1nkkeEvETsk8xbiymg0TCD0SKRW+mxj0Wx8+U8VmZ6SJWwYbbK7b18VeD2T482A/Z +YdsCd++bUM9GLT4b0qXtLzROyeR1eOEXyCWGCF8z1dmLG4VWGefroDw07fN9N4bsfRIwRJjWSN8U +dM1c0Zfg6ubaNxWREl6yvvcJz8dPeA0PRVsGxjrPk0MIv6WL4Y8UVmOpN5bi9LNeObon39gaxWdg +uaPULgfqSUHmKWDD65tIEG1kVEuHth5o5iZbKGaoHwtHnW8Y9UHIPjQpbzSqEJ3bA0GXKqEJUUn5 +aO4XYV1HTw/4DTO0KEcBKpGfBq1r2+582PibG7vSqOiFxc6Eyt9VlUYu+/aR7FkCip/9Eo6r0Q/u +VA9BkO79QvtntI93dqJFZFzKfthQqTGMi2Pqg+sNCeWE3cJudGttT4R5Ir4rde/LRAl91ck6PIPZ +PecZnPHiaprnIQymSpE6UrVyXCWAYMmpWZlMAhK5zJQMy9XQh33D+S/m+ceyntwpBaETuwdp3BPn +pVi8KYYBITZEBIryxRY57OPgbCilLc9Mpfex3E3RTrtxoCWlkNVihMvwAwlx4EJ8CcGXVt9m9pum +fhSLwo3yFuGvwFMD+xvOB7X9crjwBOBOEMBrPKYojSB8amhhQsxKyi3N0AQ4ln0UX+pCpoUqvMcI +xFmZJynLh+V+oIVn/BgXV2qvkMQptw14PFAfALgAv50JbEo+gx3b+KaF+IX3/irBdd+N6L4qghn/ +XWgjJSWY9g2qdSwgG5DOs1cw6YiKXETMFYyaBpXSmg2r5GrZTsLgMQaoVItqTj/kDWiWBzAMkG1q +u7zWUO6aShkFWgsr962j58eVlfvOdg6dxZw5Gnjo+7AniM9fXxYg60MGb+/9jtom50ipK0QOnNiB +ISHlDOkj+XKoExZo28rnUQqxOu0mstKwbXIRapgoWQ7hFbp6I12DTL8wSn9+O9DsWaJIIdAjFle7 ++rV8yUCqox1rgjeyBa9cKtQbXCzamGcks0Hj3qY4llnM1c0e1cX+fnErZtwuw+REhSFcMvOisQNk +8h6gfWyKqBZ8JosV0facd6hxs5m/KxM9TbD4Rll6oCu2WFoVmDGj1ONZGB5cml7lwl11Rklb8oOM +Lve8q5Ahg2l7/zu/NsitdTDWOhyK9Pt416YaZfG+5RdBwhYkt4R2YyNuxuu/XB0ArrjmxGwhF64u +h9w6ZY960leln5AqW9bizjxhFN6k3p+mH08ob5Ti4XUZ65obN5FBqAJwxUC8s0u1TNHerruQqSNA +tzmD8fPoF8eWiOmzBayGXZwp8KDLtEcumUJ38idGQaZziG0BDYURAHdyW/cGuxQzn5nhMmnGEd9s +lxE5THNoKNVs5ChtlBtIfQDzOuHHLowqpMLt3FIdxkmhT3/SlwIEBlAEOtxo9xSSK4EyeTolm7Pw +oVQExpZuJrWlM65iv+wetxx/x8ttYD4v1FJYN5yzhKRXA+0N/GBlO9G2SL7A02rrtL9AmwM4lL44 +Sc5gzd128ahXaHdqk7Fc2zlrXjRgl6g09fEQK09p2GjgB7iOu3jNANe7FrpgxTB8CGAsTes4lBaq +ptASjcytdAnYvmevgAl9vJIGk6eh0xVDG2RvijhS+YNydrJ8lZfixJyUAPlZkNRZR12Ji7ojm7xr +f27r4s/W57i5DKSkaPaLA2Ar4s92RrrI8F38u/42R+BoE6ypZ2Q2r4lX3l7rkNw77EAWTsu8KPx4 +lC1W1UhnjWp0b6cdK1F2pCh6IcK1iaLOxMfMxy96St/RF6hnYydapqpIG4kSJJuIX4Fpa9tmDAar +uE8M/wCR+9xdJHJ7YvXHqplaO53O9mlGIRROt+6TJdXasXtu1AHqCF0GtZkfop9cC/qTSkG8AeJp +0dVD4Z1uX4+KBIGaOLxH342WEpxMUEEpCDNEYzq6ABw/6TASjML1pLfGB0ciQUw+XCmc9NLeLVHP +sBDCXAf3ILV7F5PxqbOWv1OsdEXL/NK97iTQh4V6U3pVB7YMEFz/wRoqWafTZxvJRKp2qw017Wa2 +lpGmWVGUWQO489AROBCj1Ng1LfEUuRgOmR7k38dQvdbtUto/js01zNZ+YA7l0bK6MtFoSvltDJ6g +MZe4MgveKCzTaZLvx1U28+MDToQxexuaMII84R+EUI29vtxKJOVpKNpmpB6URR/4QvO/OTeDCdZr +cVeJPfVIeBVxaXCLjL6JhOnz0vuQACkj6q/5zEz7IqS++FzAxf5oq8Ebh23x9iJ0tUrxrc65T66d +xhAVEu8GIbd91vHSEwq4xvzxuDpIqOLCpHXmNRJdPB/wWQ3Yq9KoqG8zMlTgqsWqF3mB6aZKmFjZ +n4PkaFJKMiVAJN6ZjskbGhRvQ8A9oiHUmkwlzjGN+cFNyuu0+4+MhQkoJZhm30HBGYC947o219Ma +pWy3rm7PPCQywF+2h+JdNM6jaKGLRrzVMAjjm3kARVIUK1VIDAlT8vePSKajT1cElF8VnSDiBQ0J +XgQNXEEeFIDTBdg2bDYgyUwxWILXk1PNPJ9nADetOcqnSHHW4pjcmZIoCQfWLOveYHqCHMn5ixUr +FuvyUJSx5xH34lV/ZuBdtUOGeKi1arb8kClGpZKbt0b5GsvORYlJ8AHzRSpjpC15fzR7l8VrEMHl +a2GcRG7ptcswpt5hGii8BBYWsJWGPFNBEH4K0UuwTWxP/jam6MKXe/pv4oWHMScpkJXzZdvul3HU +BAgmxukvOsbCch9veC3bP4cQjNMYjQOfCAXVl4iVFVtOvtk4rpj8KKbG+yzMwRj42OGRqeA6c31B +Eb1W3v+m4abZCup4AmRaMsV01OrlCvLir1uOLki5Y1YkZfjfUl6YmAa6xQ1wNUCna8SoMrRMhbtY +rwLfKUCOEH0Ho6nETdTUXuKyn6BreX2RSWcumo9FIE86VkJzg96mDiYm1NY/CXSMKeNr2C7/f9DN +DCckO1RThvyyUjJu22seTcyGQ1linKGoR/xcpVy03KT0J4h8iGQF6sfCvkbHcnnSmJgL/9NeTXBC +8pb/Ga5SUXu7PDwYvB2UlstM9AjoWJsC60deP0Fd6BmhxGSX0L5GQmYIcP2NeATe9g3m+lViRFcE +MgnKpqPyb/VoOBH42a762kM+oyhy+WsGeaKSiLP14VyDVeWOk+NAjAKgDuZVT3QgaXtCMDg0FX0d +7FpviH9wiI8V8pBmW97hUtugOWoV2R869yQfWwJhMW010ZAQDM9oB3smZWEulH+vDqYlOkZ57J/Y +TwU1vZtof43iUqDHj8cwtfpNBA6gfGLph4Sw07CXo8sjWgUEq9GsnUxx7LqiQa3BAY72dU0+Db8d +3rb18wk18CCG/MxbTbbfLY8ukm6laTtZLhme931sbMj4pi1wN60J6e1j0rpHM+hlkZ/4NzCOxibz +5a7U23pVSUme0K+MmXAZpOmbyrxNRJlEKLO/LfuCyC47o2lrezIFaIM6udOI6kn1q2A4tW0MoFLH +CIIfEaY2bfBgj83M14SxvDlyR458POzCzooOBi2CmBjDtoYO/6WSN9zt6MVwM6mcjuFLwCCVm4PG ++HlAHgh8+IEzCtq9LAwISle/i/rSTWKP+akg6eN0vHAmjkFc9O3zNNJ2CCwUamn0vbUk9SXodn0P +YPeyT9fiGP5vEs370873R/1m7GtsP/4J/TAn5dgTC5kUB06gM0Rhjs3sYR63lZOBSzU1IJvDnnwy +ygWS2LxI+9cj3YP2oCc1P7XBhRB32XeNaHUbG/MaB44UTt7ori+2gDKdCb25Vui0GtPpeWAdcllC +Q/VMJrOJWTsS3HKkVUeBvtqPZk4WvnVzpky/4yF97vFZSoJbWT6oLs3okubXX2OMbFX1YotMVa+V +6QGMHerFERf3JbcACbbWM1CJczNmIoM8kSSSupNfJoxGNpEgpwqQbmmxEKr1QGeXki1o+K3r8jWJ +ykqSUgmdhb67lB2rB4nx7aNcAf8WU7ohpt5dvGALmnyIqi9TeeZW+taA6F1SZ8s67CvOfekffpKJ +EFAVNsdY0F0jtzQVcbsCZThdYDvyTzzxwPPIoF/jIOyzKw4YTkfY7ZwBYnCrirVuxUfV7VV+fT7I +GxTqR/Goal2oDMiJa4nNo9Aet1HwZ90MRrGSc+3TcjV/g4MZ53ohgDvBIumJ16GHGAUpdbLxgwdZ +Y/nvEfYaRjOcd/LegFps/aDXADdHXykFn+/8Iwu1k+cKS7u9O69oRDeol1hLnJPATHwmYHMw8atR +tMMXcyTODaWCYiYFx9uO8+DGzP2FTajPzjfAl/7SR/meSorx0oLsD553h7KNlUbKQP7zGllaeRLf +jrmvwY5CIunUs7CeloFB+Mr2Vw0SLJmk5kSRdRV2uB3KSke1qITS8+Z7ovMfyjFrYL/Fj5XFuJO0 +LlGYXRcUZ2o96NZdn4V9t3nrUyHU3gaSWsjRVJAtlWdnJ5DQ4CIF6Wp9T7qT9XY3r/A1PnUDN3tb +N1Dx27MdXj8ZZ4yLKJ+C5VLmdrnR7BKRKrCmL2Tn80OGUf3Nvf1jxm6tvUei7Fg4BBEwI/mJRp1V +2Q5KUQ8WAe6qtmBZLm1oNQv0jAbkHainFrYw/jC8nTY/vUzpK14POiVxvQohkovkRnhfnNBzXFYo +qAI7vz1xalxjtuKaeiYW1tBxiCjDzEnoFSykFrXeGnn5KlG6d+fM4cn+461ndsg0avMie7U13X7T +Bmw6lU+LryPlbS/MOVLo7IfORxPVGDknfIl6qwW9EvQ7KD6vmOZ3zWf8xSl04kSKJ2gtn5axbuo2 +yhGd1jmINu/cxcTqOMIQdzYaQk8gOgLYGmiFSmoc+WIoSGpZdj29VWm6w1zZIE/NHR+pumCA1ZrM +SuNIGzzCfX5lzfL+xMRJShdYqCCOYTRfDZGK/bT1Or7eB3EBLPMfY5Q2bjGe13zDgj5ei30hHiPR +sQK8T/TYVkYh7lS9AriZmtrdhQrt2whyR1sCijd5Rl0kF5775a0fyExfY0Tebfzvnj6aApdWMosE +MJXhRWhzw2dYO2N7/BefGItXa/P7Qn2jppv4g2fuCknpNFhkHWtAoFTlZo9tP5MCMfsRBaZ44/nB +hBO5A9z74w3hi3iHoz5qkcAayeAvFTQnpJUrw52cKxc/DevrbWFac+64R2ijzKItVvnw6l+cuM3f +9ZSKQI65ZiV09wHHcb7/G4L2ROe7TRhoqXol++esiRmYmZjWJAJY5febH4qZb6V/yuy8gLFJHSCS +wFsMJNtdlVHU8NOF2919+Ap6w2pUKIu7xBBzbhAr+jt7vGq9PHxSoIc0LmgW1ZUxJH52zGOFg/Sl +53+k/ySWUomwAlWVw8Xygx1t5zNGEo/a5ienloRRkBbr9oLh/uFH2Hw8hyECkeMOUsuZ2WHDg26t +zbv+EoSwUjhc1cHi5GO5GRGEEBnQvoh7YLyJO/A3Y+JcQSVOb0YEwphmx7XfdrKx+FzwLNIqaa3p +hN40f9oHAGoYa7/lvu22hLxvoq7frzWY89KsepKuOHVmzGiymdcTq++Fr+emo9T6d4bYCCPbF1ZX +EMs0SYbFQI0mF3Sme9csiTMtVieyZVczeDNgE9LUcusRRFreEfgKWd1Fo9CMWOwTtyWUcFWURQ9G +HI+bcPhtzKThFVrrHwAX8YXTAvt0ItEWiycI4+a4oMbGvVByneiW89hkYRp+H0v/IgRInU/dOPIV +avme3SOnzwaGoMvgu4JetzCbNE8C3dwVpTnHI3v5tEfviQ3cb8jBS4oqUtYjPKkQ+oDIRr/lvMFg +wIZ2X1VMhiItl1hAg+AWX6TACZen9npVp5YX4C1sdQwdIeqfeh+sF5hf5sxdF9fCfkU/aq7XZRae +ynqK9cFvgq4S93Zb2HoJ+jrl+wtohwkEhrf7ds6Od+2qI8YfvY90JBGwogkQ2Sh4nqBlyP2QrwPj +QdYFy8OAHN1ymgXPoKSwM/9dHf9gXiHKxi05hkhH9fBadTCsuG9Yzhgx7T0tpOQHbhrNhrP2jDxn +va2VRxZH2A+XJ9z+4C5dxd9+EgK4IKsw3Y7LCsaDnLiOwi3oDnx367Mrg4964AC+V0X8Cq4iyKPA +nWoPUzRjO+djsjuSz8c8DA1bXuosboapYDxVvIuXXbdkoenJr5g9jzFeES0AKr8ZdVQQnzCKmhWv +woEBmDP2owZv4RS3mvvlWV7Ex/0duS8ohh4SQrmghToP1mxj+XHlTqj1EHI+MaOj3X54ob6ubWvD +QzwUpqOYRwSyDy31n4i/aqlT39bi14nlSsiyAoT5UE+eFAHr5qvig5CDp4dEq5pU/JvK90vim8hV +fI8QV15ziGRt37seqXJQIg6AAx5sMIkxwrGRSAmisrrPg3fj13x9Veg4w0pRLUKBlsA9h1WYsKVa +G7fZqt+vRHjeJCOeTq8P/1m+RgG//sDqArgrI342DdRxZb4GBb/K7zrC/XXSvjbHz4CDSJkzVa4O +eonDpP/78xndc+Ly6x2c0458FfTo0JZe/cT5Jb5fWrLPVJX7D1MAOfBbuu1toD+7avttfg/5jIc6 +L9yCvgR8c2Q6aK56kjoZJDwLOIv4GqGnGdRG/sqaHCDDSmPNQzmOf9ivVcZN2h/aSF6rvi6/xTH4 +TWQcsY3cw5g5c6Xxc3XIrMx0LtypoHOTcpENGhBPx2kyPNqSHzVZ9s2McphAsBS48hupGnO/qmay +Pytmkv50esQec/2hBHXYEBChgq92x8mzCG1+VjwqzewpkjrJmEg5ZCe8z5G15EtXQlQ1Y2P9Zuh1 +zA0zWVfuApk5r1yhNY1PqSReIg5QJ+zmhqj1cmY0cWB3vRVyPFowaCdNKpa2FAV96HxWmlM5JpcR +wIZwyIvBl5RuxTN2fwhQA8Nr1NDC7TZC/rwHIhrB0EP5gfRf67e0gU1J87ykJ8fMIX+i9qjAwlK3 +Hh9hcuGOkcSZcsyfVXaUXe5VceE+68enU2h1Ked7T0exgoaFvDzbb/JQUiIXbnqE/AHzrFzRrkXb +fihMIY4tFr4Yr2hmZJmzO7oHHF1X+BgwmLZaebtcQLXBwLs0/BduoCWPyB/rRzKSI4ukWK2n918d +MDXP/ndlppXvmprik4oXAso5FYGdV3Hqt5TKRXB/hJadP/NQCaR05yX9w2o0EjqGuJ+ukn8o67Be +3g7EmqYyKVwQd2OVBYzRx9493ZVco2VWdcKNzd/U4svgNTcqqLtYcRRnogsizqC8c8SRRAmmqV6x +HZIpBIp99NX/VPPHpWc82EIqw3G4oDPDg5iDj4cGwpBu63vmobD/1/TlJIVruMWZWrspdQCrQLTG +meByAoxwiaCG0uHz2PdnkdMqJfKJrPhsz3GYnyIF9ABc2lJPHDssAB+9oLCO2wFKUXiprmWrS/BH +m1QAD2vbXl+vXMTnCwrFCL7MJVCPJWKcBlAzhC0wxRjFYI6i3T5TdWcM1qV7hlSUOJ+9/vBENy6a +nqnS7yYy15yUQYZlMiqsnSgjwTdCWYEs1FrOOZ71EnUc6Rf5IJzMhySVFANKxYDkM4R1b1zofoYY +08UuElw/i5NbyurvI0lQ8tJLNMUDaY6vqlg8JGk+vEI0FgSMqmjhO3ev0REG9Aq27PrsLq6S6Zgx +EaNfWyYyjtWPGZcqDO54OwC2jVjKbHy/pR/sPl+yKrTEjWRCpbXYaxNCQXMPm3BPGJ+k16A8O7CD +GShG9Vzuip6isDts0X84sCQRnNrURLHXHoVSxy04eu7hEmU0RiUonRzadC84WhpwCIHJCKjne6hc +HY7BbOh+e6lm4WF2krj71aPYQA0iP/rRw/Bj963ptv0WqAaWZuQPO6z7cDUtqjZ5JvEf5ix1mHNI +zSaUhgRY+XfpKIoefW22KEC62DO7enCxBhKQD2xSp822PjEH+Ls1IZm+ZkKKBU0vovmJvDiWUWJI +7zsB7QGg4SDsdWWfw6a7fWR7LbM2TidG6uhybFVZ5QNXAb0YYEFS3L/DxC6+mH3/JY7gK8FeJW+7 +aFAzi9fZQJ2OkZY3QAEz3Yvu/vxZMCXJRkmtZZE0v8kA/Aa1hG/ydb9GRNSSuTFS/wupLzYVMfo+ +DU+l9RkvlnizT7k0HBoEAdAU0SEwwbkghtRpy8cTdWtjcTWqfgbw+FMvBMtVHJJa+6aMV9AbYLKa +qJuWgB5BCFmER/uLSemXRB/GUJ0JSmdgADuq1EcUHSgXbVtpR6UBaciW7Gnx/c6Kykhclh54isAW +qxdBiyGLDZOnh0dHIOWd/O698KnpNf4JbFlN/tRy5ESzeJeMY46qqPO4dQbHoLhnjA8kdmWvAhyU +XRa/Vj9qVgwBJHyn/vvGY3Dq7Z/hEXOK1HpKddSw2PYU75p1miz/7c9wmwlf5X57v1vqxGqCG7Nr +4QJkKLuNQmwWOHb03TRE0lUDSyymDmoe5LXn6qKWQ6wODM7e4Tk9iXFZMI8KkHRezJBYuvuudDco +BfGirBpEiMuXv1Zv0ppObljRmA8eS5uJU1hDPdtmXSfZr86qYrljhbDqHy4ET5IFQ+6135tvN8oM +MTqAYB5nK+mJH9+QmWJ2k0bOV62ALob3fpzwZCrg17/VN+VJvf7RoxRrAZVdciQDfqcvd3kv8rO/ +0bdk7STFmmypU/dV75/K0q12R7gN/Jr2eXoWlh9Y3HqUc383AeHpHMufJPVlPKI2tYhMCVl1tJoF +cZU7+OqYrshCDV9ovFvX2Da2tCC+Idi52Atn39n2eQGI5qmiayUg4F6sxUKG/xs5RxhOj7f5XcIU +W8X9y9DVJS1V+MxLkNPSm75uryGue+QGcXWT4EpERqPzCZ5fDO3qFBTyt56r8nwnolk2Uuj/CwOH +wEtXr6ByA9l4r+KWJPqBqkCgDhS3507+mj/3QrJiojejeULi2wP5VleqbXlHy0QmG7TyW/a73hqf +MvkmEy4b2n8ycNSPqBpq64n1PKq89VEGs4Pu+PFyl0P3zieEiPMn7Uwsz0Q/JZ0v/fL5m0+y5+4L +K48i3JfvDXlvH4sBDRiMKCFACCco3hfzLf/e9zbM+JA8oedASth7z1pUxlSLmesee5cl3mgrksz7 +Sa/O+l98M1oK/yjIMXl8RfP8aYnNUzEXu/4pBnCf77qhersCIZzB5ZybDCeZ/4yTm+zoGEdP8bam +UeKO2k1BlvYa3KhrsrVk7q6JuyCYEVTij2Z9pQkLXAvvlJ062x+kBVVYZIWcqHsnm/Sg5bSt9W9y +KtE/rwFy/WkFL+aDXwTNZwI3U6YUoQUMyJ0S6l5HtIXjPcaLMdLGKY5KgBBjmiP1lxpathjg3vFr +oH/f6D/A4kVvP1PyK4GcKsRDsuvDLpvhTGcB/9AxIB9iAlTas0qJ9HOrmCcJ3f/t3DUVnKHt9+fr +HC4j2ma98cV7yihCcxTQPmk346VfiRfSzetG0zVaeph5r9tJw4lyJAGU0saynjM3fyuAUAuTYNqZ +K6hR1hOAuz0Zllr7MgnDiXpErOYGxl9+GMTQW0FmKHQcF1hAstmJWDESYkJshh/JjOwCq+fbAHM0 +seKQzr/s+6wZyCMj+GGtXhxPbBGF0FP7u8FH1tKcjjy01Kx+Rpq/S4YTjld9V10E5ESErsPdRuLJ +t7Ah226YRIuL5XXIDszL9+ConNHxV4pVPFzXlc/BVHSqsa0N5tJjdJv3u2aMN/nB1y0/KMIy6v4b +moaKtBKfQlKVDNVcDI1nieGE7AsKuyPHGLEjd9sZnfuelUYz9OIXd9YTg7O7i3BoZ3EiT6dT5D+L +N74aVqVDyD3cuHX9dwhdH1rDMIshuexuNLcaxMEw+DIvVrMbF9z1Fa8iU96m3NCaWLBM7cBqzwIp +FWCzJuqjeShiA1XgAazpY/bSShANEV/t+jPUjqHcpuYKlSpq5inyt0mPZEFXGATSYn90rQm4yS4b +/rbzxtTaTfzHu3kc6Ds4TBflrNzTbet2xVcD6xXLIn9YYazQavyjRwKcJRrXZTd+4rG+PlgwZ20l +vSPJnZ95e9nTUfR6H2+zAwoFQigQyaz7JITluvBTOtewTRpUR4n3tcYyCptNetbtqzyl3x1NjNuD +MInZSyQ4OFF+mm+iv4qQXH71gzkNP6vfI+S8qL1WN7ibNqxtiKEidZrQLGRVoPRIi7BBSxtneh5o +t0XMhKuSGlO3kbJrCNDvcm/vLy8likof46ndT1J8XpJmTzfpbOVGBM10eE4L/94n6p8nnlGhCS2g +8hZFfCYZm/NrijnkkomFhG3IFKKbW/M++cUZiwxEUyL/60/Z6Nct85ZytoEDGDo8WFJgpDIO6CKQ +Nvafpt+KmoJdmoo5aITIKVoWypXogj9G+S1x+0da+iM7JSNu4JpiiFM6ZxoJznO6UzgqqVM34rcG +dOj+8Utq5gifzm0TT2t2JWPlmw3VSbPRtLN9+1ompJSG7hFec4LSJcsYaHWSmgGTRLSBMTtS6O+3 +y9JAaUnVGlTwyNV7CQtKuwXpJPwZzGxm7G9B8cRdhLJ3xLNawbArKkwGRVKyjKkEdueQxluBCv+D +pWb4awTqGMSj/5/sas18JwGD0mhmpg7o8zpSa+H1e61XAGp+TEAXvWpqzbxJQgo55zbuv80plbLv +58/Sb+UocHRAK3wZ++sQBlDKwnQRQSXg2FT3KepAxk9SHU6YfYETtfKHw0VV3kEcqYEreXBPue/H +lsoj6RMzz5zF6v/TCkDaZCuJCakLFBsSogzbhU0KUZw3LkRf7ZmAj8JZ0KnY4NWIhP+OU/TFgzhS +9u0q4dbbEC/CEHX65VZy95Fdr8In8jhV1ilNrVmruuQLvHwRhihlKq4jrMgi1hXQm6kPgHJa68Ix +JsqB8wFZgDIcbw/R2Zwyox+Y6AAwXY5hdZC4sH/euJ9/rid44yLZrtP+Ad/l0GW7IXaW76JkHONQ +vhcJHgjV72MVEJ5eRsn2xnIHddYs+BrkHSS/99SGsD6dTzinIRBgFt6eDQsN4nJCgfZFrXpQVAGg +DPlocps2CIVs3OwnXPdzeAp4M0Fls0e7Vd9E2Lfl0Eews+3mRDj2H2HafN/A1gXlWHt1l7Z5xx5Y ++SfoPYXH1boN47ppIPH7t3K+nY34hBiZMZZFjM/UREa6NNp0SZaaMaENFE99+3rJnWNfUawwl6wY +tZFg+3v2TYusdLu7GqI/1HDgeWGHXf6SHpvBBAOW77cvUVLOJPsxVcYO0JROzNhVZdTp+fWFjc8A +3qg2SFOoajN3SHuANFh3+OkgHoB5jZ9W9BrZCtxkoUMNiW6q4UGr9u1/63NgfV00lYO8gpUF7yv6 +VVhDG5uKTSCZ68MXpp8XAVwlWKU6HRvSVdrcZJdw5mxcjuWzTgpI7BZ1eDIpgZ0LWSEjzHxJk31z +cuTpWqLCwjZj3y4qxffuVqbZZ5d7ljSAg1AaCGvL2i0D2JC+ZK+zibgV3eCLCdMol/3MtP22JRE5 +iDgtk9S1nCGckVtRDcosjURge+6WWYCcNUKQk8GoXJCD8/w7GgTDjim2fDZcw3cekHZcStDlD/Ep +0kOSAaSCT5Fbvs9upHp+s/UdML0uzX6CcBxKrDePNeZ273BhsxJSwxcXi12moSNF1xOmfoBjw9jH +TvBU18QI5NZRwMGiKt/Hto1jSRVIKdjSyTbj39KN1z/hD4uxjiXRULCQ0Atk/4iE8MZjNaaQnOfh +D2MleF0o7JuTxz3owbxLKB5tiCvFZmItYf0wll5wHI99xXycGpZdZvx8jPTPZayOvqElD9jN/tO/ +6BdaoV6qDijSl5Q1ohu7PD+vmx0CkSmaBjrYuMOCnpRn7QOgBuRx87DCBbo6vnys8KkHuXaIFrEV +tnEcpWbTx+r7ty69gtISKclc+IMGjHhfiwPoUkngwD0JbnlsUHlD/VtntQs/V7BDq+jEBQLxORPo +aKEPkGYXNVwQg6rQAfqOoavNtCriizxirtGaKiEbHL4zHEkPA+LzEwkf6nYYnOt15qJO2vMH0Y58 +k8YzAmyGCGaz0Mppcc9rTfs9/IAq7ENZC4s2Mu3VsoWE+GGeMhDyg7Z8n/A2q1iWiSZblJdU9FiM +XzYVkqBXHZWspLtLRYzrmHZL67g5nzobr6oqTJt9aGzSOwyU5bsjYeVNQpP2iIngkf/Updg4EqGV +iAAPWnpz3e4VHrgB3FQgPCY1p9ij8mWP5LDu/ubZxgle3fhAPMj5XvYGlfeKKqysS1HyIMc258e6 +xFn/kazdUtWUJwZIqCV6qEYm8z7rtx/ruO8tlioNT7AlvZxfgvMDNK6L+Lx0xWEdvd0mh3lpmQjs +jo3uyQiicxyMZwtp1Vb9tCOQ+BJ0MzLDYjaPCi/Bzrr9eQb1UAXYdz70w2toA8TWIEmKT68vli2S +hEl+MTb2ezjN8a3yoJsFC5I+JDge8kUOigmh+/RF+jGji3NVBGhepVK9BX0C9P2LLjqA1dVC/JDv +Jk9fij9pVlmSGHpNb1RW0dpeQ7HHAJiv5UVzn41XDY0ULkk4OSYj17Mu4uMzUExkC+nx7MX7ywHO +MYOSYwUNouguYPv0mtU7Eq+F8nDNdla21tfBkt9QEbDk9LSH3ztCHg/96xUn/rNdT7niUUFKW8/5 +NTylGKPPrJfVVEyD+YBjGje0StVBASsAb9daN7IRDNG0a7Lc3E3FVQpxgVdB2i0uCq9XzrgELDO1 +bVitKez2dBP3NKk4r/s4qHS6B4wuHXaCdRg+fNHoK9i6tVjIId6wYmTV10yhgbrN0CtozHupF+e2 +Z9tN2Ob1irFetRwQPkD6EktY1klr6FP8haO5dpGParMV99Rc2xJnvEXBnx86F9VxqFJrF4W2tfKP +EXW2sXyBPZ2e37waNXaCPGWX2uOhU2sqlqUftQISjdwuPbbtkUe/+Cephe4O4rB1pdoPcO2KFiQS +HwXjFl/z5LCGTgCncYRfZqfH/9Q5dil8m4aNWSAnfoOvrd3+hCK+C4P40fXTr6Nf2Te1/v2hOgfg +o//LonW0EUKhncx1FNMnc4GRbTt/05lK1XfabGAFAb6xZKzcN2c+wo2Yxza3RvJ4Avw7SQwIObGh +SGwNOvgjPd06xj9W6seLWTSf3t9aMZX8LYhhhdAEtzAdvYmw4bi+KJ7l3CwFueSRFFXg8GaeGzHq +nasxk8u/CKjA3Z9eLU8NNCDZqUZEf6Ycv1IHSQdAzdxi+CaNfaNTc2JaoLLtW/EdsmQDSFvZYaB8 +RbhP6b33qgSiwk8J7ukJiL/YhOkhjj+mHR0QE4dGnvqo4w5ZJwiRz7zMlseNIx74GctN5Evk6cm1 +f7uYs3s5gxCFMG/WplPqhv7zgqt+UCUTpEBh4SG/8/z06eYGuHG6YuWqEETDkBelNCQsTTV/E+p3 +rAID63npIIel4q28b1L1t+ns4ZNsjG+9rWssY9aubACdL2j+TahmltqLMN/E0JiZu6S9ZTdg1z69 +I3bgbgiQc8fLMBKIo6thD6jGqHKp7LsQngjcg1uNotTgieERNRYMrm+UKN3Uf8/LRhy2kjvr6atc +RlDe9NwdjKgceU7rvgFH975U+rWsef0IIGaeliJ+/zToh88xuqfixGKiQWCM0oZaXCwkRx7IkB8p +Z1inBeVxZA7nKPEwLaxWDfKVUIEKmGMZ42hQ6J55M26RoQWnR+pqxs2vHYBbtoEIGIg3hZsyvL9e +52CgkwLi8aaBZ5QroclHwiO8JH9jI5UVwed7oXHLqm9cysCzj7QqnwhEB2wOW2ayz78TZBkRSTdl +ILegZzus/IM4idpKmi6DJTwzwfoR9kz49CqWaf9CqbOoI7LEtgG/tHParJaGdXrCSebKws5kr2/n +fsWCO536FR2+zZ9oKTU1tq7NzI5sM/NnKtSdclWN9t0Eq4q2InWKL35Jh4U19L6fxvMCEQ8EqSO3 +zyIRx0itdY25ua3LEoGdsVo3DGF3VtW/J1cmyXOW5W1p/TJNMPRgTTgbWpTTJzbUAr1bBwNtkvu0 +QQupNb76PR1jVnGWNoWVkSt3+Ov4jF9vWKR7m2NWS88IgPovEx+ZBP8zyb91kuwPuRl+66BO6AS8 +z+aRu2uG86tJCC7rLNLVVk5LWNb5knwYwO/YzaFNPX/Q+T8kI00BqjM+tPy8DN4To9juofqeHDq/ +p4znNWI5aPRR+jCYxmm9n5hPww2+hzR9J59Cotc3IF9Ko4i1tUwJO5WuTHTPeY9jTLk70dbzs5FR +wYIHyj70i4Hh1D8W4KZWHV5/OVD1AWmGT1KggsoOnUVJe/z3MtELLv6grn2PclpnmsFpufSIPVMF +2OM1OCFuOSMxzv+eXOuvtSdjXUrS8BK32OClPYpIYpNDKTzxM4yWv902+hfw1wnb+q+7vonjULrc +jIn/LSmVof1NjtjICC8kW0jFPtDnmqk9JSN4CewECxxZHnVWdmGkZ60T62KoEqfEbP7vftexXBXW +hGJ0F03/Am91MAHBmEvuQUbS5RUc13zRZRF3rPlQ+BK+ljsTfezqDjvoa3E0C6HItCdWdNCoaZVi +7Nydh/rLuo2dq6pcMK95yvCoXY/NSUHS3bDOydoA1dBXoAmVtSAKy2Lmm5HH1r8FSD/HeyXeq8kK +pA6RgqFfAH0blASHna/O6FILjbPTE86ziaa7APaGW42H+BRyOj4rZ+YoZiMUJL2LOH1Cq1CeqObw +xqMSSyjtJbQ7WJcupdyX8lxssoPAgRNP2zn9AxrKH+Krxop8yEsTdEJprlg7Pg6AsndbhUEeDNDQ +7XYjo27fdBoW1eQ5YwIX3hfs6Wa5bTPDqL6K/nlBDAqVWMjH8y09+6TlnjMPd0bqh/nQQHNGg6xR +uaMjOfwV/7oUFVM1er+bsOlgQijXnE21EwwxEcc5Q09LNx9JctWvx7E3M5m7Gq8orZoPCsLdfows +fWXFkJB9MyQPhXLwqMc/5AsVuokO16cGsrnlXNwACLEuZcUqhctG+2lHPr6GxSMTeVDL5VdkcQQA +OWbt+8Fr4Z8PAxEGl9rx67k3p7NSA6ZI7tCMsDOeD/MpKJl0phCqZHTjxEx2SvXuAo522RFcbyge +QnkybDgqnWAd295Nc3DDLOSUcPEkSQmJagqLAf7WaxxLP0aS2/33T0591W0mRaPVCvgSDFoJJL2Q +cuDwbfk1mPcpsvBYauT3Y0NPdaAcoPbFdfdd+5A7xCZJR58MHnkr3LSRREcTUJkgHxrIs04GAJPD +iP6OJitLFT3b6VSN1FR3f6tOcQ0VjJuAxdadmzVEQHPCaVGpB88yrR/lVcxY9juHSiALmpP0HUeh +WX5ZMizYHtuS3xWIWfrUTQ9YoDqJA+g2VVwjk6EUTWWECN7ZkLAVqQb0x5k+xroV9Ppxf4ImmnlJ +6T4BWdxT1Vb/+hNSIqa3e8YOdhWCXrn/lg69pwSzmQAk+9vB9AAOVkH6amy2USCv8hnhS0g06zWC +DtvBdAOuJWNJzp21XrdDxGhVboK9P7HKxo0p81XOIERTsNSBEWwGRwDojCrHE0eUBCYpSlv6M/iA +Ky7nCI8UhVlB5rMVaN47/ncakENDAeYRnYWd8D3kSYYWuDaH9eWv2bt3HJPzKraz23UDjxqOBVJw +RedYrH2aeMtFfh8XaSheWMMFuBLDHu7tbUP3T3AM/CR1hpO1+LvSmZbKHp/snjs+XvT6kQZ3KKw+ +DQKyBT6jPojCXdNqGKOlEi5sofc+EP43tZ2rhx62fGKbWNaqJzd/fTIJILPv5/Wp3gpWD9RyaC8X +70xgSFMygp4IHsbu8rtNu4M2Ip+YNvp5phiHkG7fshKcYIrwT4BQvlUNQgDKuaUMhAMupabi01gD +d5ywdMPV6i0igAuCGvL3e7StAR+dSyn/4cvJ3HqJhCSYXBXuqBQ2o4UEQw3jfhTmGTYuDfllnyZ/ +xaZYe4mkH6ZDz2xIQ07wI2i6VoNiJ86uBvXvYMGm16VRn0ZeUjqmuLWMRrspx/vnJ75Mj7v2UBQE +rS5fqj8JWhTX85UhJUtz8OXnVL+5qo0MwpsAKtG+7w8dxUNQEcFsqwcjF1ReyDHPgCvz3o23n2q3 +eYZGMV7YrQMWlrOa14kArvfe3/bwyta3FfX/vkluPpvnclSX2IW6fBmKfxHwM8/JFWOgc+AKuBH9 +01JdwqhEAmG14/5kelvZgmbdRe1eXpS6mr3BGa0u9yuJw/cW5wILxmzr+MLQYfVET58qHjpkOxa3 +y50i6mRLf3fTvcCI1n88EahoNE3A2rmGi8e/fX83h3Prg2+Bo6eWwJlWu+DqITC5qJnTAv3ZQcVX +P4KbKQWl9tt3MGosVHzWsb6nOxZh9mmbk3rNvW7enRAyzagDMRw/xYFnSYsI7TQgeNgfVDc0koTP +EYbMh8KVIvbHMhXIWIE1BLgRNtHh178s9hIkJHrLuIJ1X+HG26KqemaPF/ZFY39Lsi5EuoWTB7bz +yI9LJSuSplXxTEhDWDJOSAyLnZxZl33g7fB2/YO/en3z5+mfOIqdHG4NPjBIdc7N1wuggQcR5v7L +Jz2ZBpidULyNr+qLKre1egwj6m2XMtay6Jkoc8JoDFRuR7tDUJfiA6kLKfDLbsZ+OckYX9k0ppBH +3LrIxi5klTk/Z86PsDi85rpuDGD9QdIijsSd5j8/fh5665BYLO1Gis0NQdeGtpeB1eocuWvgjZU4 +37hGTS3Lc3j0HO5sa5qUAzXQbTOzSqEUsy4N+ZzB0LAqzqbk44KaDYcbPhs0bzB3EnI7IAb6TNkj +SUd4j9GGLZI0cU6+YoS47+aoX+2nOuB4+n2JTD3571BWb0Vqc0KaNz95pMaJ/FJ0N8h75ww/WtQd +fgcUAMxk4YJ+CW64UswKCy24W+2BADcvElZkFCkInN6eZeAza8WhuuHsq+7+NFg6sisTC4tB/dPI +enqPE6k5nP6X+Xag/cmuDNwk1r/6gyh6Mye6YwnaxkKkwB2RNP6nWmf5cJsjH0+fDg81O9L6WOvA +vnhzoh9euWp7SSPTg8zmIO/RGHA6diLf3UOFesXhxo45okp2LGo8aAc8leEBhk1HJmrH+j+J3yL3 +LKOiY0j5rgyeqqGhPUGLUb1OJ4a/jzby9vDI9KErNyQUEXiqCL6hb+63oYOT9FAHSA5ejx2jQrzJ +LzOELulcgjrprbPZVjuDBqgBrEhK8gEV3814/5IZKW4T8LY0c4VM/r7cIBE3qY77Aj+/Zb5OLKAQ +GseJ8f645s1Geq+12RVvKH7yG1ZV+N23fC/Bo3qlrYmShwQTpCjEYqsZSo1phsnE1CJcBhaJAXw5 +AycxRHuLtO7ZXi1CvSW+4E2/VKF7lGyz82rElPyr0ve7kgYWQKS0TTxTtH0+/P03rL7PEoPHV4Ku +OhyL+pYIADowcxJFFx0+T41zrsA1qRv4TgLOcRDRBZZ7bs3mDAKIqIPN/lKiquJM4hpp0eOTJL8k +ZPhd4KuTK2LdaTB4MoEUrpNd39U3BGrvx5bAXZBJNjRAWOHaMCOXonZnTdgx30T8IhVeNQmnJ8xS +D/IPaPBBehsIud1cgGaL4tzximzjtUjM7i0DNYL0fbANppkHN78DUbNxynf66q/7c2QzTDETWNQx +c3KmUxFsvExLoMCUFoLAoTp8husawUYcfAxNgzu6vtl8fMnZt58KuH6OdYJ/FNUERTqeH+JP08Yz +jX1stfqNFhi5wr0fjhKga3KX9c9wQranSIRWpbYVLKHmOTtdlFPMRvlpgEb9kEkVHPi+oa529D68 +ajXXxtwk1GfAxmzyCTTVsoHMeErqJMySqEanVFS7NMVSELSZw+zwG+uTcFpfZhPe+qHdYuQR6YEA +fX97R4w3FfiW6UzDDQ8U4e2PT2pn92EEgJ1mivrhC7yuCcrHbukayMqTtPgPWWUm2AkermAtYk5B +UgPQM5QmfL+ISLSFBApJwbi9NwA6MZmoTcEf6uL3r31WP5MeVUcZcW1pZn6OqTWyiEAkDXbozj/T +idC6uRiYpPtpUWq73AuNJplYKubv5sedxfbyqSwade5/hj/VTU6nrv5MQK+iTEWB5Xz/fbvoyz97 +S+3yDSaJZ4P9vvrPBJ0X3ZNYaM5D3ZEhAHj5xWi0Oa1858bmDk4k31vO8rxKqzeOCH6ZHYMTs2GF +e347YmqTUC9D2JpzBgKZErD4yYzJguHZI2YwYKEe050lfaVabAUn4qpfJXjMNNyolePxcZyFZc91 +6cgFiAlJOix4uw+99MJrTjmMy5MPT9CLLh2jhet9ijvDOxMTdCSP8f6dRHP3EwKSIuwk9nFihGNr +Z5f2YpVaMmRb4OQ3+ABCxzhVFq1l5K1k3ENja9gbfQW3t2NNV8slAFPD8XyGZDN39lD73y0IvWov +CrlFJ4ztKv3PZNmzq/Rl74bSO4sAyTw7JPmnJ/11RqhnPBEeNo2Yd+DFKUlSZW766uekkq1XR/WQ +Nu+DgWIvg7uYUufLB4CrEWg+woUrd5ziN1RL+T9sdl+/kwkQV6K7Be1Z4pJh2luiq+MO4xDCVSn1 +jb08Fb1nUp5JvAYBsV1OU1OfWc8n+zop4FxRmXh8O2m+vozD0S4U2R4eU4XTWl58xnGd7eBizte1 +cw1RwyD1q2/aifIZztzflJQKoExTHC5Mj4HTbQTrZ0Bk0mEseNR73AAXN+IBUXNfVrnl1VWp8OnA +w2Us3/2/Bh1NINu9QsCy298v6qMHWNQAk7zM1zwmy1R4XeeeWUI+LYGPuPxabnpa61RiCF5Jj4Vx +GnZHzMm/PZqfGjalDvAQ+ypavzhD3DCijIIqgwJbqLKlc0oK/LBQb+JrqIbMDRzhbnxZteQ8yYzG +xGG6fTYsQ2/A1PEMRKVnh4Tlf/d/kTZbvX4eFtyM/afo+qtKjsGNTGU+kuNb3zFWGkoQPV/bxnyG +1GqjLm3M00FS7i0RfJZWZ/UQY/6MTfLta+5yIZnlJHMZQ/KzZ+/rv/wd88iVHeGLL3+jb14Y/DnU +6yZFgrJLHgpLGIlA7hUxOUVMQF8WUnEDj1oiaPSEMJtRGNgKh/h1E728DQjdx8Lh5+cxB5d4WlpF +lRBQHKbbGkC3l35YhisbVNlKcZUXKlsQCwYEkhbzG28hkjvbsbSHkTYDrDuVr4XSlDrpIqXweuXG +UqwbgiiqCaWtARKEEQodZEiQP5Y23aogRdC8zmHSBmxlfDKMWxksZB2rBrSSsP/b0ZbgbkNvG7a4 +j35D0uJdAk7fgG7B1DC8kNW1fhUy2wTLiawX/jcXTGWfn262DIF/OK+T2b3IXPwwA2wy/Q+8D9Jr +Obe5dSrCK/bGrtAt2UWKHMmO4W2y/GJWbP15zx2QhQlphivjrWuA1F85tDDgNFTqJJkpfHPRMEU6 +/BHfTZkPuNbvI6De9Wk45LRJPYpLMbN2HJRI3eI9N7ERJT/oaRj4TVNcYhE2PwBZuzxB8H+b7vQV +0dGA3jK9tnZwQiimRMFoLqVXf2q5J3DIeUVTD8YlxTS8SnWyIUbjy3NkKztQVh3JkJmgeA1vpw2m +pwsSlDFEqf7rGzUvDTIQSjSpCFWh8IrsSxugP5tOIjv6JCP4Wie/YHWXyJR7GVASK2A5/gTgDE2W +cXwPR2V0RDVCeprX0XDu6AjkRjMIdJqPur+/qIUG4J+0uSabUFYkzc5XIt0fOmidD6OZFw+EcTIz +3mRij7my7P8f4m7rXtKJpA0k8I3ozCmQIbsk6GWX8rMljUcYDHZXCa1uiFl+c7mAu+MTKAfc897s +pVtZpQVKn1n+H6BpJ3Inds7E2Bs4wcdMtO/t12w6EAvctOY3QY1H8rn894zIxchHJu0ictmtg2uI +dfUAsYtSQAZwFg2OcZ1KDr0u+DithB/v8qVx9WLNdPlX/zvOBrwdyUzrk89p9bkFE18/93Th7yoP +81YpQ8CRBK1VLlWSQK7fUMrtByDh4IBSjbmKW2t69P6KTjkB37UInBpKcb0LsCjr96NC9S8WxFVa +eu7C9KlUKeJD5cX98qH192zmigcy3Gj4o5FMIxS5QZrGke03cV+rioV2OrTZGB0nG5JmOmFY08sH +gmAnD5ujx3wPq87iBMnJUnBPmLDQ90WKoC7B+T3JIBv+g5kyTkF9L+KZ2EFHrQrQ8xtVwBdxWa0Z +4bpXvol0JuBMqnAKNvje56qzWq3T7gLAl8hI4cYAjFhEALyQqGNZ13NpQp7+DbkS5J6ieeZV89// +/w65KVJuR1O2HuTheS+0jIKvMWVTQ+0XKq7MfaBBY9RUqg19QA069bzhxCs+QaDq8zXLZ7mThVX5 +V9AJGlNKZIVaroNXSJ/UuVFG6jJEEWl7jL2RNwFAFVT2lRGnyKVg6n7bs8VjbFElfKxOsuSWS0Y+ +W48b/nS7z7ngRWR8ZTcPLV3P3NNYo8IIrnCjiNz8n3j+xXoMNxxfcYw3kzf/3xgNYDUXwDGroqoX +lt1BapKZHt5RsjStH0bP6H9M7Qa+CYS6Tiz7pefGrctO7Oorz230T/wV0oQ+vDa3dT9jaBbLO/xy +YCvSC1sWM63Jk2JNdMRQPb0dguvxdYgEFWH40kcSEuY40nUnVWUilJ4aAiJSa0WLC6KGByrx+Tj2 ++0WZvOcnXl7oAHhT1CtsKkWffCHgyQEK5dAZcMPTC/Uu8ajZpQgv1WTmatmrhsotXEK2TCfwPse3 +AC3o+f+opY7Z/uh++qUkxaug3nMjGVR1TeWdv9iSUQRB8Yd8L2n46cWIUymDg2qvEwsoAujV73Uj +LYx/PHSzMzKGtICAnShBrsMHbX7VmkTwNTY59dtSsqGz+GpcjAfTopsRi3bNfJdcDCFMIJhvjN9Q +DkjaqD7wISDg4HCLDLMKpcKH4BDPvvWAX/r7IZO+u10dsD4CWNWLl7DwJT6EEr8AkSHNV0/v7kYc +YywuyTvxD3pipOKaffGtD0Bcv8ptuCHKnA2V2O33lPRFbwzzMIc2DgZJmo/8uqPYzM51Dat7twSw +qSXOSseoDAsBAfgD1lXbWWd7p7+9IMhP/nqo/45TtZXxCbeFfQDMaoc7RfTFYNRyMP2PaZYVPPKl +wUIgRUuuw/huhuzRwUDG9e8f5fDFpy+qyeC73zx7gGlpt3rLZZgLobc8EN1zqFIt6zBAQB0hdn8l +NGM5cTHbxaLEm9oAe8MPM67zbgRdcxKjvovmgYXYgWvbUL+bkdO8FP1F2pPTk8hkCjCLcjv/eB70 +GzZfoU8P4LaRlJcTRivqjcInqWqYFPo4bRj7r7G6PpcltWQ+xmjodWXIf8wo/TM8CbCQPDDPAx4F +oJ473M5Wkkir/34mu4cA4RmALj/Io/j73IBit1n4RGUO5JjOeDooHGMW/+K1wWMYMMva+4czt/Hm +5CSww2QcBUx+XWUMooQyrHSrpTxoa7dbOUf1RIfEVi8qTzY6Xbfx37FBISlVoDJzdkhlMF16tMvC +C2HYcVv0NfBVqO/doCnsnj44UQ341W4HZgV40LO+rzD2EFElEImSrnUIPWVUK5nhteQf29Mj2M7g +g4Ow7ixQjN7BoHGDZhjKS2q5F4c0lCN1WNKbgwJnUzGDs0bwlvlNpDnx39zyDR2p24aTRkvq8gby +NvLUhI3477HQG+clmSYOaSiMy1KpiyuU9KHcC/oc+CpC7ktRvzPblfGBGIPAN189ce7JZDOsNEeF +V/y0ORtd1iuuZ/Yk7zi4+B54fvsj+US4Dkc79AzTYaZWLLqfOnj2vYQRs5FHur1iJ6DyP0TljhrE +cvyZv8GmzkPK4vsdjVdQUHpNwTR56QbvvyiWmMWzAFpjY0kqUEg0dKOKR6nRUy+5WQZ0U0eZnMfz +6ncH5BSx1ht8SB//sRmn53NbJA1JXVBMuWV87btCgeRcpYAAeJARlgWyI+AGV3bafI6lMvuXMIF7 +h6kFg55JJGQGQ8K5WsAociCD1T/OWrKOgkpXseQjBYjryd67GLCGKCo9XwNBhzQ+VASX/LV4S3eV +PLpLJ14a4u/yickNgQgp/OJQveRpNfaUP8F9JHYz+Wrd9uMCVLkrffQlT1Qpqz5tb+XLXPOcFVgZ +ScIQ37CY8FlO7+f6m1wR/5ixF/OyiwzeOH7Pi//4fGcfw287PQY44jpjvte04Fd7YR2diapX2jLR +csx6wh57RHzhchxuZCiVBbHbbMn7YisKPl3nQfhe+02OvbFdNNS1iBt/4fw8jORDxp8Ogw+oJ00T +IEoiDuSiN+V2VjEtVKa/daWe+FDHRCl4mDgvdkyJaGHh9QKtrZ/q0QdZ/qetRfW4rCopwkk6n9HY +5zZxMp8tbojepRavf9EHI0jElalA62rVy5z7fSWKuliB6HyV19vM6bOW3JLbm997hWVMDw5jHkq0 +tOB4w2c2Ib0Zl8USVal3bauRHyLR20ofWi92AVU3ovUMyWozuERMYLOPAFPJv0cnC2vYHXjOgpgm +J6G/J2WAVrEqGxTQCFRjj25NhFuCiI523nOTWlQ2ZO5S4mAfwioN1V1COWUavuzYLn9fiiJ40ffE +VenTgpKvay/hucqYlvAo47QIbbWLQDQlHPHiR4dYfkeUfkKOqP8uJu4Zx+E+dSvZGddNdMf+qukt +K/VAUQtOBBfnKLiCxRcR9GxtHq6z98e/kggP4pNPIqK3o2oni4IEkvFSxjpa4L3EvH1f5usBxzpq +zg8rrjOayblTlcOwqbbp04ckUQCrYElNnBBQnITsQOaxbYvirz5xpdYAiwpAPuMLsZnLhFob4JQs +DfAVUzhhkHzugrvOglatwN6b9UKCxghAWReFNhNO8tsrB0UnhcfFbuYC2IatSluAaM23zKl0Jli7 +HFjewe3m3KkicKu0oym8OzM+VegZ9cwydTltV5kFR70cUoMK6uyw3GnUY8dANVPcazKE4J+2Q+iW +6XTSrExVmlQC0Y/eEk9AB4Fj9OLBMCRJM9G0MsraXEM4Mjarx4rj9Gfce070tIEoDWZfbtA0TQ0W +egpdLIm1F1jgU1ib/NUJJxLe1aRO9sn9orxbCDKC40oJ1nVFQcMgYqHwAkE3TGxyDsF0ckWPTq2x +IUayTxyowALi3WM8a95ztB9/9PO687X5SCzf2T0ATh9CH3PzWUVDAMhclXQHj7PSNArMR47NT/gY +iaL38Nl+PrYs1hoJLKVySVLDP2s8tEdnR6miF5NrcA8KzQOHaxf7fr4kKBNZpDGsfx77H5BwhA0+ +krhtbFLRJw6z2tvvnNf+hUi5EvPKydkja87GkpykNSkk356n60MoE/kq+iNE38XcErMofOLIy7g9 +a/mr3iFNdeKoreGFXg8m2Sr2OCvHYQQWGFPFY+H2AMY6H5GOmpw6pzcqZM+d2+Wh1l4MxLRpU05D +sVv0vg09mkHoTVOxVd+cAop2hotYK2WcLBIPK6CtBbbsx/EZuu2LgD4N7O6eFBl9ChYaaSa494LT +cjSSPOIDFQpcaceOxrRHsIApG8b8HHj4W4YYNS23m5W0zKYgQny/R3dlqpk/NZ5tgpbLI25ORQlr +kwAv1Y2B19JxdMdAJHCBkNpq2x0WEKx34H3vr1PEIZvLUUpgD91JGeEkKBC+wmZAAnHaQLmD3qGD +3AkzPy8++REDcaqkXi3UKR5DoXPd26sYGV7013f5abFYSUH894eW0EmGwR2hh6UCSD6H672coezo ++RkQZbcH9dBNxejFmMi1xacZ/tRF7heK5K2Ho+6EYZbO1n/Y6iKJA1Te4sbGUMaozAKrY6a/+UQd +kML0hLbdz8/L4BFPqzVyddKmqqglwet5QmEbQouemX1eCbEL3iD2wQuO889GBVvvKtjmIDRajZYF +vnU9p5l9qsM4ePHLvXUXLJUGPS9/Sn8dsSEnTzmeD3sC4ZGkjjG7R2HvFO+ogaj4vG8CsDHeLJlO +sHxpB/qWf8YDrtFGocgEhaGqJ8jxEuzrn/P/iYwE7bSZfIdFlYRT4Vykl1L8b/y913w/c+TK9Htb +RkU3P2sMYAczge404HtOV5MlkCcc3mc7CxVyIzpBWQVIVVgxIJs8+3Oa1VrXZXgYwMrBS+U/h53T +tJqRAFNvO1H13Hkd0PMj13os8uuD4RJ1jRpNTQU98r90Z2A9Egf1W8qoCaVlD8gNN6tjEnKEwPYz +uF5XrDlmrHhJT1vtaio54nHqrUxvtQubAv/2pE8Atuoz9mb5+7jy4242Bom0j3KVfgG7eG7bCoFu +i8bWnOC5SaPasJ+vHEKzWquXPIN6a+pCzRzTDxZ8WBT14cVwLgCgMizVnlaA+2Wq5TkM1LcTudeW +npNZkQcVlAw4ZBtsDEI2Ipch1sBTXbYLqGTHmGxWeaaVPBzFuBYoPfvWMYTZD75zOhhpg1WGzfYy +Gjo/SgB5fuSi22lDj9dYpp+cPaAoViR7+WPpP627aV2EJ/OV4TetJhZDts0aqt/VRE3nBq9wgetw +QW4komAhccGC6XDDEUI7+LToIZp59DEqV9pxWqO6EO//xgwunaCxOBLfxmJz2sxByueo1ZxM6gto +6PUJRwjDZxQrF5UfG850BpanBy5fm1eZnPVsYqYeD8jdAaLCqJknB7jxchYben3lYYOsIxVsdyHk +EdYRqhYy3kycfQ54PDj2Jkf4JMj3qhFDY+dTZjNAIbrPbMDuwjhZMtZTgdV3gkM4gFnm3yWCleg2 +BdcJVbRGlz4aT4PVrxUtPClsoX1sxheDNbLAcCb0PSOU3hhKLZf5zvwB4NUcwiiaQ2TctuGxe+Ky +u+DOJztkHzOYjNGO14FixMl7gkCRXU7n9gCIdspVrh3vS5WeMLfgVNNdYsA91/IMzxQPSbO6hZYX +HBO7/exX+xG+LJ8DAg+rk4swDXn7JMCP6PT8EOD96c4Gtw20VnRG0krFMSafVc0LbyvZOrIB/rSQ +V/E5F6C84iSBKiatYQAcRvc7QvgRL/gcELxU/OeWLzE97jSftYszNNzTBmA71qVw9dO8lBLitTRR +KcsEE11eTuzoiGNXnLLcl41KgwQMkt6k7oFDM75WGqwdNLCFZ4nrrrTXE8Rg46LWeLF0FqPfO8rs +LACwjuBS1uxygU1yt4z/Vi3QyZyDpN3R2u6kbv4DP0KunXdgRYvk79sW6yIrQaS3Ij1wZ5Bemib2 +sbo6URue+zLwRo9wAOK8PVyOF9ksNdApbD9/SHpgA0VLFKSUXH4aY91x2zLvYBU55K5XO0r9Rzk/ +rIxUhB4JOgmkNcKThGbuAlBL6qhMDVHvED2HLxW9mf1Wuu9Ogst2tWuuXSWSZkCSv7DXgRXmoLqm +lsoHbosY7x++UMP2f7Cr0ggBH1x6YntHz86SWbbL8hVVm2rYkBfEe/fBQqdkkRyhh16lgA2iWs08 +aJGQuGo89qLC2QyC4FZpJR3sT3lJYZkq/P/LViGXTQbanRwVq57a4WamyqyXuOJcyHkc3MFItqnL +wM8fO/n7wc4N83A2hOB2x2r2fabTuBg5geGljWAoXfJoh9Gj33I/q1YfzA4wa2TIKF2K/82a9SNE +YGIEyrDWNoBJBhzR1fZ8+Cg95TwjzoFbyVnpzIyP2bhv70xYj53zLn6p/yx5k1h32uf2McHeDVSx +hua0249Qyf3FjnYid61PSrrdKLLf2KwI32HWXZO8PLC13lDXzDgLTmxbtkeFiLYXvBHw0D2XAbv7 +3fWklu/yVfHJT0HmeozlejOaGNPexQVHSUHMNB5Elg/NDvryUPAGTbP1DMAU8gPH10gJYJx3uosz +PDpyKw1QTzyKXJqqgU6bUn3hWLZ0e9h/iSRU1N9zsu8WGe1Brg+xyVa8o0YfSrch0OS9fEZ7LQLy +6npyCiZok9DBgOmXqrACC1vqVRfKQzM4D4oAzQjz2DFJZmb2lj2z2X+Oc1s4sLBWHzvzyJK6bjTh +HoEpIhMayznR+xaooVAmKh+UgKqQQvRca1Ay+FA3tD681rt84/+xLE5HetRva6/CbFmSEE4sTgKU +E/joEMrXTCVzUHId36NACypk5CZcCpCKUhigWEaM1n0BLyWHg69Uq+vKYmZ1vKRsJiUB1qXXtCBr +NH71Sm3ZCQbRGpZQqrzdiwD/PHPClJdCNoDGshZ7jgVgPHn7YNr8l/KWiIkPAmg53VSU1OcRsdyp +xqn4KVAI/HROSaZLKjLTxGo7bC5R4rV7D/x7BZQ30QXJwXuAfealrFmg643Z6qHhaYNwpmCG0h2f +kV2dGMw3un5DpX/yCBH0tNjYFrOW12kiWxNhlRPUovohRnliJ9kLasnJjg9ZY056PnCUShmVzyQo +hTlN82/Q1PfOfOjn/6Xw/zAMRFwkxkOgQIXLzno6sZssv1ScUajbCr768hEROpe32gGpo6vM+8GV +KQdYsF/btm1aobP0vTo90Zsf7dxOVMqAw06+Bqga/CoX9apVDSxJBS84E4NNfUkV465zxtluB+gJ +8sIDKIZqipgYkm5ipNq/QOzm8VVw+OLKPUqMdzEZYx62CxyVFzcrxdrkIwUsjHU2xRQ/c7Id3+US +ZaMzwSjymiQIkKXYbroN3JhC0EHhupbJQxJPggRnLt9ihDzYqPvDA6cGglzMdNMQ5kG56jllptQK +fKgKzaqCrPJOu6Z0sy66VzdyLVqKJv6BN2JTozM5qoFHHLjxaHVhynbuzuqXTykc5MBu+M/o6z39 +wK/EmGN91z0YrPYl0TLvfdxShGQTfrXqu09TeRfiqa9qImpjB6WiGhUCdxuiY3PKgmPdwpDoEGvR +jK/ahAEhrOp1hJTXL4DN3ui+UAD8LGiGLrHW8bZ5fUVK9AZeH7xpYFgJ0PPTYIhAxH77K7LX1pMq +13ZZC1R77eP1S36WpSKCKCdGZ3DGNTKqFg/kpA/k6U4TqekjBkrri75rIWuCOypFk5kLCH6VJVU+ +RBUvX7zlhl29uhoe8TQQ6XhFDUJ5qLBSiia1Ltb5gSIWQR9xRFGprdtSEkXSzdzYNVtKjK02j7IK +ckVEFSkNfdoKGtOf9MZg3cPvaQBw4wgX9dggbW+WO4wGIaF3NnecZBJ2Yttp4WrI3jqEaUTK51Gi +r+pcuP6koFTRR3QOV6ur60jOY+yYg0qZB2+oYPUnOfJ4BHDamFQVaCzCr/TVwmhxFaqK/S6T3oHv +7M+4/HHlcqKKUEWFq+O05bqsmySjwT0ztEXA1qVaSrgAY5CB4YIpvMNRLJdzRrlHxdmfYPsBXRMy +ZqirZx5uTUQB3DMlg8M8F49+lKQfJicqBZ3v83uY3G+2xuB0lkkUkftNu1TuK4NL5Fe/fb7K1m5P +9WA9K0ADkWA9a2UFePqvi6SsLmzv9jpYSfPycQlg8M+F8Kk3rdiKm/mwOA7hd39iLUJrGmf5NHBd +GcoeIN5t5VD1PfHeLryOryXx+JoNLPB/y3qn3O/OyS+mkVuVSx+12bmEvpZR3SUkf/T8tuPJRS/P +ljd8tJPE5Fgiw5r3IjX33b6HFphCuaDGHv4kSCc+U84yJq40/CnvsUOlIKcjqh8b1erwHtmnfxuo +lOvtB03oGF8B4E1OInP/wwAoeftumhcgkWoizQmUMGwZhZb3bydCE2aPBNrqBSg1w7gk/y0jLV3m +f2jE92006ZS9rAzlo/U6JU5jw4WrCtTuHE8JHbPMTtXh+S6pnmXOknBneAwsnkBj4ACI0j7ArPdE +TaEe1mD3xAJJX1+YRUhjY+ezwmb9Fa8s3/JB28p+TV9kTKTj5zCtCY1sWWDnKU5VU9w4VTS8RTbn +6h+bcUuJfOUazQVgwAVPlTqrDy8ugPC55j1RVKYsl3cHq5zIKdqBK5aYGe+GGddYdTzP0vkFuO0A +3LU6jw2SDH+y6MQfneC+R3dgSCHyM/3c4XtVFXyE0WYLeNdu1M0ACOKCmc6f/TExSklstmxrc94f +gjwZkjQdUigumm6lskP+RTkTP38FcmLZNzkdPDxPmFl0Kr7nxox1OvXRv3iQpsPojMRkKeilVblg +OHOU8xvTcoyiLRi54VdeCzoXBLxrDbuWXvpQtVts1fU6y/b9w7R7sC99flQyO5T4bHCIEkjBfGwl +syIrckShjr50L66i61e5mHwkpD7St6DnzggTtqjdCfSRO85N37xP4+kyAi8lGIXE9e/UBqoy4RKN +SaZqpOF3CFSPVBgFursvEHjTPjc+W8q3JZTLrxJTvpC6dyfzyfq/4RGPS1XD4ZdKf5KlochrCyor +9BUnQh0CuZvrSXZeL01TRsPSDJyyYK3HipJ1UrN1gv5UkJeqxJUviG9RXER7V2pV2f3wNETh8K9X +S61lbLQdhqIQYKoC4JmbUfrK0STIEBYhPEIR4tjYff5S2RFPHS3mk548xEVMv4nL47HImdD+cnHQ +ReGNWsCy1wJSS686DLPV+k6R8beugpwYQ78gIqW+4V6A6tCnn9OVRfsxrEdcLrL0Zcr3KRGPSRRi +8taD8o0jDMrGvRnlD68+wGBvbP40jLSbkGGC1GGDgE0ITZTfnaqZCG3Xr7UBqEIRV9uIAzvi2vqN +5DgJxcsCYqRKM0NcBpS1ixHPoN6QbZPohVPxvr2SvZWA5z1DgW6oJ19FX+OEFDmj5Mg/fXRXOnSM +xCF8EGRsyMIZ5aaQcBYBuPMWCll6HWVHzTYGcYRsKq6IXLlS9VRWF86V61diy63H0W/M3idLGaoz +lFdhUAMFJIYMlvNObolW5al6jRJPk/ZinesypbdBGfXC6858Aiyp0vWNmR1LVFN1s711e/rRbYmx +fbCDPNo9kVkwWFh/+IbX1QDfJr74NPZ+vS1AkA11nEt6fPQTp19uVBRTgxlE9faF4vLo+G3e7q3/ +tMViniS+H4Jblm5zCTptF/i6yquWZ9b+cvnPMpNlZgL67B9uTBWlwJiwy2r0Sy+wjAgY0EuOS9H8 +UaiXkCQuVzaE6uA8MBNIByIz5tSvH8VHVFsOzerZZZsZ0K8E95CGDIBx15CLDkTCMrMKAFWPXPG/ +uT9oHLhzn7ulEjv/FK8OaT4GTWwrmM5Ivwr0KW8Tcb4d1bJZSWM3c65eIoJFNiDBOtUrgjqRsK+C +O3bU7w1DSn5fIQNuB0iz+NFnn0cabGVU9xmX2sy8kCoI0me5qCH1yumijlo+E9vRIABZK9KWnwhS +vLV1/WX8TsXHS+mfM4LoWW8QP/XDVIt7HEhlzviVkhjNKZ0hAnko7wUGhiFm9XERDXJEdlJvGOnr +6tnizk1C7Zd1L8Cy1lnG1bseOymwbXSxrIOh17NjQJy6U84VhFasGEZTi5etDRg3T3kQfKzSkHsR +TvvD669/rCz5sSRZDrEdfs03zLTVd2eQFs2mlQAgOnyfpe16Js8Ph0xjGMJKuBtdgLRcMiHFRYg2 +2B+uSyQ1m1N34fkPnLRFQ6QN62zb0fjU4JqZPV1+hWQrejwaJ4IQs2klrlTpy2azabygCMP/SuwA +bO2P+HTmjkDl+32fIJCsO88LW3XOvcIoTd36QqVgbSVBR9dxg4icQLsjxrRE3+F+23/UcG+MR18F +V1Payaa6984jXpBuFcc4ejelupwkcCDKWXkrSi14KEJN5rcaIkEls+/WLPmxr3E+mg5IhkcjyI4+ +vWbqMcZj9m5if5fkpF/ASC+nRUZHR/NPY05thBp+wzCJgQOudobT1JmIilnuBUEMadkw76BMx27L +J8TBfDNUhKeFr0X+SB7bN3B7Uypb046Gfmz2wGdlAjva5eyPQFWHAhfHBWm61tFcRTf5Bl7FF4Nc +vmR5JIv0bmkch9v4CEkJymswVYLYNi67KqcyVUn1STebB0s0hZeFnqJx2ir2YsWz2essBXbxq1d8 +4fNYt4iqGY+7j1UQu3S9j2zEL2qKmTBrRuUdjgASb18Xz0xu4j+14ZTKnuabAJluRo3E3M/0NN8R +fSRCfm/fHDOtx38YjjgxYYVdhj3QnHfRC9aZTZIWhuUXJKh6MoLvcnQr4+b9TegPvIOXWFwMuR+V +BNPQdH8wNSIuY6Z7I1gzVeLFWho5OgFfo6HkFHrElpp0zcu+nqMaeRWSoxU4EzbxP3fJZotaWgSQ +aA2Qhp+1sTh41JB4q12SRso4Rc/sC7u0oIWiB6OC/YmrdHep9NMmSAnitoWCHryFe3GKggiMCSHu +/CNy/pLh05CI/pQgW4MbcpPoXSEkzGZVHd7TrI2uWUHQ5gmtbeVTlepiJAIUglu2B5eL2SYUo16y +/63ByTI4otp4Wll1MJ+84WlPX79w9b1rK5t9Yj+4sUaFXUpKHl95jP/Nzs9nBG/O3LL188ffftb5 +vI7vkrsUO3iqe+8Hqb37Crps/a1et0tlte4u/g0uKNIp5V/JTw1cShP273WU1CrspYKh+XT+nG98 +JAUjn/UnmBMNCRm5jhfuo/N/L0CAhp2r1ncPRYK+knKPTOtlERNCN/e7WtDvXvYok5RXp0BJUwYM +QDEpyRwzHEMf4cUAEr+CxjzcpuqDoFQbIoL5HUMLOezG+l1HrsTvBDgwZT6S5j87Nc3XKYnT7Ue+ +KQkWJNfCk6GaCbfNcp6nu2N33BokS/37+n5rz8A5PH+lGL0OGHK1/qeYk5gIOSv62Nf9MtmLvqoC +Uw/ELV0zmPi3IJNVHKlABOMTRGBvSAXe7ypnGMlmIlzTRnfMEpfIgCKd6FrztMX6eVQE9qheBKpo +qGb/tcZa49FWgLWsUZbezkLFz6aBMFlAnyh+v8y8NLnhJw4mQMVKxcDYQq3pKjkr9zYNpa15xdHx +uTN0cPm2HWGuODoqxBQowEWh5/aIPX+Kud5MaocnY3febIPkWiLLfgpKztYt84heMBZ2Tid4rzXp ++rHOcWHkMOEZuMAmbsmGf07bor5NmO7vRDcb15YSuRaHh6XCdtIPECDDTJ0/oVfWf8CsCIYjtv2V +hUwfI3SZf/JoumU+3WxoN6L13ZAE43mPmicxkaps57Je0csW0Bfyf8TvFcUCj2abHh2tqIjGK5L8 +vtOj0jJWgcBCQpeEEJ/dWct4pAznquoDGqdPXQInmESVU9b8H82cv4bWYyCXxlLa/xLUN1x7XDH+ +73KXDRHK1P2KeqviKEFptUlSqAo/jr6D9JUdNsOJ798IiZOH0IWKcJkrpxFsvzKH/L/GxA4o4kTN +nj/TDl0W9cItIMEE6D4tN6qGjnMjbnf/iOvj7bwEgTp7TY/vYWL1LurZrvA87lDncOABTF3sOBxr +iuvk8p/w9ZN+exrbVop9t7jkdCO7MAPt5decqBcw3dDOQPrS7RGXoqCTb0SPo3Q+lqKduKs/ACHj +dSeft9KGK/AD7d0SU6RXID2wr5fww+P+E1zPpl+U3YElHvCyf2zs46Md6bGvXEoOfCApVsXdj/Sv +MZTctOye+gSfd0MVkt8yi6I1dGBfleAiVP+n278J3zNGesc6v0OSShTjZzzMqELaMKGXaSX1G7NC +pHm7w8YaZO+xpPtqn8+67fjLnQ99lkHiPFVu3xXpUCZAgR1CeqyxgGNoqVw7D9+Z7ylbOFIKgJFK +sRGRvvIiammbdML041d8pWrlEPzFAjXHcj/9S9teOxzggMLMh+Ix4wldCeNALa1JXq4nQmXGpU+W +cQrRYvWU3cX1Tym2bHAE7Fw/qadrklndt+IW1+lbawmeU4SQ5RG/SnRTqGoNZ69Y4jvqUvIDu38+ +0fornxv9NhAjY0IOogXCilOqluPdBioyp8Hh4kuQQYNAPRJa21bumHkefo08RyNz4MfILxms75dt +A6aMDB/ikhwnQgZjDyNxX56eU7FFAS+M8otUhbx/cX1R8GKqdJkE5CYYYpNzlsibRfWeTapK/qK6 +6FQskdUOUtO3homNmUCvQp9Fb14Da2PYb4T0oZaepE1ixOAfP2JUXKcyH78hU/5zf7T+CZkf0Sky +W5eOIbZTQ4J0VHd3snhrqU2swdU1mmpeL1K+uVAZM7xN+ydGIJT4WpGsM8kIefPBR2iUtbmXMrpk +WzKx2M3TfwKkxf8Gz8XccmGffesUAvcKo7Aj56jlCTZwlGM5qR7OVmNZWMWZ1KD7UEOMkJ/HkKHt +kv39GzKwucnHaa17knvRhipCnwIhG+oPYjp3vOgkTqu1djisiPrJpalSEMjg8C2twI5si051r8Zm +9BoXRkq+arhICWJy3PUNphwM7iS1okjPZ3kiGn38uSZORaRTyCtowB4eMEaRt7Pu9HYV2ZHKdS3v +8L5Wft12weyHLItagV0KbnSyrsAqkGmeOEQ5pz6JIA9GP2TedQnCovy0GZhJyImzgmr1Nygtv+8h +vydpV8GHJq5Y8e3YBk9DtA9GGM2M7NxXHi5lL96g8jetnFIy1tLEffhvQCvKqjC3IPn7SI4TvNmH +gECK8RwhSB+sLrT/KnU9j9b8HBTA+Xpuw+uCgzDDXb9aNu2moAImaW4ZLuDOnMYDZKkG0j0a3kpd +Dpe3E6geRxl5xX2BJ2M+T6e2wS/tVGNIjpHPhIbd8FYkW3RqTNYRcB6coq92i5XIYsMuhxvRdIBH +mGUHiTIVIXWLVb6JIv0VH5viMv72UxQQm6U+YlM4p62uRzOiJbzExW98qMgq+r7vLPyk5G15/1FJ +PK/SkURc+CpUaj37vKIi6GY+UgSC4aLGPlXH7HOUi5x3A2LgtcrzE1wUQAxshC4/m1dNpZGghBfj +C992z3REMOUFroQg8ShwGKFoAmzBYH6QxJ5QLWFMZfbTdiH6RxmFAJx7iffKpAVV8osC0+VI1Qms +OaMXe7JjPIlhVTMukLOalpNjmKrEMB6uypTsD5tHSpOz1a7bN+VGFSxSe/FKgjJw5w2jaWCwl1EV +zOt0cLpcB7KC9GVcS5dlORsBXS3y1xo+FbudmLV2WvvhGeT/G2J7v83tf37184tIh92PYU9Q61K/ +JiKTQ4S3L8654vN+HpdB5385hU9t1PtF71GvDyeTJr3CHO0wjEfWIa2lIIWXnKxcL5g/G2y5FabQ +xxMnWSGWXd+Wf+ENpQzKqD3+vW0g84yZl591M4l9eOX5VNEOC2f0UWjNgCiIiVf+tH8z3Rl+ybVt +HusKM2OYW6v7vrZ9yH16ZiQH7fyQTd1Jc2Jk3TAkF9nchjH3BAu0iA3Wl4E7NH1aHNPiovgM+89V +x172GjyHJW5JNnoHTXaOhJ4na1tElaychc+0NayE/pwDUC9apalgxApYN5WaN2sX7NuwyDV9i/P+ +Xc+yM3SRBDv8WWj9LH+hKvPnyZ1R9DXPBeFdAxFq54A/qREVAa8EvOxpbRlTAppbmwCUtvJiiQXV +eDSQdrRlhsyxMWuG+AHhQx7+hilRZNIErhMBuymmhlJG5IIJYbqoqLPNRgAw8OZxgtIPuhsuG+dI +gZXTYVkXDL5uK9b7D81hesW6PP9of6dh5JrLVITeNzQ/3FED23I7IOvQMuyENZdmHGx0gHzc3w6o +FKFlKK1nJGN/wiPdbI9hzWhRoqsoeI9H6iLvRBwQk+U0MYcyyH0+CIra5FGS4t0ALp7oIbWDrBFc +7eLbsBzeOb8gOy8xjHXT54qjeLrlqyYY4gWvKwhBDNLHduqZtWhLpbwm/iKumnwqtQpBbxdn2TkW +O0CScVdVb4wBYHMlkPq2+KLh/5yM3mLjqU4wvN4jCmKnM1TU7dCZNYsRC3Ss9grK0pFKXMZ9hTn7 +FmkHA01YiEPw0mTIS2LpkBK/+5Cw4kZAwcHB5ESIVbF2rlzylVbeKm/RW5mfvUNUJVuqPgI/HX/1 +oPonWNHGyaJev1Qxl78bxfHFygxkSagXJGAqaCYiTmItKtQ1psIKMpalW0OCcZtxoG8cy7tlmmFB +TnE9RFgi/YJZO5unsrAKES1cu8H/V+2SYYQcHFnCvDj3v4dgYvh/eevzbPJzLIjFP2ufm9w12vo3 +d/sFB/biN1qpc6fy0Wca5US57rdp85FJp7j/iYwQ3P+V1EfTrC0NGd+7FSpsFwGEJmuwDrHI5M/B +hgdlAIIljt81nb7/RrrvZAm1abwJ6uxj4NSEfr9I9+IjjIA44MHospYeLSmyBpM13ZetxSzi3GAh +aJKN4ZQQRhujGy2ZiB75TlQVhIAKLwCb3ZdzFD74y2jmyV/5WyfTSMNAR1yy6eNOLJL7uu8umF/C +ZzYY6uKWacd4X5y1M00eeGOHTq6KIrNwHnSCwDjtdyTrug4jBS5TertYSC9bfo//uCmHEhfTjoit +f66kbiIAJ3sIqKD3SJeK0qn31LjeUfilELBqJQlz2JBaPxjMh2oHiPVi0CphS3UqiQGOIFgtPZIj ++OfXM3paXtMCXVFDrtEhbTH31eWC9F39LNngueseaXmrbIlVZ9XFS10piPJFPo9xYhOi+xv6MU0V +ji7QUtWmeyxbt5ab1qJEy/oL/Ds/8wHLABMgVt+UftBzhioo08DfvcBAKe3GSCmOBimu46JLJmnX +SuYhzzkYKI/oL7KBrEcPOXnehLI9YwM09pzbOGNVUrN1k8qNUSPXBOLdofQBy4rNgE9qS9cm78HP +fNLO+zq2x57v1/ieUP/pqF3HHmaJMM8TJhEVBc+4D1qPe3EtHJeZT8TQc/0tDZ6D7za26ix2tBsD +J2B+Ka277enoqxARMDjHe+gHeiIIkckXYw3F32z1vqRjp2HfmipuhyqICR4e4oi0B5cZWNUD2MXs +gm3KzWvf7Hp1ZKG5Rj0riiwppqZFVdDh4GNejazOA4W52rxlye8bjZuSLJh9pWEr1RkNfMT1lvhH +wMJs185j/Spt+lPe9+1HxG+TvtKCex6u8doYbysZ8Frbg0PdgqSY8Vac+5D35tG5Ouk6kp1uCMn2 +f0WeufpFi0kcNNok0Qvu94Y2V6zkpMrEOonbI4Gekxi2rE2vxKxwYvolE98oPVprQvvfkyBIZXGw +RbfWpg29WxiOfI+I5fGpDxXpTRW+GAj4E11PTyOzutIkeoXoyN5R+POZypCkvVVlJrNyo4AmzrM+ +JIfXum67XLZpBj0oxN4Sm/9cObMNM/RwswcnPsvRRnvH8mWSQPQ+DZU+QFGjkpkRBjnqQgpOllWu +AkmuUemsDckcv+QCUvi+TywZfTiaL78oWihTNmOzL2PsYhehx4UV499c4bZYIHeJQpH7vg5ix13v +1j239YNlv4j8v8AxNs0BqKcdosBHdxNcCEjXK3zs1TFQKFNUAF1mDlqfBtCm26qF2ywMSlzAdJj3 +uQmRZYReGaVWVSXdlOxPFy14Z9DmQBox8Vj1Cjcppf9OYDYMdkNK0E4ypHRiYzidl97njAOHi0J+ +TNfY3HHnZHhu4/OAxr8admS8lWtapcNHjZ125wqodGc1Ug6/462wcsvdRP+IX7xiLvejWt1nXe9u +HEcGoxw09wOK5nxfvE409wJd47d3+X/QQ9zfbWRnD+IRJ7tPtAkp547ADj2jJUwWC+XTXwhwfSqs +D95LZ3VmqUHUsfXIp58BRo4JxBGFFdhfJP+RWZbPJaORNQdG2N8VrdedoVnHPH8bKg0mq2mao+gG +UZ/rKq6qWuyXzHJ37hbtvUWwilAeLE+l0Ak9b4n3N+9tPzMGOipbZam1WQRZ/FdxMRCeXG2JdHhw +1UnHATEFt1wYN0G71pACX3hdyooxiRnEfjlXll8fnp2SrG6IFDz2fpb21PIKXIBaBvCf5zPA3rMW +RDdhQBmVRZj4yOdLFQ9eQK1F6gzRPVUgpvK6OG0h0B4JrTZGkWDwR0auAwR4s/2yGFwAYq7icp0A +CFXgXC8P3GjpiTj8NZBDggMmZu6V85W5/PuhKiFzqcO5vnNNCZo4I282uhZYY0tT+IWQ/aVh7Mk5 +aLcaAjhFO/aAKh9CM2QHP1aY4FXoKtqQcdyGEgz1nC7BJUxOFmTC9lkoWP+wtqAmdi/10pEXhaqp +IBt+RvC3duM1orAwrqAT5Tct9oXXpFA+BnY34i9tvRENSNuZqhnbp941dzfomkQZIc8aAsEW7Bph +0PJq2cXyr+XhqxkNUFEEW3SbGY3dM7ToY5tNtuJgPx7qBghV76Yj6VB+Mtv21Ca0yMu6vY1ckXKq +qSoqqnaCkeRzNZiAED8XrukHO40lclYRnKshSUMv/2xlPd+6qrqqkc14hF/LjtcMYGF7LZ6QxfLA +YiJnGZwVD9mDLopvBFHt4TKGtsWXQ1TK8o7iimqYr06DVSgw3LbTOJSoJ3t3+n2JP6wOezLSznQE +t9gLMr+4g1OJtdRuZBP3vNR/b4+9ms3lixUqQ/pXyVzXGeuQW1F60IuWformaBXXBuzL7voWM5hK +5AWCJY2+LwaL4D7CIYQKGC3IzrLhrViGiklLoDRwtOhle17PE9fnc+IqX6OXMNbX78TXvaTkskq6 +zC8btqajTWwBqqMGS+7kYaG7fDjz1luSEk2qjaZ2qgzQMy53bn8EtGZ6ZW8L6tOlAHGjPnRM7hNR +0nTLmoR73+Xh8ZP0Uo/kYrpCwYyb2X/wW9tkAFkOrCO88/4o1kTVozWOtbxWuvQAmzTDK0Z0GluV +h+GSZh5vfeyFSZyCLkkHKciQWhsWultUT6EUiDtstUjX3Z2rav6VHBMBPg3x8hlWT/EYalG2N0YG +RkaRll2SUKlYZkVxueuI6xvOALD2nCiLl3+gLwubAdaI1keZ4lt6fSVIsKVO008iiMe0/7tFDJ3L +v7qMm4ErfK46iUJ5+QlUBsSpew4SpbGskeYyc+Ji73CLAvDWRa1E0K0sN8jOTkRSXF0i/6DYz2R/ +TATXcdH6dFY4RneiJvbg31xGb3yimhkpllIKzYPIQHOgIV8Kj4fAzVVRU5us/Uk864bBoB+DvddJ +tKSRcbo2jpKn2ni3sItxgZBv8ufYrrbEQWkgHSZLdhkjA2+tyuiyc9VY/5DnmdHKb8LeKif+OP/H +ACd92P8SVQXrpThIrIbULOXC+N7bGN8qFIZxl3OqcGMysSZFW6NKY9z5KBtv0nYdPZEVYefrEvUS +NzmHPwzjucML/+vlCCIzO67hhC7tsPMJS+k5ixnLALIYb7OXkI7LVg3tKkj7a3HncEVZ9UzavcY2 +RdSqk0lLUUSjsmYnY94C8EQfh4Q4cJtPht3TFc4SjeX74bm+5F+Z5vSsUiBKN2QX1Ed+gTanNb/o +YC3iEkbN0QOWO9NIQj4Azp9kwwzz1cHKNofAyPn037tnIScCvyEbzzgwIjBWBCqvT6Rpv8t58nB2 +kMNH+0WP3Yo/p2D5FcwZZ2/LGdd+PmLWMk3iebAHE45uP40SPdij9uFHlgz1zSa5SUq8dgqvV9Yh +rafoai2vzV2eubBNsJHGltYlwkcmYhtuwQ1W/qEE9pG9Cq3FPv3V+E2d0fIrbYqKbyaoXj0IaRNd +NXL0DoBfYVedE3RErMQ7HwjwGJPlcV3ZUEDmbJYiWNrMD3DIAZxEE/vZ3ns9OXjbZkXB3A2iCegL +wEgpR127jZbfvUUzQSmALlqxP52fXjK8vT6dMoI9jxO5SQVl/oph/jAeQKumbjf8qd8Nivn2XEXt +V+DHEL76NnMIL09NIjSyfUNSVbkTCH1sy4/AIPy8zIhV+bMspqTsPq7TkkKTyWnYw4ibFm3B0n3g +ska9a3yMUN5wyFC6UlhZ6xOp9EalO70ahn3srgoAVMaEDzHyiaafdiSHj+zaEO+YFwvBiruuVFT0 +QJFXmih4biJ/rzo6a1D24a3xnk6RBkrCdeHX9j/35QnJYZvf4LYhlz5gypKb5yxcwtsiZLyu6eD3 +mkOJxSs3giOUZVDsiQHvriNF/Y7lPXhc4MIzjBog09h8JWKTRMTdHNUJ2SRXHqx/d/eD++rmH2ym +RFZmcDXmehxsAQUciybpRHrznC+5aXCCKnT1GrTzBu4CeTchO3Fgy+g7EK6A82ov/LSRY9qYStFb +Uzen7C0m+jobT5ZbjZnyNI7iJjxgU7MhuYAeF/X6ZayvtGYZOO1oDi9F3Y/NL5Lc2ZBXNnjYXmNf +2sn8A/iTNkiaXEn5aVXNNj64KXGX7Tgmqchj/M+1tuJKu1lLh7DOWv7UfLYFWDOJov04sVNAHbWY +LQ9Me2YyMObgTdQPOQoHVC2nf8wX4CxXROZkpRRPPSLqvWPSvVs5L1WgdPBhqSRTJdubEWBG4mR3 +tlgtVx5K/IpC1qHR9uEzrzGyp/2TCrJmlh7Wd6yb81GDq8XbkhEdaWDfl3kV3BwbdTTP00UTyRg/ +jh39oe4SZWdTQ7VS/NAG2I1CUP9Yl1qMBIh5+xDIAnfv7ziXwdy6JtZzcNcmG8cl92b83/v7XaFY +bjkAI+S6wD46w4RgBMIvZdbvLN8/VgvqgxtgHHEmvWKqjhiPauSrF2SYr9HynV1LyTdg2JJEmgnv +s2qH1I9gbLAxcpOBsmf6QgQvOYf04NDieGjffagoJUZ7ArSuttfUTjekLNvLUXP+uFF3lgimI264 +0PknXOHkX9BUtMep09eFBZcs1C6NA+DPeQK1xDRt6LTJxZde/HU+gOI/m3W99NH6ZxzJSM0xNDpF +X+YXvkDAPr4cOLPM5wwAf/6Bhk6yYJqqa5M6he5nT6+4yi6IyW7DDJhYF4xt8CQrqxbfijtU5PGD +nvW89U0h3tMglCT0Wc2uqMq1LGxixbzd9ifKTfwy1Df+MpQ4svvcAOz7pAOuXm40JPGoEDjkxl83 +qi8lpIGmASD76U5KWu7X6Jt1bqXwKvjd5qA3yOgihRL/a43hh8/lsUdvoRvTBVk17YKRZcfryKAn +Uk3OqvsTNPmYtSSMdxTCeHAxF4jGTmzdO3DoCaDCEPz0n2+fLqn6hSUOCr0aDVzxu1k8TAFT9UjD +h9g1Cj2hKDuBJRkmk6OI2oDc2thWgJtEz1FyrEp6fWTYN5JLSwR0dtppkPMvQZaA4wSNlyh4mY9o +WFEBaq3YT3UzVjnOQOu/rNPrk8Y7CDASKSwjrJ1L12Dp7vvB8n+kBJJHZ909+NFhaN21wJblmA9C +bOY2l8UEbe9RkF0XTuw59xPapKqtU4dTQhmSYGSpJ7xeAUMdn31lZhVGHd0OqwHd/CpUOirJ0ond +RJ7NGXsKQL90IDUUhRkqCWJhbRhJBiJDpxzKuxYfIgjxq3PbTlzsmeRqpn/78B8hO9lLaI3wSZQg +SeGAqb/0hqzXawZHRa599NKtl/RQVFB+2wcm55l1dnEF5YuNVrXNwF9PFS4sc8WhA5ryz2B/QjT7 +FhrBycsSyjmM9giSXV5M9nHxcwbSVcW3z6+ulA5nXbSrKWIHv8edSS0psCZeU3TBwwwznGqnQB5S +X6EUhZxjuM6+5D7LWiq6vAoWgUb1TR3Sr+s/cT+S/8RtHS3D+ZARKb1HSj7nWI/4/Qj6Kp1hkssk +6whyXC+u6SvDg64tDouj5BK7oXWfOFnNdFrDUdZThrSEWMvITEOwSMC22mz9H/hfzejqIyoNDrdF +5sTyFzfC6Rjai3rH5BSdBJhWquwWc8XouL0gHJK8smiq2vP2ue+aYNguxXpEV92/YgkEwb3MVH/p +aGryDmGJWG7IviTIITteYvn/SmcUdoz4F0By/ZnXXIEYqxDmlF7x/9iDLxhdv00cXGq4+stCjZiq +ty4In4UaMW21IgfXnk1z9ASnO4tqFBHWScqLYHe9CTxKoUKvTFk8HYyQxlcz9jcEH1wkKM/jD1Ya +HHogF6ab+HX48OOk1/lioDJTIrGqDbu95eEGX9RU7IYjcyVvhjP+9qfwZje7yG3OL5Gs0JbnaS3o +/6vKfXapPs3hWRNbd+gFc6eytgD/ZP/KvoVJgymMpdRsmNeA3X6OwuBG7mrdMiLBykLKh31HhUVZ +HAeUFBjGmhRn3bVNsGzJYiq42G8SjhHdCa+YOfuN+P8Dwk9mQA288lTcPEiPzD88A91NRmtUhcXC +3LN/id3iozt6g2Sj9UUHoFvU6PVUaNeDciQx61C0NMkeX8ywNWdHM5aNmx8pnJzdKClJ17baocay +OE21eChaq6QlNWZzXAzEOlZcmOBjU77qucdgzNnBcx8eC45nDH9/nqnkZnxFxNx36tkzlJNTGe81 +hJ27C0OEyJyqaMhMVQAAOa2/A2lCHHVE89zf9CA1UFLBrLZbF4pBokvb0nE2ryi2JHk8m20K/tC9 +5vSwduRhkOg2YM63knSW7nH0N2M+OD6tLFMAjDc+WH0St0aqURO7GgqLgFYdM7MpOuAGl0bZPoos +ljYAHXHYOKlaWvQC7POzGt+oPC5UAqkBZ8wfcSV+ibHsNna+jfefUsLkjXtYAhA72/4o8HrqO+Xh +dfvMiBvHfkHnQZkwoPFgX9NflJLWPsjAbbo/hdlcBpyBmL8kGsBX4LdSy0p8UY+4agZTjvajXVi7 +hoyZ+2OUXrM9n+YKzIpQM+m71yZ19/nPFALynJQW38uSSnZBV8giHVdrhC08YMnmyrghcV1Y45t9 +ImpPeAqHw2V+VdLf1ICTyaiUyVgHOflY7vdQ3IIo5HE8+jVyyOVlzDczjf6r+c2kyRoStL/9dtLJ +IxOd1ObjJMuPAYxQHYY9afx3adfW4qAwpB40Eh8gggJy9eiNw6JbmNMlLMcmg9wJgQhIP6CEkW45 +7U7dxK2wsk/eGggFCC457nAHl2YVMs0AazsBR4hYqmQOwrOdeMslp2cPanjA+AWD8LD9u/5Q1Wb+ +dxVpxMljM18NGGcsxLSfcAJMMlD5R09AvOEl1W8QxyJKBmwciPQdS6TocGG1mh/RMVSIQftiooaA +SLevDZ6gMHFfgyzM0QPEGI7opiiWBQYNafbxVs2+7P7Q6PKf+24b6Qrx+Ob3XomYRPlJLGHaZ5dx +aRa2YJQ+UnobyfVKe5I6XNwXB/pXEZ0c/piAhymbiFntEoAO4BsA2LVvl+/+DBBPi7QPy7VnmcGu +iWwU8wWLq0naSfJIvifXYT2zSELqKteYyQRJ/rkcfoEQx6WUekgZiPOkO93V3y21r386w8pk8B1G +fKVlIWXyRRJVX/oiXMMKCtpdlTfYd7+aFZIJcqk1TUQNLr+8RLDQeIZnuLPas9/GbjmwTL3+i87O +zckOHKHMuN4m0deA6y1EuBcXSeP6mGmH0P2aDlybeKbeG2wqaJFsWoZNIg9zEbk3a2kKpwUEXKHp +5h10TVec618Dt7x/rQvQBdUJh40+KqDz9j3I3G5exmKX+dNqDHUMvRCLyGnvAifo7sP4b+IFmEx7 +lOCdj7SanBSBXqBbEkwPo5TvYvzfpm5AtcM0E19n/tzZmn0+je4SdaQX3UmuARVc9OxZCeFEE/Nj +dEy1RrhyPcSiQbfQ/7e7IxInXeRbFMbNuckvXSzApDNysVPQKO8lJLP4+34t+RX0ZontWgieQHpu +2i/7QCAZ+rJDkih3sVUrRVWXWCAWpnOLuMscRkSjvzqJqx2RI0WNWS1Tn4R+F9kRbqJQxnR2yL5/ +ZNlTMlMOlEiaZoRgu4xgXSr3pGKPcp02UBXu5GFye0I3hyF4jigHEw45Ychr9WZ/SvWzP7cZtYV4 +2qgn2tFoNyeGXeqz++E+VLloTB7zCHP2m895bJmPGjxIXwOcl0ZqEhOfNOOzb3h/Rtll8hROTfaH +/niztm6wUdcSBrtX8gzf3FzFgzvsMUQ+kD1JoHfAsK8ardyqf+xPEsLUCWvvUY5v8IszQjypPXlE +q05mfoXNQz9At71iZAy/1fzdUwWw9Abyz+bK3TKDQ/5XUn1YE6NnqupavAUkqZlmLTdCOSzTQdin +KSVSYdU05A5TKyJFHKXTq+f9ehAOFo2CK6zr5MBO/XPkj15gFF2lGlRfzNG47kCfssZ2GnaRd8e5 +Wh7jTsHeKUnW9sO1NdLhe5NpF1Z5QhU9WxtWj/G5lTY2uEbheGYa+9IJeyLXhmRSvpNq11y5Ijq6 +fN77gW2B4i2/E9e19ZiVQajR9Svl2hah7vuyD5OJNMpEnYDAEcbnPQO+z8dFYCeuc+B7/2aCzYpJ +UlZHKFfjRnaMvSSQ5sTYLE0TBJKloxjZ3utEsldTgfKN9f/4BfWIEnT3m+KvQe3AeeljKIHHTwmU +6wJkkF+5Gsmru5dGsO1sm7Gfrw+qjQ2Zxhbr2qdamjWtByKul9DQcwHHnM64GG/pCSKp0+L1bb/F +aT6Vmpe/Yc05+fMy7ebGaJQ2zy0JvXP3ZMdUdzQTyw8jf1FODnEgkBHKaxbqBg3VCxrLzoqjsqSy +drHE0abCqo6JvedRcnuIvQ8Pkc16A089Nf9b/kWLAc+wTNChzqGUC3Q8ppDimRJbMLko4fiGUfBt +wG+B6zXJpkGhx2+22Dy0gfpKMRN6QXVWWvOfRPCJtbNkXRKhfMCnx9sAYOWcaDiLeXg5twgukTz5 +XxdfPcF1wWpemyMMJZYaWAAbIo+ELKPIXvP5/6ogTD/IYOOx8f99iC9SMPe/5XNXCKwmUg1BlE8f +WQQ4hjWZFe2zxMes6+mpUltWOBvNAv2RiYlBWuuqVOZtIfWHErRfnDzYx7h8iS8G50IWxBoIyOXV +S/rAooi8zkWE204cjOE8eww6loyJrFyCAO0m/CeTbx7vdMNisJxa+1ND+akonMnqbLMvAzVG1MtC +PHsg9BwjY0c8TRykljtXlEvZdGZOvPr88uyj82yOe5f6KVDsk96vKOpsBz3TtzDtd1XSEohu7QTK +3Rux7cPjwtfeH809VcfgxtGtoDUvvi7bhMxB+NZ9uUiLTT2iVlfJsdj+XSD9dE0Pwpy1EqFl+EpV +Oa/nbEof+JrbPS3kBw0juqueaCH24A55ZjNsnhG/m7DebIGJl8ShwT8bmG+gnJSsAwW9POgaT3RR +SSCpxl7OHscbeZoHw7JJQIj4UvXBSClG0F+FnohrEX1wyh32EFL8a1VMvQH502aU7zrKtpBe4xsC +RCCt4Fc1+FZb1L1CRgnbuUmt3gUd7q4WZR+QtQeHNY5L+SbBmcRbdFRY8Yq0TDJ1652gUW+/nP55 +Sy1pNODAtpmrPOEs+myNDGbFfa3F8037N/DXdEH2bVG6WScXVBNx1fIn5Qb+8RjahIKxocHwbnt3 +fulfcgRYY+eyK1OwQPN70QOUJvyzcTlMrXlzEL6dS7zxexADW6SiqkNL3C0hdOu7LJ1vzEj2S8SQ +SRoWgUNf8fJbMPCYXCIu7mrwxLhvUNdx6vAgJp1Yp4F3KdZPwyTvB+k9Z9pADoD9oVwtKoUAV4rb +lgM8JvOqNSD1Fx6saYroABCBR9Rs2I1EcTzMJER/wcXKkazATLMrB5W9QWD1CCqy4Xflv4tsAQRb +Ot+/f3ujxpkNkrMI8gyH3/o8gdqYDgGWT6Orrdaw3ol0mKd3h3zsGHuzNdbqjGOZbPCziexhzB87 +BlFnjdVzwYiyiCsUZ4JG8RwFUpUpNK0VsklvETu6qUYKu8wYZpDSKxEu4x1wKCbDGi+rWQy8GsA2 +Mvj+nzGTZZiI+rBgUSFd/9poNcsXqDn+nwMle3N9qbsYVCF1tF/BnPcX0TsnsKuzmw9gfURBr4Em +MyUL86E7wanchSyDLhykJULbwsd1V+XOWggNfTdfehjwRiLkuNDpUFnUxD+cqsb2xjQf3pDPrXwx +JJURR5NF/4GhGZyJJanxSBPlkf385GGnXEe/UMpdAO1i6eYeDsYPFp44bFmkQzMQosRNWdUhjQG4 +69ndgMcJahT1laRlkqRUqVnCszU0xjhO37pGpZX5OiWz0bVyDv/2sNkT0MtO+2Wmv/TwC8IugvwP +ckueMlKOuk4oZYtyX8g2s/HH8Zo7sZ7NxY71aiPmgF/5tyTC+kX1yDK69gU7cWqz2xxk/rmBnnj9 +VWf6xcYZ+QsijU49vOunJIO8tNyWH/4aYf1TmydsVcvm6P8xiMbBdAfTmmcGRDUvocqr5VT8qKO3 +rrLlttgTiSj+uOB8F5F4wHeczw074hG1qTrP9ABlX6oV+WE94R/XFhMPyd22puIQb7peZOtifeyS +eytAVCg0qX9SD9WkC3qVfYQJ6RtIsHiVblP+hS6nHwtLRv7YLt5kBCaJ0jC8iDh1Ki3WRwRG2bX2 +Xvc4xg/IAl19f1XWMdOc4vRklIo59x/wY+3vF+OybmKbb3nBf4aSz4eZya3rvPfVUkoGcZHXWFh+ +rkgxGj1/GUiGg1IF1ImG9Be1cpyzfqYfjk7HzztTLL82WktmnOL5qQP+BpLSFTRJbLLLpsV3afbS +iyANQx/Q5AOwtvgiAFf7pL1WHev4TOePIj5PQLQQq1nyMLfGKrBmDssq3ITLLdG0vAelHBqjrsge +M4wNK/2eFzVx9RlF9HDjr8ni6eKnjAIfHSblALcMlXnaDuStKWcDcOiDAV9rF8BnaG0MA6GbBqGW +cPQ40Bjfoa6mPE1hoeTHfw5R800/l//HGp/rocoDNUppne5L9f8saiZY8UbkWXnkwgqzEJ6XloUV +lvFzsPGE3kfBg3WcnN2RNpZf2hjGOD0VRtn37Nel3kRQm8Lmldyt+LI13Y38BJQ3aPhBubBlMh9W +xPQXIJU+8FZrIgS+1qeDdHIthjZJ57aYezsIHgUgBprA0AJNHhIO5zyZE+0MUl2LvaEQ2pWTLTWY +SrUcxgPaQ5tuanZJmiofdtAZLNnqtSzELety0m/EiVqCci97n6+fe+NEqt86eMf/e6olH090sCI9 +FFk1GgiAxPI+3YrIwMO5qTFmgXDx0TultMU0CkELsnVbWD7tn04PKAOwV77EnCZPh3QVM34HyTJU +A9+BRoEcwCd3rBrffUXJOm7mC1yaetnDxiBm9kW7ShGdCk2vhHSpvnOEh2JOXD9bzLifALpsyGL2 +Xx7yO36Xlz0cr6RYPDv6fGT11v/FAA+E2KUIHJy06t0JUlKvfxPOcsDjc9q2HiMcE4DhrElj3JxN +P2yPtsIMzLvqrSwPdwA00X9p2FNTrovqjOyhHSEfTb63aPlDt9P0H6mWA/zEIi78oATOxZ5OTu0q ++I069GVp6gdW+4KQ7kRWtOLA+wtbk3xOm20hwGKG+daBemoLq7cAe4edYnL05nexdvmsgJnRiGmd +JIAyt5caxnRptWHXqrtAJvAgrpK1YSywo51ZrqGaMgzyLt3bDZfvYWD1lysT0Hnj0CS+B0hw9Ph0 +uk8VvVeGyQ7j892TqCEmw+7M/4QtCGTdVs5bp0+EP5v+bA04qjddHFU3x2eWX0ZkclU4TgpPjOP6 +v2Rv8pHTbkLnyIrzpno9jboP+YXpnV/HZLa9IJO50jkj6sdQ2ut1mg71PCvFJKUbGlmgf61BtyNf +T9ifBeZbHDtGRJrVL0rmF8oA7hw4mx0lS2x6mzu52xJrI+nSeTqADnf64C+R7jxeDjTPceFxOuRs +InrbZIDgXw2Rpg2T5H2iF8FgQ15LC3nvfuzHQcSPh8FlKrqNOzRRp7fL3RqNPnXJX+GUYcegsG5e +Y5cijKnLaPo/IBNUlowhRJS6Z/1tRPOYG1gnyOLWjx4OY5vmPamJPSB258519BQNYKZ7tn8FQ1J2 +FV9jIzvi68iXln/+syN+nijk3Gv13caymTd2tBxWmuTH+3LWaIrBRDUqkEzjKs4OBJwphyFPBw4B +xg7T6coQz9tfGNcOF3UMEsGnv/upACUbwtqaDqIgttWoVWOQWyd65TnoiiEg/+GTUKEWE5TQwhBU +sSwpN94x9Unh31tP2UJZ9FLmtwH979kE3DkWc1RB9rRbUDVno81p/HTxirmyhUQukNs8Jh5yhsg6 +sQt2VAxqZFKIDHXVMSyeEA35Av+gmS4sEvy35DN6PR/uTmpdBP2ZeuW156OPEXAN+t0hJCU5V/fF +JCW4RxjNWxJm8JDH+zJiiJ6igfCPLesfwSRLYJ50O+B5Pje87hzFccQpROutqS8lgBBmx2QyX2vt +bsk5FVfskAn2zvTrVZT1VoDvesiRHZPriSrxNvoAPsJW3MUhkgIObYcxEnO446Ylxc0t3dN77TMu +YT1xpTMZPAy9zhSycLnaQcGD/TuNveLoSc5HJViEChalMtM13b8eoBS+fRwMKLlLd97SeRSA5HQu +vAuhome/RppGJCxpoI2HTNDgan1ahPRzjbgTW1urvq265Oj+jB0dXe12r89/yRCGn4vb+9cqPG85 +IeQIJE7XX9gZr388Vnr0EWLKqb/955ox+dGSiiUauprrZh5SZWSnl+Yc87Mxt4Iha6cDbBxyq9QI +OGxQwg+jeJe+o1S3b3Rs30erK4FRFu45FvLL0xXE05qM1o2lrvORNQlefmFS1iyFJuwmaVWHgbzF +Fzr/d6IuQmoO5DjP8KoDLpQtuIvyB3Wp8VEmGIVdLarPOT1j/n7PAN0VjdOEb01MU9QKT3kU++m3 +6fGqy+h8e7OofkDFV9HTvQ66CKJkGfFIwttAhzZzkRUZbLJJqA+7MwUJIkWqc6pbx0tUDfvn5nAz +8N+txMug4M9aZ+TUOAB6FdGmsu+RSikCkaFxQV8edc9LOynHOvWabrWCKKL4GjHNa9lN65fYLWqK +GMFK/EGP2M0SdkSOxR818HIRQ1kQPGJ02N9LSQ9hs181KlkjyJ2uqCA1YYegOnI2/dy23eYZI+fC +42wfDUthb4LIBkYX6PX8wNSGc2HFh6x6urOuLMuAxsxjSEoETFVcY5eTRjX3IgdqN3gUwpVenHQP +9c31+TWNxlHJYo/PHsNRvhEpDKG8Qkx4QD4I3KV+gvcHt4UOD0GKS1lZdo1B1om2IuC2ySTyyaJm +x92vCk3GUKSImLq20v/QgX27U1tWqTj6hPTTIaQzxPs2FDcNQ04cgDf0aDdH+XTyIrkVDXQDUwuU +3XD/70vSnbTteJ6whGASSWWfRFQUUryxsdOFoRXT7oa9s3W8fizvGjdE6TjtKbyLt28mE54RzbLt +rGTbdsrNJu9ATJGWdk6dIoJIFwDuJvgSKCAsSfDaKgEeLbPE69kMKPBU8qds+K/GbCw0jRBj2lL0 +L/VxOHkczi2nKOqmA/P0zx6ty4dRaPUqrc3WFb1gIu4g+nsxDmaKi34KlrJfNYB9F8N54TVXI7QL +2bBAiAG5T1dRHt7rDiObCIw1/7HMnLTPMRlBUFehXaaEzmh9Zo+Q3ViryFBALV8D9vMYu1V4tjuD +U4DWA2ozMBZ2canNcax3jpw3bmSz7ezSDHZh98Io1tj9vY+XLB0wRYOiEcmvUqtIWiER7AVXlFzs +peMbBtEKwZFVdnc0ToendokIPspLWghdP4Ys7eyEHm0iMnsoiLmdfwLrW37Ea0Lar/LffM77RqYu +ydGJV3cqyO5+NA+DiZnlxEqVZZTXdbbaG9codqIyZiUPu+GXDgr+wCB9LbhmcwyI3kDrRWijnqlb +de1SIcsml+44grTblk+GE/MSqRf5k295ylhyc3j2VGv7lHEW7+OT499UPsYUY1UEXg4KvOYELr48 +DO2e5+klH28FhhvmbQ9gXqUnUNDF5p8M0RsTp5NewEvwidJZpZtavDYYSYBs3xmD0i7ktAEEYi7X +Wr/Rg1AYJ7FZph/ptE9r8zA/U0lXXBmwWwk69xrIrPhtqm6gVaHiInVfqmTo2fksSrAojLkzY0re +Oc7NpMjVph+rvi3vKi7hzPwstGD4CRWv/xsX3Mwa4K01uV+6CSPRKN3ujuxzM1FnjgUQiZdT5NB0 +anLaoy7AqCS93wKzCmUuSTSQN4ToqJVQNQcKjioMNHyZs4LowqLGG+pDXbsItFJcU+BKqT7dnd5L +DPuGTmjMjBjpHHK0R6yM2I3IZYowxcpudMUCilEfOBrVw/orUXKmvPNASPLQYTNJM2POBP0YHxk0 ++xWJ4Db+CjVwe48eEn8/TP+Yx5otuGyNhXRmHcENEYYaIIO4qc35tF9du3Q6lBpqvlOyIiLy+NtK +tnh/gwFNMDsUS020xcGjuXGo8xwZQva8AsvVX5d00cMAc4IzXqSEL3E7/QFgBShb3eA5N+R9kQw0 +gqZmP5+NzEcRCjM0anp5dCL3pyfSmsBXEiFk9+E09xsZNEJEYryZmaaYD6myJqhWHadh9oY+jtlw +5qdNjcbi38Nk/5WDQ60EBqWb8iuvvtdSiLWKKUJtxVRSJaPdk02R5XSFRE3m4zJ/2img3fZUMVi8 +eNwyKtl3E0btl9TMI1hZYN761CQN0PiQ8MQLiPbBNViB0/55Ss1zLP1CG9iuZEjMiJl2oyHCDHUd +5iuBSfAhGQBeE4HMMfRujkpXcoKMjUtWoIuWMkvn4w8yjvp8u1XwMI2jCt9X5qeinFLkj7nColym +lNiEydodQ+Iyt1VtHbACIAhFmQexowDKWq7T6p8uqjfwa0fPTESGykzrvilPdPolw0853w1go1mC +9OmfT0pbX+YMbcsrRjyqDMrVhUnE1uYHlmrbHiVrOMrcoRi5gVKkGjuGBHenZ1WvXg8qC/71qi0i +UkBfpLGI2z57pcBaA6TA6qWqr3ztdICASBIz3VGmEo9d8BhhxYw2ZRT1aYruVzswhyvgyZoGcT22 +UvnvzWJ3ZHFYVBTiOPdgnkPOEkCvsErOQmLtpoMAkCN0UPXZc06+5ujqjpXk5h8Cx7sUCRRhYrs5 +hdgawhLUvYYln5x6vLKtC+zqe90Qygy3bJGmCGZPPNgXCy6avL+bUdFaIqJ9Vlz0mLA8j00PZwMJ +XrGCj13sC47/B0fniqzqgy4Vt6sJm4zohCb/jlQrjaZO/NftoxfBWst/y8CPKzVE+37ZgU8M81J0 +HpOQBYMIHA4fsylHjV4V4yJBTHiY9HSSQHNnZKerSsI9H0+jA8DClOnjkG9uKGvcvYDidgnjp47G +0l/zrzpuSIu7i8JbV40ca9jn0fSaqLThZIUI6eEFmiIIyMXtvTV9DIK7Es20H46ZVNtwJZcaAh63 +JMpNgGUsipyshsE9LHh6JCxGbivbruFFbhZxnA+B9rsSeIGAq6bMTyA+HmH3W7njtpyuv55fyztw +ezOrlsir54InFIohuTalsMJZAZQDBteH/h7gl7iHR8Hi75ptNPKBxykt2+2QncYLbZRUe7EhbRcL +Gq+GuVy+OmryAumtXke4DW1cJSNc1BZ3XmfIsOKWNA3FY8pAJCRDa91Ek9czgoRjy6o6n1KOoS9y +c2oPdgN4q+GSxWFEG8EJmLfgKsg4oGzgVj51YmB4uqMDiA0sBcO9+AJPN8USMTTNzdhTrY6X4S0v +Yh1gpaeEadRprzACaIqqZLdVwPQ3CYOtU+OS/g+FmxryZe5qfYwn5Yr3g1RkaeIqeYXFu+eyw/DM +QFFoOrW4TwlOeqfvBWG1b/wr7CEXFAJxJdvfx+Ogt1png8sy3y5jWy6FVBjP/+7FDmII0RaUKtjp +/mHXvRVMGPjbRHJPWMQSgwaUQTbgev/mF893NYnGdAbyFi36ZUCdBXzF1yQK11fKFleRx3PSDK6h +YPsm0wI54g4WC1UT1fRuyRbV7X2I1voUmr/Oa026XgPfyNMEpK4t4LWClPBzFu9g+Im5FlQQkzWE +QHRKhjgg+CZ3gVNDUqwQr58iHghoPHYwZ72SOFd1cnWevZRIXvU/Be+yxr+pZ2iaDRPv0FWQNmQ8 +ah320jKMjm5Z1uGam3VlGEx9eGRqsM03Y+P2lqiFaW3ESVjLa18TBR7LvFk2HQVb/u73qzobHJdO +bMzr4uh5qer+NDjXg4C+BMjFAl660NV9igAdc+7QMBmHQFlH1Q9Gcu4hSZi/fPrtgvJwVO3AUtNb +CGHO36GqoFTNVXbp47iTpAog/E9h18ZDBMnpULlAXoxxl8V4ngY/IQjxZv0ZlYlKDpk4uEhjxRtN +EA6fhDRTeWf1DgpJEox61YDqOJPdXhs9afBoKyIjimoq3yCnT6I9hVy0Mb4yDd7grGXBqm33LG/F +lbIg4F29PI+butbYjp7VAGh3NFWrSWj1va4Eh9LqzM2fwMuaOSfZUVaYtEv7r37FVGLuzFe91jo7 +etQFLTHboeS2RKFiymOrswUA79E3m6PnlsdZZDPBewjxUF3jFrolMOC5vuJ78FyCd6bssvLU615g +yzU7gywjhy6aWxPbg9BnQSpNqGB0cJ5oFuBYSPXW/dIvNEO29sqtwc+MDFUAzez/deuqeUpFVf2u +M3BEuwrGvc9p8hUsjyMsL3tv+wh1DbKVvTZ4oReOGTMK/uIUyHNdqqIdhKX3x/vVSI+u8PgONlPd +LUGSdWuNWW7qwOv4bfhRV/v9GzTf+3omzcAWqKm7qJyOxZ/n657eCvIezkz9asYMIryrBvW+MgRt +OKgjtL7SzZWnJ86boOG+ahlR7rxBnQsa/7qFdM2erprS8n7aDTkqwy2gwbwKxIem/tPUmhz1PCu4 +sz+7GAaWupFln7vyBAWA6N+Pvd9r3Snk8AoIEvVzoS+JC4pD6HMNdhiZdhccdOk7yQhbv1sQEKk2 +CdcRjMLbtDnnxJ7DmTZPW9J4/PXzz0JHXiHaaB6qiiHsEIjCQCEjx+t5o08IJ84rZ8Ng2LX4CX1h +WfgPRKW+Dw2J3BfQLj+R7lGdOQ/r3DYW3yndP4AIspdzR7r6KGqfgBahELgrYj2PrU/Hga5eq1r+ +Nyv2z35JIUo83R4umjaSydnvBjJayMi4LBrw7WqDn1GSVOX/9qiJVGApK3p4hBXUhbpgnzrmMzB8 +c1ayHBgj9J6IxtGZIuSPxXxerUhqUvkjkK9dSDM0XbZ3JIJTS7bCEdbfVqDSJnDECPB8abkoelVZ +cB4kflyt0eRbLI18n9pJCTJ7p5uJ5uPPgGKj7ZXj+YURkCjJeQVAOBYemAf3RHQZeyeln8jeOldO +sT5FUVXmN5X4FK+AaE1Vnv6f7OCeh60rwUH4bqU9pI//T9lFgDDQ93i6ZmFtJ3brdX72VXWuOX+l +88fqiMK1H0gTVOJp+ZY3VANefB2F6zBWFKTmRuR3UWq1FYBorfZ4ElT8oMCEl927ANm5vSXiZH9t +Iqd1v4wtu+oVwMGB/LFlio4qvdlTURgLn/ZH2PJb5MLWH2rScVffpcMRPyKmtDVSczWw9DnLlpw2 +qXGHe78jRcg4Xgnnar8HV+jhHAix3NB4XNatzmOPOVwvYKltkxf5qXzM1xR6Fw/CgVQq8YhWc6zv +ixTW+NZMgAEzamJQIINZ9XpVsjoN2lhsUV5Z+81z9KWraPnyBqbdLrw8nulfemNVDUEuJ4lrjiBX +B4tu2if+jUrt7KzzlBcuyzcwNRYfR7KJQuBU8xh3Cx3UMqkTbm+wpk66tqb7YaH+0p+anFn/+RCG +o9Y+OcLzi2Wty12IPqXsxYg7j0eopVoOtmrQJLWBd6c+0PWoaNdFzHf/LiiMqnU9ua50p7kpkD9J +9r5bp6dhFhRNF1q0Ksd7qyJ8pYd38I70s91LTG421B2921oEMrY6GWhY9RVP+XXLQ3dz/Z2qdJbQ ++RuHC9543l6a39f2ToeOk9IHhaHzdDYsCsTXSys37reuOBzYGYBgiaz9grOXbVQrim4+4bLAnYd4 +hx9mFuddO7DAfyMxR9y36OpmbkcwIBdqHKc8ZywlMgHgK2ZFhYH50hhayP+1OkY+CCHDGYEZiDaq +ikf0tYBaMGXkjIHkncTQohV9/SUkVYh0og3rRxsA6VrvAHeBrVO6HcNwQDrFIjcXAn5sgntDJLwp +BPcFU9B9SElkJ6tnGeRcTKf+XP3bGPnMHcoEvHZff/iVRE1fQmAQujYQZdv7UBO2T/ITfS5hvTtQ +fxdnHRizFhY6OItyIW/tMr77nKisvP1Vulhss7HmKkeB96IK8GG0kh2LMzPTlDg+ZFpF+hhOe2Ax +Jo8VEJ0oW0eJ9LoE5vwQqrcU3kp69wqT/Ijs4QUjE5vN699k+Y5AfzPT+c521ZkaUp7iUJUtCUNl +6x1sBFl5mW6ywfcQmGl2tcSH0aHF5eV+pU2mAntgT5GmU8RbmNZuZeaardHbBwE6VGEEruBUPTwP +AZOE1PAbdGnZm88anEDjODwxl5Hc9Rv07bJl5HQIwXHe8zxvw5CQPZIAu+PfMSyCadfijfGSNLMJ +gLjQ9C2ulTzmhrwHPfhhvR6fsh/JJL2mDAhxTjwo6qcWO2NiRoRHGsUIQUFr1bwCCLJrmHDGhaxp +dYp4HcYzDYgC3Wso6NCZ9FRpoks5MxzHC6eIGWd0IiJaUFnncvfG49o2JxXmmyQiV+0Xvm9C545a +qdzFITmwcRlUh/RYbhDmglb4VaqklPJeivy6gDBVdZj2vbmwYcjRXGEQCMCp9tbj8X/c2C807wVw +7K2RNjhQ0x7UAxEZdbpAl2JQNCx3iXAxdOxQAhE3UMn8nu6t/uAeSglk2DPhkOwB1sv3oDnBKyGy +zLXLAbmowri5rKBJzkxortSgtG/wvvvF7n2Lh+E7o+WM/KJcn/fl/Px5rKQuBYe/VI2kmL4bRQZS +BB+cTZWkUWr/HGT312AvC7xqRwUzHizYkOftm0HQ2EwxIgrT0+qfJqplkiMMxtZYHjjtpzzb+xQP +RwM+pyjOPGRzblZ37dBN3TNe48R7YdixnYTmTpWwElYCXam81egwlJP0ljjROnzYUy1WdEk1On0x +WyiSmxouH8bt9b3jpLdpy9sHkJBeQPKwahjWe5XbDJRDghKOT6z/eMu+JhhmK4DhrvXgTMqj1UPl +qC9MgmYzef/ubj1Ow4L6/nIqVUQ6VR0Rr6n4/EEiQ7Of7/lBMojBc0MclEP93EkbIN5jGEzaP/iS +ujDf+T1dngAc2rM80ME3dCs0fgeDeGl1hP9j8uA+q3h5LRYKdFlgxFvZGcd6BrxVhFQJgWQ1rwF/ +uBvaRQxbgXn0GGi22VtOwlZY328ofhxmrjv5pq/nGOgkVr2kPhRtrezXExwylHtXWsdYS6AdGZTn +JBoX+wGHnTh8aa+AyLckrU/srUrbrD7IigjRxg505MLAoutyaDMgWVkOcy9KBxPxP2zJOlONSuU1 +L8B3nX+XZIeJ4Jz/N7Tpx7X0cFwXX6zcrhHJ4BbTjNdvXTxBQ7LhXlE3puvr+zFdSnCnLC2sFzg+ +5wuPrwDTskSijAKR9s5jhi8PLw0FK9Vk7pbDcG0+L/JFTp9m/r0wxUZ26mz/iw62Wqb/dwOqhL4v +P/0TE26ZMGEsqgHvDShNbkFN9lV3SwE053cRk31uW8rju5OGMpPxM+Ol9FuzJinFvslweWdYDo+1 +JIvKORhJ++cbZyDdkcpYBrlkiUdROEjZZBX2MgQCJTzAehzzaxjA0Gxt7i6pZgEyZLsrzYmDps8V +HSHpt5jVhuMWHteVh/OAwyuxAywVxLJW8xUWSJlJQWFF1lcps1Y8Fy3ovZIuaka+uXiBpjqogst5 +hgbUatXN/ymZkxtFmccG0vp3IceqwiaAZQb/rtFu6/QkzobsjcysUiJQmsRnwVQbzh8zPkJPo8YH +fvVzl3r5yBJIWwTlph92pyPiYY6r4J8KCcpvdJngNQEqdYTp4SeEkNlYhvkvIByvEJqtUzOzHEc3 +NbyP+CBC1E50WT/ibH9Bc9/JJlYtv9Nny+eLR65xn78kA2pqVcol8/Gn4YrjgMmN3s/WNfPZ/5U1 +AePvfUYiN8Kcz7OK5vXRRlSiTl9AkWwX0Eg/18afEazDBNUc1omSawDu4XyDiN/cI6irxtZ81JgR +7OU5XOD8GlYoybT7N5B+0Yydf3gM24dSslxpQ9KqK50nsoxVwG7yD19dKD0XnsoukAG1Df5eWbbK +hsaHNiLU0K5hGeGtUxYqD3NN5ZeBGZU5eNYLLOw07kVOPaPaEFVbvOMB2E4TwLWD2Ov3aD1SVLPg +zd8PpzP6TBggKzxR5SqvG0MAt/YyUI8To/ueYIZ+iagAh1EcMbzWabqpkzrWY32l6QzJIecgW28z +/0sV1Gq0BIa77cOLi6gp45Fkp48sCjlaEDp4+nU3dOR+9DTx2nHyAVlTZd3KJ2VA2k5pD9mXkfso +FN+qox5zd/OcaNXZ/iksKHRTwMjSKCw4tItKLQqSU2NB+Sy0oNdjC/jve4bHu3FD/KIu2Wknfwce +nnBEcREs/SPyxlgOpBd/nUGCBkjE/0lqCcU4tJG5jklH2wNDU8fRFDXXNVtVHOTvKsAAxTY0UMlT +5xyxVrkP+T8yUVTRpSTP/KERl46Qz5/CdngOR4fqLCr6M+eTTIYWsQIAMHh5ObaMOLTSXE1h5HqC +j03HkNNm1qyb0979N6SrcZfvPkmLIxw3c7IVuohw1vRuJTjkIZfd0L4OQlzKdq7ITUflYK2SHbek +T3TH8sNLPJ54ySfwSspqxfPoZjC1kllRoiuw9/UzGrljBU6aXG9Olfd5y4rvKhV5kK1vZ6hyVLCz +FEX5Og/rHjm4FZz37CJTRHYKe6yjLU8tLAqLee8JiHXjfh26xPCt38UPII9VcbGQkXKV55G0eGDF +re7DWRfnkA7pJ9NMMr8P2ed6ZpTztCDLwQ5AxaoWx6TkqnUvwNwfnnTWavHnpJSq+x3D1ArMJGx4 +3U25BjaK5pUSau9D9Xl1hiiDJn1ZHyyMyuMFgOUlwLWAW05BIVlokc1kiLLDz0sXVoX1dYcgap+N +VHqFwva5WHPrVPp4zPl/IBNaeWifrVzLv0sERbjocNcspwT1gHDhzq3ExjlWnpjbejT3AGps7Lqd +uvNKOw6DNs+0zvx5NA31TJp6oZ3bx4FivJPFzeUoRHmIo45yxQpl4CRGawWw+yR40gSDbfAY6EX+ +tni+JTBsn2Gd878cdlP4O+Jq3tT1QdCU9zjZIoeI1BZMECjgEqornc6GEtYqMC9SpSS7tcTFcXcH +SYrXDApzQkkQ6BBFKJ7L+biMxjf9Rs9Obys2AdcXRuR5qbrKkqlObD0TGSYubVx4y0UfopiFYYua +HaC4UQiqXZvOiHRVDdqtQPzDACwmYne5D6Qg92E9hHjztD3cgsuaRihZOLqIzLDsti4OJUzfO5MH +useLFXjub72KWva/8o5R0oYENL5l7uQ2Vem/y5i/Q/hGChYtPRxZoyWep083jjD+NKKrXw6pLORR +x3RQsJUd2NGLmZO8/VwnfhLQjHIEMFsSZHY6UMq2FX9NDhORA6aRrP1sA/l/MY65aoeqmuVpEwvc +wC3+F2nwL72vjOZ9DWMMTotB7YWkZiA+KRFMmxzoyO8Z5+XebeV9ch3Qk6N4Mb2wLZKl1aBIav33 +gkblqGE/gM6o1bxGr6JGssVqEjId+l90eAU/bYcBGLefERPWLNj1Pypq03I90x4qPzuvenHV1Jgw +7iHHa8bzNYr9+eJIXtIq6bFKchm7TVn577wYhWGLFswmIHPNq8GePRyZGZx+4UynzuC1ojgJX4QK +n7WFZ4a6p9Ap6VdjjaCnahIP62qfNOtmUJ5Qhn1CA7yJoGimAV6s3HfsMa7/aJt8aH9cNI5DSfrP +ORoHVM2UujzPlWsqNAPo4UoXYp2Vx4wZQQZ74v/llwnpWcxb+uqgStnguIFA25QAbsZLt/DA8bfD +epU12u4HJecBFTC386ekVLpWnDoLpEV2IlSa0kO0yNINY4yA4YuRKi0lPTb5w2k9xY7lfO2ZAfXh +qFx4zi4Fmc7RxzImVl13e021BeQhAyZz42aLQ/mEWlR4O7bDel4tJF5ny0I82ZtK1U+KWGzKpRd5 +Jz1JYS0swrh+t+bejh6D9vciR6o1F4UAkruuXzabQgcxUPdOEGHOkpH+X9X1Yltp3ZB37Km4f2qj +hQG0XHj6QNV18Je5BnuxlxCdD0Z9T3onpGxFYxralCjhm6tNSFuFf8ttFfgOMTfGk1CMZPFSraHQ +RmY4jrYz0lVkkHEYV7zWnEY8HxD72EvxhbJgM5AbGIaSzJM4o4s8s8CQqEoEGT3XojlcjoEHxeMY +uH+ZLXgrVlYy7cF9U0UIXHG3rdK1ujOT8yvYql/9B21GfDGEGKFrXvTy5H1XhY9OI2IRwY49oE33 +X4ycrvipw9PVFHYAi9rhrifbkNLgQAfBHkivmSsv2JB22O7mbllhQ7JaK+pvHzzskle/nLDK+u+D +wUe6WKiwBRVErjLwgNX4Ym+akycfZ0G8VD+Z7pt3Xq3ZVBiQzb05NS5USUbYB/QNQuy51rCuH9Pw +6fvaEyd6SMqZL+47gA6N360ubLztVlKJthDHtZKVp2rmvM3PFvn/Tb1ib17jQt9OLlbUCD+v+9+Y +9CbeBHQhXtwSpxWzy2SQNKYZdli9RY9ANZsEmEhlKxYXOftpKn/EzfrB/k9EjqeT/b6R1UXAjA+7 +k5vR1ERuN/1NEnfDiJ3AKrZdVWaLxLdgZ46oF5715C6bb7i/YjHCtjD+uKvA1WBmld/Ur8Z0355t +NrESOcyB6ylAhfcvpNlvs+7IBSZDq1jqf8rMV7TlzzvU2CqfLTzk+4Od+zWYzYehBj/HqTDocnT7 +0nCOdV8iCW+jFQCUzZ2nptuBU4+1ElSAcNepuHMth0EoU2cYGPDQkPcSSrijhgBC61A8ds5+ZCEP +tvdF9yfv4dEaY8/JGaoM7lizq/WsXXnCyz8H2MBObh3ukXXWHjCCDk8mgH6wDLAISLT/S4uFxZqY +q7GVpN2zcRgrr0huLeuCKZi4eX5BYtFMYfG3yf9f7fVvxBIvaLQQArGUwLxBRwLawfB/wELOAwiH +obEcD1IDqbKMGKXhAW3TrPTByKtrv+cIWzckoHnbENp6OX/Jj3hdoQNtv7ZIIp5jIDb8tKdQAeJA +qjI4GoZArg0wMT0A8G2P/xj+08WTscqBdLDkXuWiMxZnu+0ySc4p6FvBoZk5LWsg56+VpwCQieCJ +XkoUbbIdYnGSOdESWYYAE7ri79UARF76M8IyYttRmc3/f8e2u3UQ80fkN5TYuB/5yefjM2ETRhhE +PFfzDDBMYjo22dr2H0aT9iRm05lcrprvEcotHhMEMZwZlmt8hQB8e8JiBkI4ICUAoB4k2ezvjEYr +As56Yj1cxV5xALRRRmwRzuNb4DDYSv3NR0w1ErHpA2dRisyEbGvi+oSH+C+Hscnxu/SVc/J5AK8I +A01um5hD2ulyJpvlLy0fg998m06E6BPzj3zlytA9Y8x1CAMRCFtcyqNy2rzJaNMmA2ulBBAyuKj8 +fLB2Gfus091U9O6mCfBQwAoKxVod+bVSXuFNZpTInvUeIAJl9BUtOBGLqQShrRZdlsKfhbKAiYOB +hAE8B/txtCRnlt/O3eV1y5+GrS5HYd9v6lAH6EOD10rgBRf47KDKewSjqqPqKx6na86zCpci05EV +vycmWFNYzD8D1d/tG+8u6DGWa3eJ/jsJ2lsEZvxM6HOBIPDvJQMpROfMIn8EDomMiThyDYQr969a +HETtBQxJM4dU8fb9hYtuNKY11+B2DLqQGc6h7RcdorJEneCOYkJU0TGLfzZozksyBl9uhovmsH4r +uNE+BYPataRsekBBwBdY08XqdgMn0rdbC41XGlOuhb9Azo0T+I8QbFTBMZQ08lBglEvqDIAMEmM6 +nUvr8YgV+P+hakcFT0O8r44utnPoHRvysAZvijqoo4Sq8VB7DRYO7URtBYxxH+H2ESfVJLKhIKMT +bE/HNHciYKrMeuRyssVnxhAC6TxD8hIAXQ1rbW8VkWikn/9F4/D/jcF2O2JNj0GSex1S+gPHpXah +h29l12gLWPuN7vsFDixBqPtXjevKT3PovZ3RSTUaieQ052My+pKRhax7gxvcRVF9V9QQXgIeISxx +KeMxNDZavi9lURX9mSN6ljiuCt3D/XYZifa7qYlYErJ5F7KCoxyD8BkR+1A5Ky7Hnc/FBtjTO3F4 +OQk/pBlF/vVyuAXcapARXn40KqSvWHHgzRRnM6O85+bdplDUcITLAsmijrAKHkXPyIF3MvWivdvn +4VdR4Yr+BEULvA+toBf0iweLmH79o46VennTruHnAqkPVPc/dVkiFArUuB8nBecbyhOY13y7OEUK +tTJYOZO4xMYBqm610mG6P1+qk6o0JWdyKnUfO1PVWT/9q/c5XxuGWMmTQFdHIda/uUTysVkrPFCh +xeCOi5p9s2zXrgbm6WPtjQv5pvPsUKDjs4iprBpiE8ot7KUKLj2GlpQ6VmV82KsGkKhZiIdkwJpU +6TkGHKFsjEScFG37SxPa3+eXb2G+qntHpnb+g7ZVwKqUUTXYirdGb6IzOmNwBpqClIDuM4JOWYG7 +RWOwI/xZiCX2FXuBmCkqTORCLST4nUKpCiwgguE1JOWyaSbBiIBlHEhMKD/PrDFqnILZQI0O3vcI +7/JXG1KY1Iwf+97lMV7nn+4TVNs5fc773HGTh0kPqcB9Jc2JsnaYEBlFgyvxZWuM3xgHKtxWXscG +xvzOykcttc9t520CsdDDDGEOyKEE6p+rwhpkkr/k5uevglFlE0RdjE4VVZAGSIcy8Tqeuj8Wq20a +6HmVBKK5mdKMS486cqivFZW+lRYWzm3Xn7JG4NOKYPa4MONV+Jxf6zdPg7nw8IfvLJv6kfPOjfui +Ya5ePVJ6hmI0N4vkkSUKve1RrFO1up8uUDddLUoQWV/5Xgf0m99fmwcDKGq6+gqpSZHKDK00bFPz +10fA79PKZyhvcbqnS60a7RvvvKVS4uWvqafB3L6HPR5ptr3R4EDQmwvJsAxlb21cd0iqdoT8+v8M +SCpZD9/usxhLTkfhsR8pyR6UG1LSpFH8gY/lwMVR4T7oNjEkJTDdolLOXhZerIancG00+ch6LIo3 +eKgNsAHCA8ci79wHiUz8B2oPCyeloGHzx384a2bNFQEmRQMmoy1Hap0U4x/+LjYyRh1+uWY18mem +AKYmW6Kg68VgblsdJ6woiW5xwCwddRhXnUunCyPV/rj3NDtxiRHRpn3krGhH4YdaK4ebjohhvcNZ +++2aN14mFU9fiBT/Yv2jgwduXQNrfIv4z24gb9JDiGNkTBxJtkBhA6X1qsC/Kyb78uwIgXNbW4WD +BkJErMAjx4IbXF6V49eHNJisUy7lNsZs/C85I0GbGKT59Q1jd+/PTsKfhIqg2/uv/qbnrQcDcDSK +zu2oku3ryB06ndqlYHynU1YVs8uB6YzSWxCH8/90Q0xkXFZpr8uzkE64R0apTvPcvF3/qltzGijo +wyNQkch0BlOPO+tSnmeA3FKQSnRHIjv/L5rGuKAnKoKfYm1vMllA0R46K594dgh1eHeqVsXZquaH +zKKnqL0uO3jHTRrTv23H5gUH/Vz//AaUjkiWUWnNEA5c7ZN1uAPVg5DTov+zjw1aY39P4kS7bWQ/ +KGboKr1phk30O4Tn9wnxD6m61drUWtA2g1TJ14d/Bo71wIVU9j3rBrDIw8SkThhUvolkaJsUo5XM +Z8ssDqEg6mVhxg3v7HZXVsfwjCix+rgAWIVIJQGNuLdXlq57iGkg5KSvUzkTKWEmQifA07C7hO5p +znD17kl2YGHkw1TzEYTeh8cCZJfXOBReuw/YXJBetRNgRPgT2Jyjon8BKgV22O5Z4lnSLU/MBaD1 +kvE7w+KxBx7hdYTix1L0i/C8Qc70Kt2lKuGRTHxgEi143kCbEFMy1Yb583uRUMrh9Ml6C4sNhXj7 +YWhZHIjXdv52mAB6MBduVd3JuUmciq2vvFoxxyGfhvBWaEsblLxoOUd5pmnF2JOIlCtyHL3SO08D +kWSIqqOGK6EkPAjvhu4AYi51pc134iO12qclx04Shc88Gkueq0hEXvdr6OwJE4eqN6iXshR5YLoW +0+6fS7QKYUUf35QoqGUQPYBw/Ma7RV98Qvz3RmvHB5r3BHomtvuYw5r2juWLOkyKKxf/b5rAfGp9 +q8QieStLp+n3ZhVI2ykpzjGetTI6n0NJT6GWF00c+AcwSsHjXcKuPq7VHDtHOQ/NmSjb4RQr2OH5 +qI/Ol3/dYhGQjSZQKbNekLK0J6yBCodor0Tffa5mzhgEjJSmBcHLdaUnQSHVmMUTNU2MWp8O/03I +N1w6USMy7GcEQRCqUI3PW59tH0YdPhB0xs1h1lF8uWFHnd/b068EzFVCKplV6BKTOEt50bPvzMcu +IfeXcgD5n80gp3S1s5ZPTB/mu58YnFNHOXAbn1xBOvPeI76LKBvEeB0CEyWpaKk2vf+cU0te8KzC +XNk8xuhF8TePuamNEY3qrsLYnSxTYhSMoBOsMY+sZv9cX+hrMv3cbsjLR7w/SfZWKFg3c4D0r6KU +CTmKv10Cdl5GXV0t/utBe2AXskdvgPovAVIgEmwJVyqJJtcIDrFvsey4d4JB2awltEz+FaJjTJ+z +896lzXtR3T7TLHyRlGcCtsQTJOmzy5O8TyV5Ra8lzgcWwUpu9rHrieyq/Z9ph5000SAab1kXP6Ua +Uh8EZBB7mGvjpWqycPz0XU7OfG5+Kad3NNik7DPk5DTOfjsmEJHcg0MJCtG7+2AeXRWWc6z6ufo4 +uBjC8vbz2bTp+ksbXmkw1Z58niy5Rh3UfPLmzFjX5Gj13B3vDitw2XzXwXQ49QWYUqv0NJb4pFq6 +/0xvFLR+Z3KA8t7CbrB02hv+mNNmHiJ2F4chihOxV5Yu5agiTZuVJiiYxBq2YEJ9TmBZ0N1KNlBs +zZRgil2POp+mB+6FIjS6wiUFfP6zf4b7mPS9GkuhF3Re9WNEIZVvs+e/+PyfYiZcHfMjVpnj1jVx +eyQimozIJ+7hcCo52i3vgZEiDBQZuhDS3RHGWtWPuSErHE+rDvay1J1ELvMcLt5qxAXSW2gflwh/ +fzo09mU2DSGz4w6rkEo8Uvx+lMheu0Dvi4SXZKZti160bX+g3rR34pIZVK1j5aDQ6DHPCyFx1nyy +V9Z3s27i93mldG+UlEMu4MVXwhjvaFtPgc+DPSsT/97SkUYVBEYzDKjG7IxYeTnEpHOIunH+oBs5 +u2u5/GAygaiTFauXS+WeAtPoW6f8mWdh7sRjMGd4ufghcjcVBWwUankFAFHLJMy/KWBuNu+0/1C1 +gij3r5VP2L4nVoSzY3n/AfNuZoAjdbGqVlp1W58ANwgo3YsMx0lhhIbpTKUkNPSnQLWaiAtbmPca +MFeGqzqQqbMNRgSRqTXuLIgY4Yc9z4cZA0PHP61+qNYhRENQdGo94AmeHyeQA+BNq/d1f2S7we2k +aKV8CjcyWQaFxkDGMFMZDQ4zOCwvQqoHmBHmtRSRfbyIwMeadxWra5jT2MW0sMdrwG0eaKDm81QH +dz8lyfKgWsRxvUbAjKWQOm2LnvynAS1Py4BXVe38mDLeY0oP+vEGXT7zN68Sli9g2Cv3ElevAOYj +IcOYhAR0weMAWW2w2BedZ1GSsuh5MJYgIeLWmUf28PIN32KoDdZIVzTieCnpciJLCZlKQze98fjW +hzzR5S6KcOz7MKEz54qxsMTaCL2cdUoj1GGAPPPlmfLEN481QeunAezNIfjFpDrklSuvIPj+L2RT +6MKnjDg1XSMfVst9aEmYnooErblvDSbNW4qSSYgnaSV6Gbk/sLyzSzpQQvVYi7MBPD6pA9iv+u5k +O3PvUC6mdApiTDTxcvJmApIXIysKXgRzpUR4rzJIA47o66bp3f7BciT+Daa++5oD8TXCSl8tnZ/D +qDWth1ZpHpJe7KRc8IiNVj7CjXnGba2oA1GxSGC08+I5mJSbBywN4ycg0Er39UAdNFQZ+idnOV3X +kJ2Yks4IYYWH8VsgmvimUBFrlQI2twof6MpPR33ddDlA5dQrwHCjzeT2ild1rv0qLB6hExBTb0Kj +RPax4iulatfo78OolNd/moHMSPy9Vft3GoQx6UGmfs8p1cNcgVm5QU6s8bxl4RyFgjO7JaQ1s1Z8 +k58vNRKYFxPeLDvsPEvcYj2+KerMG3fv6qDtKOr4ex5nX6InMqLgJs+PzBQ9rwqaevEoOiSZBGp8 +3C30gUYqkpFTcBtuz7yBXZwZLKWmTtwM59vM8akojy5CxisoEhIg1smSTG1/9A2rHb8TtgXZ3nFR +7T47g7WTZ6/tnxPjoJtHvSe9erizHSwbh3/bPtgf9ThxGI8cDMhm+wMBF76GBhYEK1zFKAijyXoj +qkXK3swZSWJcqHK5cAP0/wnzYh/UIm7mFN6oF7GSULsjFYZwISdCUbWbJCGDe3/+rLmAvqi3uvkz +LwHmmuMLPx7zpk59+iT2hrKmUYFM5PhDMvFOVoJJL9CM9PT4MFmTn4SSKS+ndzdyhlr8gVHDg7af +4oFbRZTxPBJXs5Ew3UdRUXTy9P4f+iySSVEX+SanEYJQU+tMM6E+DFPbpf3QlpCc4DVarQy9pLGD +MP99eCa7SULKs55vjLQZc7N7R7Z//T9nunwdJTYGwsnky4m+Zf1S8c74bxIlkygdncSdo/ZN7po9 +OnH6RETk/PXOg95YzdnnBZlAS4NqrwxUp9On9kQhjn5rB5TuxuRTUB/Jp2qZ54Aw+FL+nzvX6Xes +Zp33UatW2vFHS0IapiPbYWRRaM0yF0Wwu+OLuzlx1mvmQbHKJWY+t5qiqxW/N7WGBM2aPlU8UqN0 +0MMdTBYwOLAOn5vm96yQ3mx3mGTFpsxNKXkOGCZIvndzYIBrEtRp+oMicIBuUzlPm62zQoYCSAaw +KgNme712KAn0EYYvBSpAHmJytBGINJvn09We1UlPJiGv3orYzUUaBMSL3BP/h4b7aAHzDI/d7v7m +5AGWCuPhNt2DPng8CsgohI4LzOe7lkZfk4AtzsceATHCexWVih25MMlZLPKOKPygrvbbOjRn34cY +ElKDqS9cuAoTRxexq1JMbhPSNETOoRkDpE6AAIMNYHirx0n2vm6gtSKLB/Cx/DGaBLPl5hBXXK5P +GSxoYS0Za/yd8JaTChedpdHYLo4qVGTEwoeBsiL+28U2OXNhElvmU/tqk6t+4v3R8jiiP6ADyX5Y +Fzve9TA/0UTCf/FRg0wTnUtWlnLCR1X0CjdDblxsVJI+vnbTe3ZhKCUP7Gyf7XRJMD26yhyXXwUZ +hTpDJZ0mEQRlzLYbq9Z5GMH8Onq4wiYG4OzkmInBY7enBX5xn9n0MWaYYOD/Thxp0ioDtPJMjVCd +bRjv3N8+Q41Iv3EZ47GWpVbL1ynHECf0BXURSAvSQE7ZcaxpW09A7w/5CX29jZOWWCMvIYTRJrOw +EeAk1lu1Jp0vUUA6dvAY02mAhicyOCF4IajX0F0bVnKwhk1dONtQYrTeI0tBfVQaOLZk63IVyakD +dtxgT2jvWjIKhmhSNrKzsy/yx8ORaimfCnbhFKmNuW1TnoOe/wdTxoJ7ihAPWofVLRk2jVKO2NLp +MTO+0XzvgIFvwCuK9epfBmSZewAEdl9nM0cRUV2bY5E6WXpDV9+sk2YFGpRC0YZErelFF9pisvIH +DPJE8+2b/Yj9IWZlXloexyZw80SVA9UbLv4cMZgERNAWjlvyth7n1xmgTWx6cjB7ECdbNWTDrusy +NN8eXjYQMnojmaOxHpfkb1P3jfB/F/ABCBeg6kF9rxNyipZWC0E64aLa4i1elfP5J7COSafknOtK +f/WYl4CKQDW7upqkJcaH3bAR+yHE1sWU0yUZgPHtlGIFIb8HdpsLeoOKWju5WRJqIHHWENmE/DDg +Sh3LWk1Scvs7utnK7cCZ/9l8+dQDFCZ4u+soR3pztm6SpCZue/6jTecNkgCHVT7iemgYh9+k9IY+ +LTYO/Ee2Zbduf6JyOIDZiE5SL4KvmnIbMJZsqn5PhAWK83xtSlF3/nyjwTdZuhkE8y8Jn3TNPFK5 +1q83y5Gy9Yd2jYIQjDfp11OYK/IpdxTVPsj4H6EnV3XmhdS5R+Bb42QjG0TwQVI/lmtUnR1QyTUD +wURLfoVf3WfT15szJQidOEcYyXXm+azON7ERvSR/qGYCo1IoKz44+HQKGsX1+kWQrrHBUWRcN1b1 +ZvdXCBRQ2C5uXdQ934F7WvwsKiN5Hp6+fIo00J+K4dlwX2412uMlIbgYN44xXGnM9MmPcHnzmTKJ +FB4HXsT8Dsr1oN3P8RvyYZEpymJrmUuPQIXhBsqJG6KoWmjhANHjG17MWL5FDA8AvvW744v4fLcy +4gxBKkAaNEd+nOvWV+gyDFdwdnl2r5Ud5koWiHtLQ4A0g4uBlfTJFJ/3q26Ota9U1VSl2mPuPhA4 +xyvNlsL0i3YDdsNAgLQ2XgQE7e0THx8MqxWeMS8B9CjzZAxDT8KDzBopCZn7G5HDVw0Wb4A4JTNB +pMccU1zS3nQsZG2+LnmSszvr+wdpIdmDfGQbPXlXdiMxsSV+s46o95KgD/mbRHiGjqk5xfMmAz3B +aLPnDzAng3f0M7ShR0O2ni3Xf4zIAjPlQI6DQBmAA1MGM9742zENWmsLLaYZAdoRAAWvbgu89Brn +rFJ72aQ1YhHf1KP6nc+h7N5c9xgJsOeM7NjQnngxV7rF4Tcm7owxrILWIXsew6QEN1RXhpYNnQz3 +hwWOHzH6t2Bl2CsydRwU4Y/ZR7jXK0PgUhCgfiV8TECgwik8s/f/S6f4467zbt9egQrjOWyzpuQF +jT3/of8fH/cl2WTDsek3jK5oQX1UXGWpzvl7R3UmxEA8ow69Lnx8SnGsOp514xP+dLn456IVFmly +wzxIk6vvF7HTfJK3Bk1wraZR0oopwgc9z/tBwNZupCO9eSBujEksIX8wS4KOOrA5vOf8zVDQtJNH +RiLqoTM1jtqrFCPOySIPXfP0lppIgBxYVO0Qpq77IpiiX+GxauSvLw96Ujjw74KZFfq6Hd/gZ7cW +NW026g3P/UuFVy3Ag6TX0ojjgSL2X4k/d5/WQNetnIVUQvyZ0Io7wjcnCPfd/Etk7uWmEDQHHUrg +Qo6muumPQXCCiqntHvjpjYEPALjcn6neXTz0ctXhDnwJyMdfaqJMVEws36qK1ePZXuAM06Ok1/Oj +RdbssmgsBNBTqJPXAYdwd+uif9b/kTdQAZZrS/1iT4es/hcI90WsTBUHfIsFa2PzCvBCTbiWj2WL +yVQh02BGp2ou7emJJnKNk6Qa5tk0sSfkfyv9ZDW4MVncenLrb+HAQ6Qvned1ebJhNMv7zbLqmYzD +WfhisjRGwSEXpO5edYiRfXqyDpR/y/AnulChLOTejOF6FlbnWim9cBHlerM5pcHNNxqN+6xWfY47 +OHuzIirfTjwcW77XKegPyFdAw4jPQQfqvD6cT1qZHgD7CdsnLKWKw5mfXZAagQculDl6Y2uc55bH +RA26TSdHkja4hv2IpZw+HSWweazkGi8G35g3JuhwuSRmHyg3tulH0K/aUTQBXcue2qk/ddiYMbfq +6D70cHr0olgaSV/zTvPeTW/mERNlurs+QY8rfVjkQ1fSUyh0WTv0DxCuzf7Ts+i2FxviJCGjQLwz +XwRWKFvjz1hj/FPWpKHYEmEs23K3DIvKbx8t7efhpAZdnJ0seZGqPZm2NwoM54+obZSnrEtgYwpl +j1dZ9JwMcPYOkydneLM5JI09YGhbqvyaHAGr5ZQ7blYloBz/saBgpCoT16Egb3g/5MmtQZ9/o4+f +zU0vNIToBczEr0G6EqcPPrZ3jrQ9w/v1ZhC/G0W0plQM13RHGLGNCxHP2iGJj28mDpw/BOCxKZ5T +TIp32CP7EzxMgEQa6mDPGiwshTk4qqmFE8pQUlfvrSHU4tx+kU2FPLT5hrao83qXUh7ZlS6QDFIi +iDUtP6rUtxqaAnrgcwcONPIWGjd5OQ7/1WfaiZGpZ9jTQyvZz/eoHZPaNPDp0e4+38VVhg2dS6j5 +QK2O1Cpj9hrSZ++Afyi2q/meLScq1NGW6x6u8R5oMlZQrQ8EGiFy3YWVnNOMSbhj6pQDgfnVASk5 +uP5p9uWCNsdzYzKqiIYsQJ64/qO9mlRcSqv9VUlViVLbo3acHLXOdUJVc8cPiAEQqBwG6tcFPCFZ +eTuSmo23H6HLsXwj/hCjK28A2DNmeHPgUCl8JZae04NLH0q4/qfTJJq7kDt7kyKBLR3CEwkSDdkz +0pMQPmZjHd8F/43V8X1A95Uu0QAGmRsDunbvD47rdQxxez1r1iQ30m4H1sRFyNA8FzETpw6RjDoh +EDXiAI7LKaZDFwo/lBVMq06oYjDhbGPNYDMer+K3wO8i9mf2aPI/LeBU9mGIAm28li05NbatET30 +YB3sd1UT3oOwiWRY3zLTDvl9Kak7lZ+gsqPjmIb9YMDRJrUXPbrNcLoOMxB/WLE3ROkZXLc5ZrwT +MuCXwhw8rKCcIrrJmjhm93Qqzv6Z4pUhYGmd4nNPJ1Rfm+kGy20xTBzzx4ajmOlTX3lkTnCUbP9N +c95yy3RdTvaYqnozzwg4eAxja5odiRO0Er8YYx883ugG9RwgFoMcsOGHIYTy2eNVcHMtalu1dCrw +C9BYMCf3puv7rkJWthhfmnNGt3fE8DwuOE3dAF3AMsyBehuuM6wO5d+PR24uI/z3Pn13efmmstAe +zhs2BKo23+/7Y8Q3lZAKVB8Jn5bzkohKboEvEYdCGtgdqy9zskIPhv9TlBTOfC0Jrh4Q8OAaFqiN +MGDTT/gDG6lK9GFFL+oW4iu2ndag47eB9CdrFFiBw5myyRFZFrkvtG99n1jYfQq5+xS5DDjJiZyU +NqdNUk/Sdk7VFyBwUpCXMHFoOqqkCz1Mlfgyxpw2GfhTETynwjHlnFwjlm7t+ffFvRfAXMktGhvQ +n4q3ckd4Fjg6ZptmMV3ovECCf5HPEzWDQPQhE6n+0f7s3kD1yUfN3VU7Fgqg661FOXqg4qePLxld +tkSLDtGa3rNKOwXNLDUXVLX2sR/QLXKqVJc/j8qymsuJjGIjrBMrICfyMO/gXF6+U12DCbcjvwGT +1qz72Lfl/YgkR2VK4Tc3AFaaljfpPwXWPuQeotLD/AatOkpJlWVfjcH3yi7QjX2QZckAFDJTIBri +Iz8x/rg0tko2zI+5FPUT1f3U7cmMVPY9SQDNhTNprFbhypMjigzun11BfX3dzTy7Vg4eHhaUPEbg +PgPFGAFTbNPwy52vTHKPYikWo1v8Fn7owL/MoxKmZc20/8Yc8V/tTL6Eec6QZI9CTQi6PPYkNtBC +o/aSDDowoD+GtCxTfFEvczjYybdjsgNVcaoGQX1t1nMUEW4BX5nP34RRyMwpCvY21Sf1dsCQfW3p +1RuXPV31ilLzeiEyBU2BEL4Rl14ibtDbLM59qK67WGbyeC35a7gOxXHpLkHDkZa97cBmnuL7tHO6 +xSA2txsSge73BvBWzMnvbicfwjbFWzgK5IwrlFD9e7wSFylgQFbM2pqhdGKELoHkaZPH0kjPQOvT +6RzYBB3p2B6FKuxwTWvPhZnSWyPO8fv6KXNtO6cdhn/MRW5zi/3ai9h6aKPS9aCqZU6dKMLtTJu3 +jjHq/elMzWlTwLK8pEEmZ30SCeNBdPSIoH/n/Z2YN4vPDcBTaRoutVQ0NHf+Pnq/1gCczMpu43C6 +cZDXZsLNgHu6vHK5pQemxfHny8vO7ENU3gVT8wTzUbJYqd+ul6gnnT7aYNlKLnkUv6AX4X9aV/zZ +IRj1Cj5BU4WFxeaG9sIpDJfOKVDSyi+lFnV5SJC1h8STRFjPmAAt/G/iPJbJVxT1Dx7IgoG+n6aV +x2fd5YOXvl5ex6cTmmXDIMLmsgmkhRoE8P7nKbUISy/wbYaGb7pqT+28ttlltChm94qowi0oovHX +p2GFIu2Xw7hYw9yaEu+ta8wcl0cK/HaoUMfrmscRYc1hPLordcGmzkYSEz2kDcWV1bFX5r25lnKT +VbAqA+12HXazK4PDMN9dEdMr4AeVvde586V9RMt66FN/rlI0fvK81sRj+Rk5SbEEF53YlKItsuds +NAz+/Ccew0c33Xx85sa/kvuF2F79O2uAwPnXn5n9pZAjepMlq5eFjsyIzu8sTzQyogzbCUP9pQu3 +zhq1HYPRLr0Nbu3pH2rbeVRmWtFuv14VNWilmjA6Yd/roIRgENfHjisLB/KPPzExG+rqjjdUixRw +gTfA6ivFUjJlBXWyQGpyOEFe05Bnd8vpm/VJ94R+iFlqQ3CSo/RebpwNX5govfHYjZqyj9ry9ob/ +2AbvSqm9wQ9bR+ceYFTdQ8yHJ70O3iPxyk3BqwOWNp2e7/Y2SC/NKDT4f9kd4y9wGfhoENDwes3H +aVZvfYmY/FopNNDQ1Ha6kXMzPDRkXWpDW2p18+2b6k7e4Gt3h+iqQ2kRHk8DcOCxurH6IxOVhnIA +wX6AVpc+63V/BWOy38pTd4I4pHNM+9k+PtGGXV/A6OsSsAVkaGXih4A3pKTP/QjAB5+D8LkLCd7b +D03REfYn9n1DbbVmOnuXB9LyUA0PB+uNDlzARx+VCgwPFfJGlQN7SwprizILhTfmNdB1LO+G+RtD +wFXKsv7ILhmj0LiRFMosxRzrW6Fx4Uftt++vYd7U9OpSLhH644PXNYq0ntFpnGn3gRoFlXnnkCwy +ZftXf0hfVTXu3h+7K4EN45yrtLMc9VOxk1Xv/sg+S9EacbaKz08mprQ9oMs3cb6sIkMgbdRRLxZ5 +8yZ/nb0Bfl56OcVcE8obDKp89lmwDO1QBFEIEncpq9O85HnLAGlA+JbOzqyvzi95qjdnLIa3vAbY +zk6IgGkAmzELe6l92VCtDxHrqWwZJU9vLWNizMTcuFNpbqXLf6MHmvLEGWg3aq5QnYpYEhrsEepH +VJ0u2Mt6JukKW6AvglObcUsmu4zCT+RaU5q3vXA96P+l0kbsFPmZImr6G18ETS1btmJuNyp4oGmM +BRf3fVUxNiAgLww5hJ/QDEAoD6cyubbdYzWx/yEySoW+p109MX1x5INwE92x20c/Xgqk6eAiqK11 +Aj/fGRFR96Mm79GJHX353uMXGPHYUuzVuUTBjIYTCWpEficJE1wB28+dpOzcAXQZHnpD2DCT640h +3Aujibc1MpIzpwNSiAh+0kPQHmRkfV6pNjGsi+subA6Yfu6svYw12DtV18ws66LZ5RYnw5U6J0Dp +BgQCiLl4+vadRO13HS0z/Y5EMC19MpbakCXUp1meCHc7RP6PEBQK/pYy0O3LTGCSlWqTVPQAZX89 +U0FNKgh7PG7xBSieLVeuQDdxLagCNrL/HfD1KVN8uiZTHDnWVlIHhqlsE4HWRytO7lsEqSbsOK8s +iBAu1jgPYS9yza4Lv8oEluBYh1K+Z4g5/0KW+73EmCgY5KPVF14ecRignu/OcwDlbYDEtSdCeOZc +M4QWC8vbg+VnUzUPrS5Mz3gkIUPV/i/Qa1cCPmmyyNJaBx98Qy4Usuy0t/RgM4BwEEYwtGGs1ZXL +wiSeDKftLfi2BhdyKXehhdSYmWqHo+xI+VkgMtPptO6pxTVTfHvV8toqM5VTUYkjK4nacM/YJLnP +UPGKu9uNhvnLoVNA+zt3/6NfipAqOh/gRTyFtYR71Oc/0/U17MSTtXbHTmtO1pmLzdjThbNPvVaR +km/onv/d5h+vg5MSlii5GMFSf986u7RY3SURgMRdTHKWfBZrOjZoOg6/EF88Hkd9T79LSClIykw7 +8qkVs6hODA4h9wsV101T9ZqWIQjwqk7h6/LNB4Num6jXnFabDils0Nom+ESSAGGqrMneGa4qvZSL +QRmq3A32YGmGCQ5hdO6mypHmiNthLe02IQmE6KIHTRt9b0T644h7Lh+jGB3GZNtkb6FqyvkZcNgc +6vKRM6p02K1+ncl/D6Zn0TL8c+1rTXJFzvw9V+GAxBryBKgVDE3NeSfbm0gBC4UfPLMNBq7sZVLJ +GWcdmSyJ9N8tiD6rxuB977rnLJpsC1KGT8VdT6xIZlpx/37qp99F667V49a1LriJYDnFRzIEG9bB +8DAotZw1kphItAPq2KellLnExAr2MZOhODRDlGDYShmwcDhmPm/GkN7gv7Ko1e4MjBz4kiacmCH8 +wqyUGinNb1RuidG32w2Exgp182xa3CD5ZstMDi063o5XhjCrZpFVlPfO+1NOeLgxfIHyrwKcdZ/r +kuhex3gutfdcK/iXlbXA8ZSi5DS+oJbnYHBVFTGB35KqQsvVRCfLQMVDXGEZXK5bcmlPBqY3CeLb +oJt6X4te/Dhg5rn9jh/b+DtOaBrkMo6XSiRk4VrELdkoyb5NV7m6lqqq+L5bbrlZHK7/jvKb1v1X +KNlg8r8aelJTBusXY7i2L1hBXTR0NUgdQXcm6/+EQmByv7ZEXAfG2sHcVwNrkV2KuYkJYAPDxApR +oupJUTPjPwMzoUI21JR4OYovJyL6mcEv+yVc2/T7liiE2/UyofYGQplxBSb57PskMgxP5BwVkl3L +fTxSIJ2keXwMYvjU3epdVs3UPI/dbq3igCmVkz1NugRp3FQFTOjzFvxjH5nWD4zaRsZ+v5pyklqC +P70DEwtVP4gBhm8YJNCxZxHQocIp/eLUMdghZl7kjKOHjKHVyD/33AwMfLt4c7N0/1kPexI+tIJG +HbCHOOCBiWkO1uGkUtlgiBKKtELJ34PtHK2ZDYxydGSwS2rE5d69ZeEiKzwsy1V/Rl6aaC9DXzhk +cxIn23fKzi/TDYaIPCQAkLOF+sc/qgy3Iv/4owz6ieEk14Piyk+sIuy+BihQvJPCbkNMNR7fOMhA +zVWQy7VREZxKaFMULAsiIIi/7IHN0YI5MHieePz7cYBmejKwcyhNyJu9NVAHq3ur6baxyR0DS6c+ +T//mr9WP/eGqi3wDauIHdV9kU7+4lxJb49S07XN6UiOCxWzqnF/e7U0hZrG78Yz1TpNJvNd9nCNF +ZFjmFP7mpxivlbOL233UtZzceTJjOPUEcmJTTUlgCjEopDmQaK/zr0HAXgf6ZR0nHGbWw3tJv4He +I8u72Y0wKMdyDrgNgMsTZtRvD0QYSN65xAV7pO8rQ8a+ARBrneqC1IriI6T33UINtH0pBIuBHQeq +aH8aUadv3LiwoviihZBrUE5YszuXaJSK6P70Sv6Gjc9RFRDyTmDbAqXM9DwXp6sI9pWlTPy/Dt9k +x4M0xURoOSgyOierylIeggGhzeMHBIWMRon4A435aUtGZ5s2MTPcWLy+zBBSCRhgKCTfN//jRaPt +tYwpTylJaW1wWPeGSrHKa8F+HyU7sLsbzvQGh1BwJDXlVCxZ8n0ZDLGQeeodTY7A6tRS+sHy9Jjy +Z9u4vSFuTsnxgNpcsEZgkS3ZFuJQZPDzP1tIiBM5x65AnrphFyC+YROX6iTop/vz+oCkx464OJXA +24ZlPpowtC3MHVDH46EzFg3MgvE7cUaZOG8sajaJR9aLOCBM5QwHwD6hoCqSjPreDDcAaUENG21A +bx4EUAit1tRxDorvkeFN2lWOpwBdZaaVix1jvUOptrloUJ74QtAZ1yPYNSY0RhcnHWbZZk/5HOg0 +jxY69fd+SM4NYJk3CIypRvtwqa1OE8VEj2tmwE5hSMTq2HMbQ/+pGtMyAFHgoP3sOZJGmtUwb0Cb +mc9CiRT90YLmPnLQDYW8BXnnv7CWBO5gcNK/486tLaGDSpeL/FnMvHiFbsez+xduGAflw4I/yxy6 +5ufnYFy4SFCPAi2Dk2AAhZZxW4kAYrWKYj0JetAT0qyNswwP0irosoFCfGbM7N2f2KH5cNC+vnBC +9Q94pwjLsc5ch6aJo8t6VkHsDIEHv+oLtV0iGd1jZzyeGtoxJtOp/8fiY6C099mvl/8n74F4AABG +65W6L4rsF9X7eusdhGzIBORNYbF93Zc5b7Crt9HKvIMGBbmBA16iEf/gg9e1g+VHBCsFgXNQEnae +/91hR0pR3J2xVu+KrN9wGTFrdJMSUnUoRtmgv0lr+Rde5/zHagVsuoi/MYo/SiVn2BSEYxnQfUWK +kHcgE2D/J+eVvjHY3eoAIIzjCec5LFvdHvlKRxArzLlcxQ7ts8dhsuWYh9k5hGEQGrORhjhlpPtK +r5CgrgH0RjEmCaIZP7ZbKrqA8rvDzrWJJOYXmhMj/ZbAP+vwuoXXxiAW3igDGgsD9DMCbEXvH25m +evbJa9mSBXPn0o5bUgnusZ51VIqq330AYSVxr1BXnYp2hbAs6sxQoP2RbEHHjPw2rDyTpVFsvHu0 +yIiFWHpmf4KzGWsYurMXB2s3omt7uDyBlGVO6TYGp0yWOenmrZliB4xiqh3X6v4JM2Ju57EQDfzc +HsbShghMch99QRavNzWE399E0IE5VeWFQ+dWBoo10iI66uqAnrszYIjywzr8TNRtW1ZgWdXvwZW9 +7bjfFfg/jy9Un1K5uUlES1/BTm5JtLKrIrZUshreQP/U6FNXCzZIREE4S71uY7UglpOo973i+SKL +N7H/MuU7IZ3RJ+s/Peu9tMAqFkLcqg660R4dOpmmd2vo/lrekH5391ffHVds1clVfOXCljCR01rl +KsH5VMT4UYmYqj7OofNDHm/7SQxaqvQtiAs2ES5QBMzP/Tj5UlpMuZbrZHERtp50llB2uYSsK6NJ +NFwgEeR5+sFC3Js+2zOn8gpcDY2vpAjbx3uGEOiWYbSZ4gB7NiaOxug8dLzhoktDA4bM7FB/PmsD +T5glsKZJt+mzS0tXzXx93iMKxwgO8GsKV5p5tCOYFjZn27h/ljXGzVwPXZgH1KKNVg7NjoFWUTxm +oVMVbNRsh5P5HAKAfWj7gt/YElwBa6dLG4iVP73VKsCYIZ6RwSWHI5ATUGnWmgDRGqTmUcqnGOmO +ETWzN67oXmJKe9eVv+62B7iCTC4ulVPxOanG7DRqcZQR/bDY9WAsf9Vb20al8izMLWks+GAkWXDM +MeMS5NntU+4bwB2Gr4z//ezuRa7HnaNOXpK3c4jt4YjROoD1kv5Jl/9pgOxEfn6BG2iqN03C1dWo +MnIp2VkTdw0U9tR/H6nWYN/6vmdhZolWBe8cc0mnM21hpIdiGC8nN2uh9GWohsQ4T1Oa4Ny1BGYq +IQEoYSGtvjcrPpBrhSmUBP+VxB0H5NlOBmpRPozMQSvqU0SEKQwdVDEoy9CQ9GQkD2fYFIheeY6S +25M6sWLAaA/ztLy3frOg+afSsyk5/dhCPj11HwL+wv7VhyGRvVJFiNJKygnlt+8F8um1tJna4EfT +w6YBpP2xLOe82Gt1sH6VaRl73m649YAJt8QyVediUL8yJ4B/k8/IdQcUd/5yEM39ngzs6KU26ojV +GMuufJ8sUOHzIEeaO8E7W1lTOSjYTsYzYxY00R95mNNtrHz1q5eZRPcrTzVPRFfvAHCNxDRxGyqN +va5uI3p9z1f/s/G3plPdqx0jiG9BP50bUxnzXFBNAoX2hdxNO9mX1zIYJUndll4jYPJOzX9nMW5S +lnq4G/G1xhAb533BkZxD+NmPW/pH/NhzRhXmKjrcpNjAQMMBxpEzRPVx5FXDMhQ+3XKQMl6jzPTj +PZpRIUVMs46RA89kgTrQCWfF+F1b8AMRDRbwhORQjGNmYnYVRk8j1MujpQrbf1E6KzbX7wAJSYoB +1gm6le9F9UXIAwF5joL8FZJTrnGWVYpjgZZBf5+KRkvDWPRKU63ohu/DKpn/iAp/k+CH9oBWlOtb +iAqDhHIchDgfCRaGIiVRp23hGMUHh6stdvN0L1DhQ21wGX0+ZI5KAgVDUK9uPCGaw06qughECwDk +ktLLv9QofTuWV2IkY8KCKm3WKqv7EtG9+DjaucVQtxaBZF2XjwnwlHBFzgyfd/g+8hzZYIC7MFBU +W4VEA/0qFU/E4Wfjz3D1NU3opbyT4vYoob0lGDzReQ8JAod9NdZOcBccOWoxz91pb6SOf2u6n/j+ +bUbV2tNjls18nmYejM4uFL570y+ryazBQjSRXqQFjRNhh6C14H0LW2jelCQbwBis4LHnsAKZ6mfG +x1DYUbKvqI65BsVZqN+9Uh5oWwNnej0Ty68lIQWo0h/w4XHsaifAVZpWAg3pCSZhlo0m3XzEeXTz +GCUaWjPZ2SVvEweH+2KdWWsQK8SLdMFULndi1Eh5gZuibobcU6MVlorVuJJQyisSGhgydoeCFT9h +u9qMhENzZKOxgQ4Szb+AHkr2foE/NFcB75VY6ZY/+GwWZyij7u8eN8TW0nCLpafcHKy9ol0BE94s +BOSuFiRwpxncDA/OWz5kb8qEW87J/mhGDZnn2hQ/ZxYg8p9pgKLTcyDERrxjFBEugX5YAwxMOX26 +PQFOvY7sEe2Gx/0VN9I6dEEK1qcg2dQyZ/MdjabQXkUhU4o6Je5MKQg/yfqqefVsN7guaYUyf1JF +hzt1Ndz8LEkvu4OwCzOCn/xhdmhTJ1u0dstl9LrgiyxFF0X7Hp3rq/6tYpXNnnHSB09aTO317EBS +d/4w9MrC38gZYLN9DfHIm8Bb/CQFIe1HVzV8JWcRCq+Jei0GbDV2hwjwEX01RZYSnwbm7mrGxeQB +rKXGXbfvCEBk7QJpSc5s0LdvmQY0UAfMzE6EChoeQLy/ieE0D2vaVZpmHIcu2w47akBvG5OWS4UZ +cdrJBllC7D5FUyCaZrfixjwMGqm6bwgXWDrtXJJ0Pz/9LuG3IYaegjBMcFz6OQdE17V9eUOBhLjp +44Nw2pM+0TJF2X9mfYFmCxJtQADYpQYCmMB/Zj0S88KRuBOpuFOwR9r3A34gqUp+yZmbjiWzJPYf +SDicvVWbLe/MtlUmhjPExG1/lMyE8tsqLbmaP4jZL54NlLZelIJ4oXDoqeqsy8oT2OK2ONoKGOuw +kBkX3H+opipB1puLEsHpNm9kAtZfRwaIdoLDp2za13ZdTUvlyKnsefEh2D/DaN5NgYqxu0XM/BtX +URFU2/+Zt5ErX1aOWrOKDpUjEpZbM9w/EtbZJwzejw2kGCO3rMafwIn2CO0izVLUk0mgTD5O6WrZ +PLn/RwvmGgU29rznjdkEhjDz0BDutcHcl4KPJExoxhcVSa+HftpX0wOS1pjRahHLhLRjQDN5k3ud +DVHsgj2dvMRInFv2oG06GYcMC3EfYb9ubinNoVC4MKNgUIYDQGV3RZz3u7TITj+cZq5NidgLAPRp +GovRXC9CxZAjCVMstmDc71TX1cSBlie6uCFTcP3bbSUmozOxM2GEzEqrWMZhZEH3/6qeKQROrc0S +c76va/UicaN3b3iGlF3/Mr5WSJHLk7HLobt5zWUE79x7SuJrP8Bc17Mt/gi66Kne+vOhmFmtQbyS +wVnoi6LSeTq24+a3DYLIG33w1n+Gl89m2klbgChyJLbqXgwxngXuVpSBpISP9bKg+/n8rBshtyYS +4U1PoJddyLHPumYH8oxuPLaateijKVVGMFenmX0uZpsa+LexN2Rv2569HMIVQSlsMSN5T2XgELWs +ISPrdMQTPvvSHOWjK5TG7wHnqQJ2tftVQRTvFiEjrNP94j6c9c1T/fT4oNpUviCvU1g45zoDXDE1 +f/BoF1qD5wyws7KMcUVzIhGHb3rGW894g/XJV/xAhuu0xpYUfGR8ZDH0LRBMVfqq24kOakILpWeC +8BU/OozsmPrT6mJ2px/Nuewth0hSFTbKgvheTy9JwWTxOFOCQT0um+VoYd+YlTLZRTfsND/nfMCP +yRyETvuI9C0Zrj1baExbHRQRoNs/d3BdMFFnge0M9NsQOOVns94+jRpzstJmnpPhOrGlxiLLv5B9 +toZn0lqeBoPWDNk0qC2GX6WiJeGE2kcz9WtFGJbTzlhBE3n9RpbiMiilLi3qPQc2xxXLjgJrlqIZ +L+/2EDJE1wBRGjHJwpinRuRU87JpsJAfvpyL9Z4yYlZpksj7zkWORMTKfWK45BIk/LN8lOzK2H5i +kihwhw0Zf9ptuoLA8h5la68Ty8ZyjMNxu+x45i8wqDgSduCMNdtvdSB4wtLzDbeXxboliM/gXu1u +PXQqxZZbDSTyNqMCKbq/cTGQMFgUHOzVOmTvqbrHsh1ik6Y9O9ATWt6eE5A8V/2hKpBKVuemqY0U +7WqmOL8/tGEW7Dta09PMaddkEbHot/NFhW0LHhXor9CUGLuozF/j9MpauqyVB7RwTAAit7ySnsXB +EZ73CXGljdabSQGe/+h5FRARYNc+eQ4/jsAHLSy5YROUk0SL/Jhrc5EqqtdcyQt1U3//GLj4kw6L +m1KzA2CKo0OXl7dBLRPRqh7XnfxuoQcZo4UnRm3W/sbe4uDyfCD85O0vQrVrPb67uAawa8z3rySj +QtrxlIjAckudpnUmAGne9FM3FhKl3Tk+eiU+Q+ItnldGt6JWud77H8a9pRQmLJK9QOyvOw82RYxD +4NNeeGWT0tYlW5Gu5uNOqiCnNeul3b19Haq9f3O8+yboUpCr6QlYOlgXG1fr9+zHpQzA3X/0el++ +eN7qW+KttwpGChyl+nsDSbDV05zslaWYVd5z/xt1lzxgbC1lE+yuFWTcPNNQTQ9gzPMUGUfnOGcg +2TWuRfgT58lYG5juOoqMhitMNgP7nD//AHu4i4+KMayOJhBt3xIaoXCuRJn/kLWlVsnvxQatGZi6 +QlAhkViUead5ELCesyx/pBeH7ZoSJqh8Uv+Z0Afpp+XkTadDpUGdc/taM7Q0OXk7JBxy1Gc+V1l0 +LvBts1YEQHKhI21FXP5MA7J6VudV2h7oRl7WutNjovM6W4LHAGVq0BiHd3ZhDAaLqPUeV4MwGQxx +IVsajSkRxjRYwpi2S4W76XDX48lazz8kUy91P322N7eWVkqNkFlHw4VEnTnn/0p9JSpRzBm9jPqt +1vybi1lk68S2605HHGf6tZjWikcWd3OPq2e+IWj1IKh80zBe72dgFtrx6+9FWUZq05vw4mzzQ6FP +qu5H0DHRZw9p0+ObgMxQPUHO+4mg59xkSS0jvRZp5Dy6Rxtjem1tFlt7nVS2GALg/CvqhbDFMhBL +HVipcXoGGi24RerdU6v+6GBXPrXYQFDpZE99eRafLmzF9gtXmo5QFfEmO04xRDVQNA0BpI3AY+6k +kNHG57YxlvrADJ826YaQJwZgzTVYmHyugpQxvtaKsK0MaLhyUyRTB4UXEJ/1bMwUraLIl1DEBm4c +I/V5zhs6aICk+NiKN6hjuDHpdHrHppGBPWJXD1H91JGOzMJwcqe/lIDjBotFsiQdEfCfiCwgJzXV +1kOmvNaV8Qt0whkxG3cqbYfX1el4MrAOweN+QmTUvJiDKC5MuKOXowKyN8eNNwNOh09/47X/anEs +7cjs/pJyVZj/EI5yQQTt8dSIpJwVN4bQJ0BQ5YSd6Q41Jj6GKP4v1YqBUH6WudBQzQFufM1w6D7s +o6w+syWd/xQFqnjF2azo/EFM01rNANo7tjkI07SfsbwvxCxi8LoUGg+0LvodLTtkJRdIVAXN7MkY +IZbtWgMLlA3miodv+89L6O1I2qYI94PvKgsWVkXPrYtj5h44hiiOIcrf9tVFpvKXb/kuth9TC95E +YnCVax0MjksEvfhIC/LNDy2ALe7tQnahGRJSWF5T5bwp8yc09Q5ENhJLTe2LXu2jwg3wksLcUkkN +VNgM50Z1mScTEPEVgdV3NbLRTF8sgx2oneZrBUspU4Oax+TGWGUoifddshkruyyLA7RBvA9OTU2p +2c7d699Ptph8IIT7LFjxMJ/hZlCdoV7MNOdpKFDm8dx7X0jkMgaeoWzcEONnWJV3p5XG6DQTHOXS +x2xQaNDXxjM16yYL/27dQgRVMI289g3eQjRcnUOJV23zxnWFHSiivdPFwOGg7CarCHV3i90dpOKl +MAA8/hC/SdsvWnd7EjVuIN+7UcLLBAhHJPYPH23vJzReSdOE7cs98U45bCZWkcnj4zaqtCcgSRSB +BUx7RwqaKa1R7WKQ5OU1eiC8eDNP2q+GK/7gji4+ghc6IZIMtEzq+V7+ScPQ1rTLI7PxDn5MVxMr +3SK6cbsBLNmCa1esy9ljrFGPUrRorT3Jgd2g4CzAawI/ZTAEcphDGs9c9dLY4RfbTZ11EwJy2NSV +WrdzxyVuLpRUj8SS14G7etWL7x9zldHiQYaWo0g59RM7bihh0+bT6qUxJpNqwIByy/JA/GT/SLZo +0+xYR3A5WYi5TbLZLRGHHjkFUv7Xgm3Ta1MsGv3PMhzIpRl71BOM1cItDafBockispdUC42lzepv +UxqX1Fk2+kATdNIcKw2x4qSn8sUEi4l+agb/XrThq1xDatETG/8Nz7b7aZcselKavlhJtjr1j5W8 +Vy84im9UNiDC0sH3jmBQNbwq4/U11RuqAGrqBnkTXyPZPh2Tn5KY1Y0jS1dgbPqc2/0HoKxgzFt1 +bRx6vWRjeKHsYHZFU8vi0Zzh6vlNYwcX2chJXT7K8JIsiEc0Jfsd+9uF8TJEgiiQy7Ve7+DAkcCF +Dfp42yoKnzOFJkhJr8V8jfSSQMaAa6lFREioDkQeryFoczNm2Wgc2/n+bNbSs/AhAFipujmGX715 +8+jNW55YNXXAI5ZmeAvPLJ8oOblpbM1O/1ZGg3PR6VhfQcIlSAb7z3rkh/C2uwV8FGj7W1ELmzEX +n9Tgmv3EuNIBPk2v9DCbc996ZRIjE2n3UbHfAh8UpX2eCK4CyRwtCPbyG/8iHDhGeGkNs58XgBQI +3Ca+J/3rqwRcL+6e7OXNpmbIZWtfzmCndZj1OtnSJ+rWxorcYT5YvlNjnmoVvX3gfA8SWUN/fTHp +QUVk9tFf86sPNCJ0q5HXFdLSXCEofWI7eAO8VRj3uQHULO38lI/v2nKxV2VxdTDbxe99+Mqr2kNV +5aWwfDKBbXMW8mS0fL0RrV7c7q9oc8Tn2rIhN16LNFxtKKt0Nnlj9BNruqdRhuMaHXl4Kd5eAOFF +3SUC3mFHKzvhWu9gXfmYab5+OJOrRn7EfWQ+aoJF7XikfmJ7vy2HsZYwM6vAfq1p+rvlPW5nYYN3 +BsjAgUHygNvUCbgpEG/xaNblUpZD2Jhm1RNkFY1ZNKvzRL9+8h0A1DTMEFf7bO8OWpUvfPxSu3XO +tFX9VmgGD0zuO463yUxrg9VJGgHl50oqUFRKBvvr9P6e3eKwaYbU7UeyVFMhxst1Gzc/hMgSKszT +6p6PctDhDXKyYdI+DJqWFnyKJ84sfItT8lbHWQ/kXDZMCvGxIo/VEMiC5LXajndWTVausisGiGhB +4TPdHwwBD+FzQB3MrewX+v4nOjLln9JHHiKqlbmyFMRXgUMMfrNOyk4mQgvkFlR4ZuyLmXRnYwk5 +dCeH3b2nXZcPJ1sS/ArV8h1m2dYgWit5J1mdXRy4+JwDgflyRU9IkcwDbcyDG8UXdoHeo+QLRdYY +Bk7GOBuitVkoABgTFPuCXoBiPcV6iByhId2kszgd5p6cDAthaeg6RIK9Zpzj5Pfv6FMyDv57seuw +fjgQQGAuKPqgPjB9kreOPofswRDwsud1GpeyzpbJRcXtbbtOcQ7tmhnKm+5SictCjrtu3LKDJ/y/ +pYoppsOmZMLgAEBeHRzv71FozyXKy4zajGdhhgdC3paBDvec4Uc1Kr03QlJmjPHVy6Y3aNQlAasM +hwvmmAqAAOfIggKOWJDLiPns5jij0nwHtBt9+jgffc6I43YJsTr/iR99Fc8fAfe+cRnhRyBhkRaE +zr+97Udhjw//m6S99rRHYd2feepP8Gonj2gvbshret0s21B3Md7gDBqbuNfsRlmgDpI6qqUNLjoG +KZAFWOZrRShKEjM4A4wWdTNzsLY90EibUlglPR2VGVpJExBkRKAnoXj+fQhMeaWyPNUzW9OD/2GM +MbRe9Si6pcvZq2Zm/xf5bTv76yJ0lCTBmp0gU//XDUxqNpgF8YOh1UcPJXvWtr7pEsrsdG/TDGD5 +t7FCfiEpTRqI4fHuS6o/4KNetVFM1SGnbhNWEqhO5JX2e+vdtQbexGsxtqczPJs6kAWb2txFlyql +zsldoHdqDwVgYZYedK3J2sz0FS30OEdywGNDUqy8hCA+E6UyTTkt4UMFw5RRdWVpvY+wAinX/RRf +nMHhRxMNwJF6hGtQ5RJe3Ncwnf9oRFU/VXAeQuDYF5nV4/pYia4oLbt7rWLzdnah3jr3icljEGN/ +I3tEsF3hHc/2O+cx5WQGzXEiREQehTP9Bq8Htq8g/NSKvWMO80RXcUrc8xMrdZhKTfa4mfvRyO9g +VGZFTAEzjKDS5D9Jmfllh7nyd5k16VJVZ7fzrmG6OgnlZXWu+snZ5CBSZ+D1ovoUyWdCuO6gywvd +3ucFwVKjbTUx2KP5DqxlKAEVIiLUsCmK+58F9WLNpvAfjaV03yqPW17eHWrs2leLZfyYXEHfDzGB +l++Ul49sxAgdw84X/sbg+WwuejFHaIyVnv+pP9sF2tnEUNvLX/7vxqpCGaeIchlMduALhJMQMOUD +8kNASS49bX3F1ixKRN4DLGA0uAjvf8Gevg9XAs7tBqyNGYEgjpi/deEZWDUPepcJKxIymsXD3WLz +CJBMD3MCKPa6dQ8yMPwm0p+DuC+ZHLD5h8hGlLcUoTwAMSeUNR6rWMuNIQwSSmokNACO6zUarCyp +66C9FVP2FzzQUF5I0qKKUTiiJg09K+30loMmbpLBHwiuXUy2o8jTTodyjSmddyAvZq/L62WZ/ll+ +8VESxXexzISpqZMVTNZq+sW0GVL3SaeZ/ZR7tvfbN3HKocMb6jQa5wZwQzo+4VkI7wW1jlbBGQQx +ZVR+nQaWpmBhK09Fs63p7M4pkoASJv6+F/gqxxK5az5wn85gGQWSFRxOBrfCTAho8CQQrbONHtLI +3SpNRHySjDDAA3/nzzyKuPStPYYtmlf3pI/1eHYa/5jKMbRM/0Z4MpAcUYfPAuWdtFQwzknlB8Ic +BEoov2BDL8N9ZJXoe+1IfTc6kKe2GcLJV+gq1hkTKofH/s0DD+eJlDkukVKfxWWi/qkH07Hvb2H9 +ud3qN9vWEAU1FeYMMeJdMZoq4pRRi+xnP91EYg5+6eoTcoCPVPc/bNI1bRFujOKXmHMnIU9Hyem8 +SXU+KPmUhZ9TMJChTv638MeGobMQvjVdQacr/1F40JA0PEHHfDJmE/mqRZiXc03ec1qCRGWu8PaF +3YefIMH9Kg6G2nT3qP+V98qD30zIK+rxYJE9sfwt/PUcZb7riSPhU+buv0QF5N38vnp1hTRWEQZD +vP5pjP9sLr9JYKB/m2PVSxQi5gAwUZ84agyGj8h+VBlRvLlXg5OxBEKim1rOnHdf4zvbSdGHfPv+ +byb/E5r71MgH7VJBsGmgWl4kj21/9ZSUjnaDGiZPwSGbXoVgBaVluXiA2WeurYV0sKxBRhGV9WtB +/wkGq43ahIFr7PKNt9WKaS0Vy02hxX1dbF/HwUHxt/c1XclkXLwS9ol8pfHGeHWIW1wTyqDeCQl1 +PImotztJS50fl3ofTJddBGzifgMHRlv1gATcI5WqnYOcDGFoBFBbtvzr+BcACJiqMlmVQb98eHFz +cMImVvhIiVWXZ0jWqzbK3ikBPTV3/HwNBB1Zb6V+gz7Nyo/l9dI6QRzIRDP30EvzKcGkBtFUAtO0 +7eZXAN/e02D72UJQ96SkWJdp4ZtSBRteow8u2zchzc6xAvdJGxjNJH5a6r/Fqk9BcTO6TNs5UKWZ +TDHovQeOmv0Lqv3/uSeqCOx7jdmxn8HVsLFLuIuDZdN4rEMES6UrusWX35XWIdCiLnYbyS0PW0PI ++XL896D0bVJgsTiiPIjhqoRiaE1h+pH3Q93E7qKq68+oAJvdcFjdP7Zov8Lf24f6OZNi9I/5ShQP +U3USYQUV6sTkFw3v6IXzxh3l4ZKpik8aZSnEgs9Ts2xxPL9GwA7ErYBbcw7Pe5VDIwF3RqGVmY+i +4UV/vI6wrRfhTiV1t2gfip8KegihCFV7ozBQcdGiYE4pV4WhnFip0jEDF4KktS/H2a/Wc/CVAg6M +K1oQ+Fip3zXLPDwF1jWxEDpd6woCiWdpiMqHfQXPilidp9y31JLhHnWcmgmni6m+K2llcvR+/RX/ +oAMmi+yGLoIMCUG8zG7VXHvotgOVBH/MmH8+5HaaMsNHwJLG80KVrCqd5G5tdyG+vvWezJmntmRK +5pkTykjj6J3p9puM6+vN1uDrEea2ds/j/eR93jvA1wCncipwZmLZB55E1U/KzoLqSrsNNmjxZiz8 +Ju8Y3/6OdUp9n8WVjZljxnJphzwtG7PvM/2rAQru/mbZnHvH/tp+zk5mnLZBKLc1B1TSe8r+hzPY +jTSB0KqSTDrThqvLjA1WRMVEFrBPjuN6C/zy0ZI4EX1RRxxwGIQR7I185M55ZQRQtmZEYkZXIms9 +R2zel6jMsRfdMSJ1XngXiU02PadmusUZEvdS1zGzRXBvj5U+8/VZuSaget8cFLS1rPLn7suNWyyY ++oUjxfCIkDGoO7b81HTyYTBTKR3ZDYscINragtUPPYG0kpCyu9o1+c5CVugRMcxWVgeVbyqn+lrC +0QuK4NdvHMDdFPSYxxzBEF/alXBB2ShZkgf/Ba88REa7FOJj7JPfOg1jO6zv280gMr57RwMWfB9V +VBqeYE/PRw+6nWWYErob98F873tx0tJbM7ZUw1L4olHYYLj4iOv+AZPg0r6Tv4mJYl5DDSEm6pvF +qh8cat1SGFPADNMrt/31uhKv367HvSkxFrZi6UEH9mfdqvffqVgZqjOYgO5yTiUkqDRhv6pgwVGT +5SRhyYuu1kWmadxNBCHvahJOzmPQhpaScF0TbhxvQ4lDJOCT0GJDCpxuJ2Fmoh2jAG5djYOM1irf +eS5cIMb3Hq1gLNvfpistYMooRIUGAyp++NkG5Ks1OBSJtWSYg8+nBh9lYARFKLHZr4cmwUvwgObM +qvPjMgCeqJHhvzzJMeW+aSqI/Itfz5VuhG//PuCtJgaAfd15cxRJQudJL8vq7K0MgK1FLzzbvoNo +R5Gh+h7qf87HZZ4GTUJ6GAQZW6BHwkOHIPKo8sFeaBQDlWdu4r8Ol/ozTiXHF2PkkE2SJ7iV2nSe +BEc882YrTuFEX3HtL131OhwaQcIjjUib+dUiIB3NoninHMWJi4GHh5MIFsVP6nxcmAUUX/HFsXz8 +rYRjTPkdZ2ObKOPSTZtOmmvyTz7SbMWBIH0L3jqipTF//IotYq/zDkjAtEylmlEluRmHGy+eTh7/ +H/PQFOcVMnGUlmU8qsV+NhpfM6LIRoc2uvq//koZ4gHPkYPpuAxD7eGUnAcaNECl55LXLnXgsJ3T +t4Hsgri/DlAWq0Aw7Mz5RFKofcfnrlrs5srA8qygBKh9HjmkQ+nrO8MaYlStxPTlMzB51fH201ns +bNv2Sq5ZMmiwo7JZ8XZujfRujZypSJE+fcxYbL5iSSPGTggOFyyqZVLcLmkSG2c7Wj3NqiJyvlYF +R1bjekHuuFYg2u7fctsaipo1qZyH+yph/bZfVgJF/4NQZdiN9mu+JQ0bbJCkT8mGRpIHp+JKEHrX +fjCP0jy+RpreAz0XH+XvMMKAdyYEa72tqDN8STy5WRIi92AM/sAv1O+TXPalRzOEnoRUYAqnGGAb +cpqyZt4viimnxrB+a/6S0QBv6YgJQ4jPtzFOSjtP2M7Ggg9hrwCSBw8ehiwsmxDLKP5NjBmg6CaJ +i9U5jiUwE7ez4dZoa27l5zSEbGSny+Mcrxtv5tf3ctwnTFg+o2GSnW8FHk2ZlUpo3y4b2W8qARHM +aC6NfdFn1Q6E0XkgIIyI8h+LMIVkfBYxvMf+e33ks0L965a5aXnMnyU41hSCQqO/1fAczkRykaOB +guTVctNjwWT5xDVthzY41jm9qQ47pgto6rFDrjCqL0dxNAEg6tqNhBoTZQyQjD/2154rJcFRX+P1 +Ol2L52U8WFHSOKSIlW3aiX1au9NqXbPXndPciE7zNWy/vLc6DKHDxvMhf/XBg42X7h9rbdLzexUn +x43M7mePZMpAbHec7ThIukHfPeJs2t+dyEmoB3YtTYhAGmtGaxvcGYCYj1J1JrtrVdQmTNmHn4qv +Q4alUBeaRewarvTnMm8iamj3AkXtpH1sONLcK+wiMSjugX/W95cn6T5X5oKXb2Vx3Kg8x59i60Md +kzzFo18JAJa+JkdQ3aPdZGrHGZEGtnemu64RYndGZI7Ih31/cV8YIoiepfichXWP2klkxYOJldIU +qu20ofyWq4D3p8kxZXKKKqPqBCkeU03zfgmCnsXb1m6V/WxftMYfPJMSF3COkg14RjjyjAm21Yqk +W35qIS6N7bHr7mIWc/9DCtAyL5tVeMF9aJ0gbnF/iW5ME8EgkpACcJkHwOx3Vyr42NrqOhN0SSwU +xpUJcMo4m8XFMhLRmJ1OQYFH2LN3jS8NSoIcdJbbCEg8nW/O/1IcAQzqgjX4NaUPuDC0rkrL5viN +tXaOcDKADy0zLwSlBMlpFm70Kam8KfQUdF5aX6IKED3TVUAklQQOC4TUtXIsbWdKpb+PyLKxI9O+ +nz1C+6b/5VG8ntfSQltO/6pzCyNAHWNhzObW+JLwd3AmsmRmP4RvsjbhNRDCj2QnolnbST07++JO +lC3Rbklgp8L9qjZwSoihqc5dm239sd/bfObS6H27jycbn5W1pQaF5AwHMn0OYm2j9Gmeubgnc4PR +Kzc8MSAGZ6zMkpoex9aAbNdq1Yn+25RKimarzsrdqxpCLHcf0IbYraBQL4RFRg7RXJTnju2OjtNl +je496zA4Ki9r5kRH5HzbIBLt9k91Jexb1ZyLskD+kmKKfhKzRkMgW7zOgtscAMqPSbR67XKkwgtx +7vQg/65fv7l00iX9Z/z6ATEoXFjGBsbHk0EGMAQ+4jvEfkyJ1BZasYRnRuGRUT0OVn85EbQxaLae +WJySTjML4JL167ybYNkuT4Xn7nebUl2FlmVeAfAT9xoJnhV8uWxMc/4ztSA1bjfIvmblEIyQs5Z4 +g1r28SpI2U2xOTtsCxsVwLSY6ElvnryobJ6uDDzFUlcQtXF+Sa95MyPqWHEACYqZYY2ywUBZiDwG +Bti8MIq8IOXcmdJoWlMBO/BbGkxY5fBf+j0rqWAeCKYsz+84mVQN6Mkm4fxQ0ebZnfBaRkHI5PXm +bXdllO0mTAQ93vUHHdWHw6vrEV4VyosxJqXTM5EuaOsJj/ekBV7wcAq/pyLYnPrDKTeBM52LA17L +4uGltEwV0hSkLcwSvvZiSqqhsKymM4CM0kt0WMrqqMaXpIxsMOIgM8HiYrgso3dobjZmovTYp7UI +vmDBypaMOvZJkWs9Evgf6WdUyp9/do+5+kJz9FXRjlbza1/Qlq5BMnUK/zDSKbjcvY1I0NrBKd6X +FBtLEqi44TaQ66XgiNz39qxBE0iwdfsVJXjab6XBN0XGFOVw62PWmYDR9pHXJ4cTDU6YXeYOgsDm +YsthueiRZAQq+VJodofTc4yk7BxxNjt/GNgedChgzlUqNjj7jIrEVpuXSMCdYTN63W3293YJEAAl +v895S4Sl0JkCYaiIexi+HkLbHyuRCerjt8eJ9ZlOCqtSx9TQzgPpjlyp5L5sHsLcNvB+w5I6gHIw +hyavEyYP5A7Hn3f0RD6OcMSF1LUW5jiiiyCjw7LuqR2QMY0ZafcKAa4h6jPFt4LDFfQf472DkBco +WfqYdxFSbEahYEFoJDs/epfItLQi1zSVqDVTvBvKQXfMOIv6VMnX8cXL2IchPhnVAD3BBTLZF2tt +Qo3LoEEmDJDP7jDhvliI0gHKoz6y4zfhgvEesFDErUaSj8tKCrLdVNG562h4C1HJS82IxSd1HaeV +LC6fwOrf7tVbzYd1hXBsXUoZs58zwcGdS5X+XchAhNo8TQepKhqQksCnHzzHReisrUy6VpiLyNfw +KHZ/HzextYEv8GCJ9Xzo+MFKXSybr5TOPEKOjxM6kpPhX8k074CxBwsFfm8Y74L8J3Q6t/qh14Cp +eO8ccRl3G2PB9rYc+Y8mXtz7QvLLgiwrntRv75/hhQ6knxuAlUv6wYJ3lOWnh4UkbyuT6ZEqtz7c +YXQHKP8YaLZGIUDXcN4f7tw2td0m0aVlU1uXJ6zhrdN2xKM+bfPjGVbuoh7fDdbHm7UxgUC3dBPB +D1074F2Bo3DZ1MrWHNuidIYZKg8hmxatIQrC4SFwzzPuI5/7BC/TxqwnOOwPkmbC4sO1sNsmxucV +v8Io2Shmz/WF6u1M0Hi4M5XKub7xnnGPq3VnElXCEZivT9aYw6ut0zv2nBjc/l5r75ll5wBiA+Dj +84mTbgg+/Zp8yh5oGrVjORtJvX+w5Qdl/EfuJ4/0nE54HChTgsntr0prRlBeM8kSOiVL+Swh/DDz +s9bgPN37gocQ7PCnbmwVjW2++vdQc7h+3id09XOxAe80ljBno3jygJS66XS9rDifk+QmK+nTONOs +zDMrOBwO7wQjMDtZYtBIqqEHBvZPWaChM8OwDW8tifeVpOrOyx+yYeXCwh3186DZP17derthPPXH +o33dKSykopmLQSxF9f1Y9n2BkFsBSehCkNDV0/R8PMmyvG0MF9E6OjwlgdLfG7LJegaxygCIVOWo +osibsK03dZpigP2I0u55cKuRc/bOYmV9ivTSVmZbB1DP/5VmYCgPMYOSYkWh5jMH3EGkkfrxEbp4 +LUbFZKxlLgMgzmdFZNPtzrIBL+6RSWkPEIQJ9+qJjODiscghM8PFZAphtmOLz/gU9JHudux3CZKY +galXEBSAfSKXvcQbqU0PtFzJ0TiVZdT0mgrhfC16okLBXhXAW8qCy+UoVcQT87fWsSGx8hV37+uR +NT3JKVJrvvKvkTW9OXGNIsSzNbDHjrA/XyQnxAsYpzN6fRyZWW3md8HG1Mxulgw3J0X8EHhEzGb+ +D7j5+6OkB1xlglQJTBpbnlBmuWeEZ3QqsB336iRCFWGEqpSW9qERj0CypsXhl+MlislAqmqK6C5H +gK7XUuVmVpSfjK24tfi5Cztt/hhhB5K5/RzjL9FU1A3eC+m6fD/fw9jgYBcO1hFwwQRcNjruPxmk +rUfD0CEunGu1E3f7+WBn3IY6eP3RvfezTqf7Kzt0+3qQwqzz+/LjT6kSbfft0K6uwfjWP46s07C1 +lfVHjXOG1s+Pq7DogjW4FNbgS/JgjyEoeOt9VUefqMGcrcwWI4Bf9teD1ugYxfkLn6KfJKqpJ0Gv +Ub3s0adJgkwsvnPG2FKoQnEhynZlgfUt81rA4Z8ckuv0zkMViAtvqeQJpHU0qvHNGZdYKaXfsel/ +jVnskIU25ZlI0O2simefXBH/fmAnfNTwCjq+WPBBq4+WczQIEu2LjMlIq21Lsp3VwLoG6arPNLbe +QQsfYEgsLE8UFtboJbJcWL8xJKSDImThk1UpUn08XTAmEQ0W3UqSwj4XEv/uGFZbWcrrtDip8aaC +kqDspVyvXCKIxmaqEMGfFpZlp0USbpZhtbkJpm9SXYrFCdKhGq5goOC9PM6NthpD9HeIfn6YsC4d +adCKof9LhrFRSzbehReUvU1GandSZcX8znTMfbzuF44cqVBtLFCsQX5pFmUS2mjurhUC59hV3VJg +wAmGLWEEfqYcfFBhyiHmXF1RQUBc4eCYxEnBNaUZcGG+3SiU9CtYfX9CqiYy2x763LVvIxs6NMFu +naN3MScPklL7r2i+2+rI53r8fLOuxpPgZ0lWMwI46jijsfGyRvGVH0pRSNVCPzSgYCrbgeV5KdLz +yLeVqGZ2NbBuwRisid9eNsz0/Id3RVe5AE6VPV+mHt/4TyX/7dcSEdFdQddp0iiRwriokfFIhKwO +EaWHv9WNcucLzHWHS2Jpq5OCA6hSCFDJrR9sPi+N8HhEiqLPAJG2N5/JKTrRVULBTQR6vcQFP5mL +ECvldrgZxLhwNcaxXtfoSmLOyT6OwsdGTF32ouhd2eM7+0SwDkWHMuaOK7pFm/CQHAco/gesVdi5 +VayHC3+ipxFfNyyoCwqlVS4mnko0XBPyMXGqr23FDPGzheRFeAlS3pWDX0OSBv5jDxImDzY4y6Eh +PVXObG0q4m95DV3uFAK4f1b6gE1pGOfn6Crsu5duw9PRoADpfGEk/Smu/5A9nxKD5cGpYYbazUX+ +j7EBwf60K9zdNXbBA08f4nglph5rzLFP4EsClBfjC6mfIBVjqjssJGrKxfm0Tftug91T5Iu40LbA +ji1jtp7ItFDIxK34w1vBsQmDwZwcxu8xyAIHlCTUNMeQhaT7QqIwrI21UTpqSHlutpSsM0/O4a7m +44NVhLBYUU6i1e9bNCsTQbWf3+OoR6jyqkT5GYyWOiFO271WeL+EY11uhOdLa9XjKMdG3k04idBw +iPEsskkHIkndz5jGXTwxdpYDhbMFLqSk71YFX5+8QHtsC9GZZfg9tJBhTC4sgx3IG75PeEzSuOyD +s7jkV/pzz2ZbNOhp/wn6ngJo1RSplFsSEHQGhTlhLeOOqmRd0L+o3WjNXMqMK05hAGGEkPnJAr2d +4NlLkOOhKg8eQF4eXzRO7l7G4Egw5i/84+3df8qrfoaOsIXYVKPlz0Wv1anhdqCQoZKNHcQVflNB +0/aMIuCS0kf9/ukgQkJiRPMGdE+BH4T8UE/NnTrtJNagXxCxy85zjhgiHxUYxozYAiykoYqRcz+g +Ht4EDLIM6/FuTsxc3hVGYiU00rJZiS8Fe/cZtWAmxbiLN3VP3oejGsVcOiXGI2XUknEbQiLYHGcG ++aYe1dhHfRhibNAX03rVVieWAZkiSmlP3kMMxrq1ouhH+kiPpmvtBEmXjl1n8wo2RAr6UoL0HaZl +kwnWNbVxCF7dtKgEsgc4reewEKxuuNBK2q/YDyHmjA5RA+By7cTzjRxKCKb//D8dbl2W5LYAtR+T +9vgEPYu0lNkshS+q5C9xOjoA8w47u+eOaF/tvGGoHhwBn2jQM0g4buRoYGnQ8Ekkll5O3Qrzfo6W +9KXW3Q5V1g8gC9Vsq1vLaqXvPxQ/XiJavjj27C0Blq6qvr+TZnaIzU3CP1V0QV9nuGiOKwqqduXo +Fm0O+SG23Op9rZnXjRux57FHiFlok+BfO+Uh039JnWzz0egd99efNcgp5XUValS5UvYpESBVmtam +Lthn5v/ZZwC7lw3JT/Fb8VzTrToHuCFoBvZOV1FnRBNnPAOTaWiTaJjWsXi3WQU5LWcQrlXZGpAk +oewj5YUVjEKv+a7SjF7vigw0JlOXiu/QdJaI1KolIaWR2tI3F8tUc/dzEAOe2s4tO8r0Yso7vVXA +5naMqMxjlSEjvBI59Q595n6xNOXw1TNtwqkyPFOvU9oD2MIg5aKoDha//VKupoSB2hBX5fXsjNJU +nmzPYFNfN/KVTknlVe9sW3QNIdBP7ktWPn2/aqwCf1LVgNEBJDzqH/CRn6ZanbqstzI9xhWIlEb2 +75NWFqAbQq2JalUaQl65zaz3Gyqz1YZbjRX/258hn8WLYKvk/2DwnTctvAeFhi6Y7Q5exf6eRY0o +WTQSvAT5B+dVzOp+NRplN1ImepG1+UgFDvRPFa4rOyQxaZK8zzqQdg+wd/A1pxbMPxkEjcCv0RV3 +U4g2pfz5+UDfJbi6Mba23FlgQtUOeuTc/hpEA1eMdo1CbshtU9mZHEXepdFLrkyoWjwrGub6jhqZ +qN5Hrzif0BZsz0muvCzykoDbN36WYi3vo6HtiTkXPY7CV+HYe+/HnoYEGTKtzHdPyuO8UDpYYzN2 +OU8mLFhGpHZJtlcHlyMMLmuUDV7LBiOkAHPvU+u3Vl7IC0fTzw+zTQB/mvz46VEjyoi8TVZmlkFn +gO/Gu+ZTq1JS6g529B/ltaLuEirsFu3CpCyxzKyCCF+8+izTMikRFibAvoe/IsNrbFToPp4xfVtH +VLvkrNmD+3eDM9LO5sHbZg6v0m0JHRk+int+oy+fGfh6HYvecQf4IkrJbrrzLNWn7IXK/lCTLwav +69KUGSmqbbVCoz+TAZe0v0YC6S0ZmIoRZq+OBmYIQgdTUBVPXcjXZ3XTcoxJuJAsrqfNR2S0lkW0 +nngNgH10DACZhWn633+o7F7WzbVTrIPIWVFGTKDnJqY/JKz3BDizUQpouLY/uTI/O5cSU+QOdERr +1YTw0KqhGSoxr3IsbEJQEZMGEOFdWW4nIwa9wD/Xi0bfIafRQkLseE5yXqNTuvCVZ6LuQHoLHM5R +QS61/08cH3RKvhGkuCQnrLglK1aVRxhtcScEM5cDplY0V3J65T1EAlna+JBRs466yroHH+Z97Rjh +TmBSeXFa57kuJ+ZQt385YZY/gwC66RvMqulcrAbdxzRlDZkqucnnfmWi3XIos+fwLCj5hywcEvRR +BuWWqmdDbnZGDTEP+B8WcPrxEwO+cm9KS+RZ5CEbjkZgj35aAk5d6tPXn6daZmqLcV/sYoQCSnMW +3Iriwl6/KtSAlvG5XwiseIB5SZ9VVaWY/mHX9Quq4kQJtX5Cm2KFOjWQiyYqgGf9+jzwNHPiIv0a +vUrtLD7KkWtC+BNP76LsHGV9DevQ8Ds3hLQrgPSgseoUZSHRW8XAETSAYRjFqyvEQrG6EO/LWY2m +nJdFtruoKZ9BotYMZ3ra0PSw4Fz+oYlloSq3y35LToxbwqmZw51ROLmvkie0tnxCyT03upMPveg+ +5mEqu2qE+myetyg02Bl07m3xphgTgKlzz0FhNVThC2xJVgvgLNNzeGNqSpshljF3ro0xiMGQRSS7 +1cSXiYJhdLPMbynGgOXudSdh8gxcg5Plu4h6hU9/daOPFkfPtLaTUsRwJdzc8+Wvmrqd0DPvqTXZ +JLZ1GgEcsG0PCCSXG6iYqMt4Tzr21s+gG2li51wsIhMEP7QVjsR+Li5dmjle/6i7DWBnN3c+ps+Z +p+dKtyDPLBgWt4HJQDw1ddO6Z+xDgnXuxgFAUXYF4qhh0AqoQi1sZ0CfjdgZ3VIvPdCTuOlfXmt2 +izkeNO882y32NGhAnfK8+ra2qjLGoV9pOGWb55njlaCxyhSoi5RBY5pC4As58ys7ZHUAvFhboJwn +g+cIpzWaNvC70VaDODJepS8hKUeNIQOSiQBZffOTw04CID8taohsrpO/oFYIRmQNGbxHfArm2N2u +pqSopIb7RcM6tiY3IMVT8dyUZIq5sqdYWTu8yCsJTKL1S/2dE3T3rutRpVJMyzheE227W5evQEJ0 +kjLAGCBSJ97A3g66dGQWZstHOQjF8EeZ0RuWcnJ9H8AhBQ4LE5pNwQeYILFBOOUNnJUOoGbVju0r +FiuAG25wxMi3p3nDEVF0/mNeNzg5yrNari3xfUJTeMTmNOm95ZhmVsHc+K2Goo1ahx6rdcljWmm3 +CNfHwiKgOTNc/Rsj4uTbnF9i/cAVUO0mXekrQpXXkqhS0kOfDvN+wF48l8OHktu7Fo20aOtRYQ8a +Q4Ygb12Uo5vKbeOzJ3zHF9meRM/ZOiCxY3kN4vhlOVpjEGmKkptgBzlFUs32zTKX6K5r+iYkvpm+ +0ovF26d4A/kkB/aYwTSsy68NoLfJkaMhYJgrd6d8tJKS2HxckVqzBlFcwkmN9Eo2TjETstCEkW0D +VtVT1ubW21CLLvR/Qrb9zgvEPIFwvBkO7obCHZYvrKQwy66smZ2IKE5pTTevDzpxBIvmn8z7x4+V +5SPo0VciIfDy24Txww4DVhSkAg7zkmHFJffRtrns3C+nFkCl//1C8ffHGom/EHgxFRyPFr3cYV1u +4MtHE4OgMO3ryWBS1MXP+xJanl4DjYEwesIPJYTSvtW7ZomzoTKEvOJfDitJJrDWbdqdtcI1nbRO +qjTjWPUqD6a7i0PAWxb73vJSqUsWFnpZ90T32V5WezE0jpLC75NkeOQmVDF+4GzsKYyPzGe+fBG0 +lllaZ6YqdwQ7mPZMTAJoerMa0b9w7L7yeNBwb3TCzesaLwstE95bpKqfJE4L7kxviujN29beTDIf +TGZmVOIwB5wbU77aEpVb98rZr3gWA/cYKTCmwPyPuSNx7NuTr5hrp2YV5hubgHMrgojyCtcxH94p +u91GhlIPprO+um0sUPbEOfmLjm+7aVDbytwLjJxB8psELvVrmHr3ZY4fj/L3iPVdyhPHhM/E4KFv +XSn8ixOhVkdrp0ArfHqEVwVRw53VIQ+WRTve5vRTLWapo1IUHB60lIIqdTEEhjuvkjRKmTPVdL5n +Jo0+YlnXHVXpZsWUBznTghx6Voo10Xs7riA2yeS+b+bW66IlMPlQIPQWYcnBI1BMB6FiIHSwWXb2 +UojeLTaWQS6JeZqyzeH84b9YBMETMWkPQKtrgNx1uClLPPr8xYtla/hf26CUpttg36NmIvevHveu +uDwo+Ld2GJ4A+q3/R2a1KuXUSoXxwrKZmSlZAZzrSIPzraRn0orWHHCkZI9leF1X75vTE9PQQe2k +Lmw0d0jFEilGo9aSObS5tAfK7hOPxNYvDm0tVvXMMyY+OQ4eO67y6XLAIoL0m7Y9WCbq4JS9hljT +pS/XEzKnpjmA269r9vlK1Ja56aBgspc0oXVQItQ2LjANozNyw/yPwWrPvYpNeEGQp+mcHMf3t4uM +mUmipp7bOBBvoixNCcBS8BjTQwEDST3aRUP0q2pl8lgVQrA3KMaatawgTPtpEkb2PBiNBOW7X/Zc +fb8z2sePZy3Qz1onr82DNEFnOswNBHCge4IEPhUedOByB7g5JkDlbnaxgveBgqSPQVryNOPcz2QP +bKhuAUXompQ/WQ9FfB43HA7Cr4MRFUpeRrpxnURtuLgGTH2p/xx5m+9CV5F/twilewAYBPot5tob +dF8GZozQS08VDiqdA3RnazD4Do1DSGQKaNIxnEF9bVTbZuecCD7CCVvgr5Wn6ih5QfvQW5/5tJ+Z +9GukaUel3RygcddVIUgvnoLUuoA6FTgFHD2ddLTmgOSryUQce0Oma848WL8DdePDx0XSKPT8nmkQ +L+bpZ5sYLDCTfWGrBnxOucnx2ygGoGdDcMYKyjazxiYNxcDH+yYhQq9fyJcF7ymEq3ZCkjZv1tzf +H+YXK/ui8GKdeuOHJ9Vb0nHZPWMwEp1xpvAXGpjt1sLwMX46sA8NvdqPN/M251SgLS1C6ku3QjVm +Pot98hunGNxe5vDDJQ9fFzDxj2PHiPCTAoqAKfCGvMlUSKjUrcSTQZePBqcIXCZyk1rpDV27GAzy +Ei27O7pXYWWqipj71CoQ74fGMin/f+SmQubggI/ozv8ndDUaaBEBaMEeu+sNhrgHcLbATzDEar1X +j1rz7T6EsK7bIRkyGbBJaidYzOYd2TYQ+zRNYffj6cCX5vVl7/zhhUbadwPhQM2HkfRppozcYf/Q +zmucJFnb5ltm4FTWF/TfNPHGnyCKR9FyDR5sV/xatgn31xbR9KpC9fMge/QFwOyNNM7E8TeM40HY +O5mINO7uaq92nX9WJpxKMulAyeReJiKEJuVv8HcT/MageGqiXhRtT/0zXodkoD6xrvaFAbWx3WKZ +bWV7y76m0M6vIRYOMEQ12Ga8BCwOQWowttYEmrheXu1Rg+G+HlWIjFDmnUuZIwnYtSOV7JriJ5NP +uNj2cAi4dImritfqkhO727Pc0WGa9Cs4ZD0uaJ1eTcQrVCR1r/VRdxJSAv5F2SwtzkNhGOgGFLgS +qD8scf9f3t4QOR2fd4K/9lV3rZSU7K2jXlm/dYefkvV6HFbxo8Zc4IJxEirYzmzKzkdL40426Evr +YRUV8VZhOzu0ToB6eaMg7OV7aRhvNhmb/JNo1WgwjoetEDi2pJNFWdpAfFxNhN0bA+YJuyAzo4BJ +rhhh5ro/MUN6apz019bxkrrbhY/ATJ73zGGt2klwnqirj44cMxSl5ToDAp9KkNm//Ylv3e0wFF3x +Xqs4a6B4A2p/byXE/OjzCGIkL15s3MSqXGyzJR4SYHdE0tykNnqMjtqeC8MfH99YZgoy5QiLQXD6 +uSknpWCr55VpY/w/fiLbs5ELUBIjkNzpM0j11/2Qci92cylfk6DTZdKLiKvlVgnrIviFvs5O0O7D +kmHCr5LtIj/Qqam4EYfuQ8k4Ep+EmUSQqySplTxAIp+tiOc/YZ7j704Xg4g8C2jPdEz0lZi34viG +Zs+N+5qDOctzzGLMzjA2rnUS5gWxN1YJrE8C6kLIzUuOHEMNXxRxELPzohrVJS6dQRbmIWLAwcZQ +ddLumbvIrlLnkWSbz8TK+KCkdoEb1ZLO9L2vVs/9mCk0yhZ+gmc3+1sCGHhbxo+qBnhltkECxH2J +NMOxFO3EmRCajVmHpf+2Hot/1i8lmGDu4RbBFV0eFKUeKn5b4WFS1eN4N8jFee+Sgf+t7uncKVjP +nbv6pbmZXx++PAtOWU/VFhPJZW/YGk/OFNNOP7Rd6/VmeCrP40nkNRQa1mOI4Hl+/Ua3N++Q6Ury +vVLttTStjcihVuNN0o6ShVsBsJyQNNKdcJN25d2oEkhQ2Fx27utoXqBBX6n7ugM0icUs3hmToFxM +0xoHofTT+Di+AAMZhjB3IO73EeAG62ktS5jvA54Nn3s0FUkE6Vb3rhQbaxzhg2/K+atnH6cP8nXw +cy9CT/mAk3jprjRR3x76h0nJfLYJwevh9tN3KzLQwCoxIvQchaB7OrFGTdd7vRdTX+HFid7ojRyp +cmNIpIvtThhiqdsFoD+ZYZc2sYk01ZtYH5ND92ruzA+lz4OUhgjYoPVN9UMDax/tVs6CKDVK78o2 +TssdsQoVe5MFNW5jBQqk3/7IaTvFiOKDbLarPWbuj7IBpZOANaStDwkG3w3NGP0V27XyrHrZDbwI +PflwkZOAoywqn40z9dzrBhVLrB0g4zij2YQjYEHsQwipb+PlGu0U8lF8gV3pkwkVvegC98ze9YEP +jxh/v1d1/RfnUCAehUdQbJNxbtl5AlOsB7wA1/klvSpzSBz3gDeT+1httBEPgcrveOvM1nAEzlAg +QDtzoSaqQ9/u1fQaJ0SfbKc0xz1MvxREbzOLHKGhrCjgCcK0cw/8coAlBUTAOSRxhxvrNkZCproE +kLxic8eHESM/5+6TAS7woaTelc+zfmGZIYXa9mDBotO+70NCh1pALAgjXrKBcC9PyXYxjTiP5cJz +s3GpB/MKgKuOS9eVqLorcXlYdxHMLDtfGgIzYGDU/OsrzNIXDHvqb4aeSqj3HMf2KOSL2FIQ6mHq +DDMrkxxcJyDYxtjrRa29abqNfKxDiYt+ghi27qFY1EVcCDd9QzSN4AC2QZrPszMNo5vS4OYPLiHF ++MIztkiXp3n6/EFkWNlx0BnXHDBnf84uPN4JCeZ0XBkUOFw4/vwWaBj9ojoyEX9r2dTvdIfYiUKb +Uv5ClI4JoEziQb2B1HQdluIFZ+qFn/QAp6rj69b90U0nYLBoX6fJ4DcyEUCPbwWpDvWxLPYp4P70 +AUkwFR30INx5wXaHmytt8FIzjAu3VEjnCHpfzCF1gst0s3/szS+MSZSO2ZZCVrqDxai7ZhzerWvi +JHbJKbLOa2Tb0wmYV/PHPc5QUzLCvCndOJ1VAk2KPg0XRVubkkoqpE8oi4Sm7xiDkMqG6l1RR6Oz +eUKMKTfQOVSz8+MQXtaKm54eSe5XEOnmJqkLfIJ1/Qpg+xJON5y+HQdF70+tfhGqa76880cSmGi2 +xr50OzYXShEMUdgdnUS5LESjvgM0jSijZFJ5E0UTFtb3bz6QAM5KH9Od0J2a+kro397X1trbiH+E +veiu6EyJdwUV9OYtMbjX9I/K9PamVHM+4TvXLxaos2H3Jdrw1ZnyAzOlhlYo/bThwK0mpn1pY7w6 +VD6wFSeyZEms05h9t1cS7Gme6XX9l/bW35fTlDePgyUxuy8+j0mVDGGMYYWsuyOnxcQkt98SP3po +UOMKCiDmLk0MQulbE3rBKpb3nMg7eaBDRAvoMrzxbiW7gcPtHiFECyxLaRg9TGnYhPOCZHdXfmBC +lZsvFgE9esjcsslndugbDtNksWNADy85KaG0Hzx71cwAbXo1EpgEnxi5xWWywAqFBWRCPQ1qFcTH +KOvq20o85csdgXOFaDVHAyx91BM7bywTtzvfSyUBZKT1wxAfo7s9LCnxBKOTNE/vBEdn9Wltwo2K +UoRCVrreJuZKOYAXsFFViQCtYMaxkmXzGaIJLeERo9vbM/XSvGFDk3zCSIwT7PwERP3pifwr/qPU +OgJ7MpcHxMqz6TG1e+sXTzaLY3CSvOwMkrdA+m2R7hm2s8XoC25aYnDEOuTb5WyKTdwqVLhk062Z +crnpYPJmpi/eCrIIaYG1S+XCxnePZP9IPmOU3nAupNuCq6/o043MFpbbjNvdvXMMKWLgRQAColPt +FZRqeWrvmvrBwbtny6A4Ob9INkzD0ncJ/TfOhSb+IJzcFg0tGJ1a1c13ljlipgwO/IySTEjuhaKN +qNFOr+rCDjzKA9Rx9tW7wybgKyoTdYjL+r4z4LzvDAWzNgHhVrpqAT7ErQcEa4CBJctCJo/rIJ6A +B/+8neEnGj9nT5aL+SWqnV6lnebTG7Cl7kO9e4QNK1omAYd9X4Z0UVJhfVWqL4KOIcSFCUDZ1KaA +DNzwurJUe7YHu8RaIXnsLLerGilEAjEBx9vNgEF2VtqLTwsxXCf57/1pZXgJIoexT9WrUsRv2pHb +EqboPVxA/YD/ytQnI8z1pu5+A/hVQrcp+GH1TAzy8svWpgrbA4Z2RWOQdkWRwYIfjYrAmRdKrON0 +LwcWKuL38v5AIJp/IuZED/1Lm/FoccZdZOtu5Wub7+FwAS/S/4gwiVZzSWPPZVnGVVzubi4JBVK5 +v4mZi6QjMXTalbkjguBGj/J+mBhRKE+C0DJC1JDJjrO23Lio11S4pCCLov5mguoZ5xyqqipAz0Si +IQzHSTqN/NkHbrNPQInppi71n0BFuj0i+gK1WwU0G0BAPD2ftyRe4WiEWZhd5JWQy5ZoQhlPY475 +0Uak7uSfYMeyabgK+rfaltbOjWgoAeho6LIqRdtYl7GYe1Jb/iiyfRuwu7140z5K15fzzo0mzlmi +JBviLQES5Z50lCvRozcekjPiIbLFdFhNg5ZMn3S7pJO/+eCPgZ+YwbSNOB0Gsxg1bktU0i4N9CMm +ExfymNenLOsC1R5K6SJ67Dw7ijT2eanZk/JFTrpu00hnaj5eJzTv8bOy33vJCf5pnxIZrl+UL4D7 +9fsOyYbc6Opa8QG4L4Y9kfAHUke/GOEu58bI4DhJnQGd3CCY2LJQc+k1dTVmgAgwq7eE2/G5Hy8J +NkQhg/Pt3bL4h/ntNHrr1EVt/XdGgKWET3JN6pCiCaJ8e5dUPvvQLOB8t5PHTQOYKbK4qtBkWR2K +RgzpkW8hZp4DBS7oyx09p9fmobdkhk+fQZsQU4OLtsNEfVjrrp4+WdkqnKF3cMtUWddnROSOidoX +7rlcdD1JoqBurja7rIqUz2K+6rl1IRs+7GmUep1pCZ1kIGXJCwzxps8LdWUby7n4kUDoGReVdhSX +iXC2Wx0cI2wEM4RB9ufj8XnxQhN5hYUYrojis+4PXER26ncQSgjcSbKBaXkskLICfZmimMoPvSsI +yLW5w4qBJAnYBp7vhMesdSNTHsjfzqwpvZQDG1UQmNwU9gp5oWCwlXURcsAJ3rDDSjTu0I5GsUfU +PXV6UnwXSJWVQ9gdVEgmL1RK4HHffMT5WywmK9mePvjgGsu1F+yTJELyKHY0XhWFJrBUxhOiYppk +ti5iE8phdGuXQ6K/BmXiREI1GiPtAYB4aqHvcFpmR4kvUuAV5Yn1wgWhyo+J/fUEQSFHuCT/CIvm +VEV56t3G1HgRJLDLIpqEXWCZcldxaFWam7No0QzyiaoxxMkd609d5EEZasTTDUOp4FS1Mi1E7eT1 +JxoJQWQQBFy2h3I4MXkEl9xnvnvyj4jasrQMgJM71uwZVGMafjMIIuhbcIXlrSbjdVzaVgaCPy9l +yWdS37RqMAG2l/7WZ1QuBQIV66Mf+noRvkAyAqevOa9+HadxTaOPxdZy3PVE5UDrkRdwFuBuNyO0 +d16ZNQHPRZJXia7yv4XlSoRZqmg8TYHefKn1jZ1L7P//UXpXEAoN1fO6ypKKo5MvCkPU/FZDbSxE +EI/A+WmEfnwNWMW9KK4PgqmHEbdIuPrqZNNxeOyGuoSeVoGP/4qjx0uOQoTcQoS1gYVPXoLOB47Z +2pWeAZjSvoWhCxQHzZxm/0QtDEOBYyGMBWNhMViAKR19Lr/bUYW8TrTT6pX8r9iaQnb5xEzD90GL +mP26hOW2aH1LoJJjgz9JJ6YnoVzvNHizjdHTCEN6ElQ6Dxfm3rboqNpDa1K52EJyby+8E7RzD6tL +WRFdIc5Xy2FGbJ+VHc0oiBIWO38sICIdKzNBpdWCLdME69OEpzhTqvxaR9r7lku7mDzBCgQGh71b +7IzGpBCJi/c2TREiVCwb++LBQUx+j4Pjg1o51sS/o6+huF0aivFJPqjAsx0LvMsAeElluJyQYM5r +SqHs95pPvWPQe2IbYY3CvZPSd85wbh0tH8LKlJUFR/qqar+WilOWMKfSiPbNmZLfQbx2JFUr6Db3 +WITKpDCjzG2cyp20ruqdck1ZviKq+zu7IYb5Dp+mflweZkRlHUDmcM2V8pDyanLKmlVAtoKM3Oz1 +JwBpqtn462w+9PUlSuCh51IrwiTLF/B9+2jIMfiJMNgIZdFVpJJBb8BVT3tZvthQKiBaVOI05hAR +8R8cV4FpV8vhDc2+E1SZbsxaLMryo6Yxtm3Kdd+ZUDJekctHiJQFmuf6DO0YFnXmWcaW0VShyxbR +SiMLyVm6cvq/hF9dy2qilMZ5EQylIKbsvnWRXWcc/L8Szjn6gq0Zg5+U/ROHM2BRdgtw2dNPi1uo +5OMmLEqMYr0Gelgo34vQ75PMRkGeWEvULaoYVodSTyUsQdctUguN7yZOVWq0D724AhOrB7kZGWSZ +yiHS+dbP/9wqEowy/nuyrxhdkBi09U6yL36Otx3PAZm6ZbsAF6fczb2uukP2ikmkIJuWCJWER9f3 +/x/GEsCidfby9A75+NkpRp1JBKFZDx+WCN+GYvhkfkOzAfhlLqT/2XWR79YAsMfk+fif+CaIYRtT +jluApdguetkkuAXbK9jhP0Bd80kx1DfaCHOIiq9lXYAb+qAjhOL76IGQjCbBObaeLxeXLVx+9JTm +lcYDty/zfnX1wnJAnSBJTwNN4nDU0TEClBjd0q+VwNha2FF64Ov5qTxKhJG7QQ+G8x+n0ltk7l32 +xkZR8R20U0LGcmQ+5X9+Sw4V2lfNLmKcKNR+oqPw49DJ0MQ7xPGpYFFz4xJ+Za59J8CNLhGhTZyI +4Jrpc6VNjeixE5OhhrDo5880/FT0KZpOtq3yFW6SaDUzTvYcub1pvmVYRRiMOj4DKSsvhfROFOni +b+nKKZX2v+3CCp2UV2ozwcFk/aCKD6kZiBYoiDPk5aHptXfmCx0YG0Zx1y1M1qDhe2P9W7EhxdY5 +Fi9enA4L6ppJC/RRve67q1I+BIXjJ+L6G6yDM3LtYNQTDvZN2XVo7VxC808eUkS4SJnpbNsP8/GZ +GFPvxp8SV4ALY55ukvtkrS76KPnmRYn+ymWWLF+1g1fkyrx8GicUvseaOpBMAQ5x8f79O8inqedo +heBkBTd98ElE4bw2YIa8ygq3WxAL98X+Wb9vmjVKmOlI7U854Sw5Pj7TUwha8fZ4TDIZDLKZI0lg +QKfdushIV3n79pnaxtT5jBj6zjk4NSdsRPAPNV0oq/lR5Tj8zyYm+09FzH/DOK9HLF3RwwySgs4k +O5j1D3b/3t7OTQlEyXhd4JZrW891VSZMbJH55S7mfTY6/BsHymWEeRLKym2wMmJnY5IJBg/kK2wF +KUa38gD316O+t9bOjCsD2lNN7rgzB4DmU1WCrT348sMqVNSxHVRQr/UDX90KY2z5i+0hO8O/xKfe +77DfWpm+ZDkkVs6Y8OnvjXXIv8htp496D0fNNxO3BEE09Q30ct5YiZh2gWBT1NFffNMlj56Tp1Dt +N1VkuHUBANVTLbVKSuv+lw/LYx3tq+1mxRODAU/zlDVG/Nk0wdQuciSbSCL5UBlbhOfSm/WQu8oV +RK2OU3uyEywOvay+EzQdUJ1WxDKQpZ/14IWSJjFTljbBJk9sFHBrSQgLhni2Vzi3v/1PsvgTTU+7 +aKzqyVDfwv/0z4HCrB97FUJ3SwlonwoPbi9/cr63LR2n4uNzq7T7lIQpyxbBbRGgOyr/kDy3MgbO +uaHj551/z9S5/0Dlq376a2SyVBRjMJGVTFeFE7viarYd4hnavVWOvqoCwMyVIYY8DpRmM2+p/+/L +H9o5BeWW7hoCceY7qcdGOyh4K2kZmLGzKeSjtz/qeFLiiOXw35rzDT98vp4zh1b45dK9pe5/J0PH +CWDQ7vUmgfkvgPbTCV1u2qC7++ZF9yiNy4D9hVFqdOk6J/rSGGXV6K83tVtJPs85JdZwiVaJi4a6 +Ue26ZjJJRVOJG/IunR2xIo6wbAs2KU6T6PUJ1wtIvII3iKIQ/N3aI1B1HRqN3+PqNEzpiY9CwKo2 +yr+Rc5dE173ljvgR+uytAP0vG/ZVQqUzxs9uONz8YA8X/os1/oxoNIGFGISu4qufrqY3gQsbTkPm +BKmLvcu33oIFgSUXvbLjlc5jgYCQfZVW6DTzu5RKhIo6OMAEt5GbqaPZI7K4cPbmdF+yZPV+qmTH +UARwq8PSl6WEadPxm/8IzQ2ogqrTAbm292SMAZXcwmgc0wboQk6wWTCxndxDz7ff6vBUXIHX9gqC +tP5jjN31u6zpOMiQh2d5wMavK0V3+MSu/qN4jd54xoBTtLplM9vdQkP2WUDJSCVVXuUqtUdJzuXR +A6GGudOi/CfBGqI4J0I6YGdqPnRTP5XU5dM2SMUCz0/PSdjs84SH11FalaihrigHifdtSCRMNHtu +FXkBSK6gxjdkuis9S64spB4XqAEGklwBtKS6d8zr1t/QOU1kJQoxOHVwrOzcDIS1XWURtkWBdH98 +L3Zb/qkxIvOCqc21NUAOhal0T7dMTe5+QKHCSa8qLL8ikqpJv8iCBRk5V+2EROKhAg5Zh+3/kqUw +Z3sohwpwvZ232S+4TcKmzVw/cVekX2ablpE2o62JU1tuHiZ8bBRGYFXpUNeW7bqolX7DIJaPpn6b +554HHHHzAi0FiGdsqc+pesm09GeU0VmYBgCl/OtCJTpTao78NyiFQPPinioDzAdhyuYKIkcrX/XK +VBvU4TMfbMNmtE2ea3lObsfwKTFQUABnwvsvFfp6UMRiOxjEJvDITNCkO+f3ghKjFFLvEP+pKmWU ++AHD2VGBKHJKN5wb7cMvJ+GNemIDKTr92BFcegGlUfHrgsVXRAeTuRZuUKmE+ZWkzddXKM5FXeQo +Bvvjy5/JeJ9hoVrszg1JGT5mP8yv0ytYGeDKkJnxw85hionhxWx6Z2Q7FecuyEpwQlUGWoX3S/TK +YlRsd7EpMjxmZ5zZf/e+3mdfGcgGX7HHL3V88G85Zsr9VPrkZmjwxBWd8A+PchqCv3L6SuS7Olqz +99atVOZ39bJfRFa4QTVb+tnsLmKcd/FJSj/tT0LSUcmTNrQKxMRR00cg7caZaBlnDQ36wMlitX3v +qSf/JHT0Fpm31KKGszT742ZoEC82BaCryi7MZZevNVfwxTjK5SWva8pE8z0D8q2IomgOOqD8kW/U +1PI2cMPh1s1p3QN3ho3jt+lIYTK/0zxi3TbNqeqKUpp4ExziTRuRlBGbSo7ynZrf15q1HVpM/XY1 +c2URJ248cbHpnANXaXuHs9cxFU6a6GBtS+kDRoeuz8VeKLkESctNQzykznYYCSXQcu0wy+KvUlIE +bDMMn7R1IzxHOL/B48OsLVN4wY05C00AfswI0FDKPslmsb/TscKzm9DdyAPiDd1Is/Dmz0lcirn2 +92wjY4vtkzNM8OC9wXW1qvX50apfoCUVqHVsJIZGUCIMta6qL8gldJzCWaggrlQwBTzO1QZT10GU +QBEz6zH4DavwU3SHD/44rXlxtqrMPcexM9VbPmhiUghsxRAghJLS6CMGbd7XSVOueCP5Bb5KZXy6 +k1pI4nnME1oWb8jQDb65eSXmi4AaBs2VTDy4LIO7NU2HdnfMOfLop+cXfwYlRE92QlglYEPdAbmL +b5bQ35npo6cJtJdA3EcvlD6JIl6zVfed7bf04snFRhesPe2bPg79XnXlF6O9Avj0S0NKo+ihwddb +e2dVwXncWN+5BRhtahPbJxdIgdg4jwyQxbT0KIHkpo1oOZ4XWBIUeAWJoXKwEp8TXawFbSJZgzEI +X3N+MI+tc+A+dI6SsextV9RPSU6+/FLzCX2grLxdY1Ia6W/kByVdsJ7XvhatLarDoavtiHUkGG27 +3SBJvdFVk5rkzG6oyFouIvGKFt4P1skN35zKGkTlNQRonS/PMBDv69ZxoWhCMXPx7IJSy7FR3VgU +1lxOfytOVJgL6QVGocxzIHwx2mQdTeC7kkhGQZIUjqoVS+e/wM0jfvQfOWDqHdEr2H6qR3Bk6lPp +VKH5WrEy4Da62xidVPj83F5+sehJ+QfeY2KRINM6Ruz1CkCgTPs3kaoiBoTIxFnqzcLQBQko7/qL +ITMbgeRZc/7N/xv83dIFexXqvkYt9zb7/XhzjHUOyDmabZmh1rYRjlJFPQrnBbpxqjkXKTeO5GS6 +/dZNN1TTUQ4/agUHO3eRZvuxe3+WR1IvsZQbs8a0PM5j+M54oAxl9Qq6vDFq4I30ysyNAam18gzj +qj2TqzzK937sLQXPtx2AHLMHNNHaNcb7mUGw2qj22Q9SWsUkfzkwFdnsbPCYM8EPZwz6+zPghTr1 +GiOxrag8Zrdx7dppf2tW24gdg73m6xyP3/sWyHQIPlW70FC3/E6j88bODNYwRVGYTJd/HCA41Kzn +Ts4BDiHgH0jOzM2+ck7XVqGF6oicNqP1iRvutcAxItEjI/OnCpgqZDjV+X5T20KviKTolDV2tdz8 +EoGkERYMW7Eu91wvC4EDLcM7w1ciACfcuvc8F9tZ71slrPVBKGiaYIxKCiGPyGAR9x95dQ+LRc15 +U5ymPR4Nayg90lKaqwOqoqF9HvcPiu9tVh2VcDblg3WDat6lWaYTBufHfkx5ZaX6PfBuzp6DNAr3 +ScTf/3YeoPZIHdqdoApgVJi+OSIdJjjmqmetPs4nsasjHX+Znx5fKAaonmzsa2+9lfN8rqA5SwMj +uJ7z2L0jDfF7iXAUhugUXNd26YPAAWW4tF6qfemaAja6qwEBsbMuDGlUC557cPT857y4WS3WlBIe +KJDTTCu6VP7i+ueD1xDBNQOryJG9OyjifToVmr/Ht99O2HTmiNlGz4JOhy5qainZtZXBiPaFxhVg +W+qk60sCXXUcGdHmtlfZYKoDUeYaN3pznNrLT8cPKfTSQG/AEfP74pai6udiPH5fs5307g4j48Ft +luxXuQahwTjRoDT/jQSMTrnkLwJTL5YxqqQCi+0u1n15EK/pKgcK9waLe+KgE+6nemcEk4suXAiB +3aJlVY5CyiPD7UcvsM/r31tsDFenMVjOswb7wpuZGCQuaD8j4fu4ieiLxby6zWK6Gu9KBZfbGg9g +9FVZpejIpAGNAQFvwlUAxCSFuqVzB+rPrzvWYAzgLHks4Sjo4Oc7/SCZJXVm2zjbXcgOebVYMoUn +Am555tiFDfO8QDTq9Xmod6Tfr2y5fkp6ilmD6MVOOf8C9Rxmh7B6NQWJWyBvhVBd2SJcMKmi7Bsi +rxCW9kNaHi3MKRSZEtH6XrntI+OfHozmGoNBa3mP4b8UMiGmMWW+ufIVIirjnIZslS3TAcK9Wi/s +8Qz1+YwJmaAbxJmD8t9fcWf+1cuJrMf0STMQUNrKlCyaIXPvYc2zpL3klwl991F7kzi0k385byb6 +P5KkvYN1WEUUJp/zXvMTuFJo9glgTGTZwZCnS43zDlPuBhXOjK6m7rtOX9g4dpBo1qSQmktKtUch +6/yCYBd50vEkzShIGyI8xUGEjKUmIK0VIwsUQhnAAGCeZx48nE0K9+DyEbugrrmwN/eQ3K7QvJsF +8dQev1RMRyL0gUcHdEktqlDYfhg9n+y9MwyM830bNku57hsc03gLWoOIA5RMiLQgUnlIfGtBuLf7 +etMJv09vXfeV6zTtU7lvDkq4/APItUYdGNeWodd2bQUTMU3P3dVNu/s5c69piIenAezDMo2vRdvy +Ir/PPqUl6+3mbKas2or5P8Vqi4J2UKQl7Vnkvd6RMOw2uJD7c4HTpCzSswgLNTY4qw3lQRK/Pr7r +rfqknhT9eTfZDFYwSq4iXW5UTxwYsE53eIG3klsyKKJ0bwEM/YH7uZSMSb0BgubwUVa+Lg+O8gCq +BLLd08rZuOdvkfR8NNCabpbONxJ0pksBdTGWtdyR+X0Qi2HOl370E/O1YlYy13p1POTEReKArk/4 +jbnzKnGIGK3uCjmykdx850DAVsBou9r6Y7h5S+a6Vbd6ba0enjFQ01eFDh0BrqO9h36EUTpxlsXp +/TF20oav9TarhyxESHKaB8u1y5h3hksipbsL+Kw4rJYgXhYovPyTo1kavp9nYjhm6R35jUPNSqP+ +0PEMA9tGRl/BARS2JyzXOssBmMkTfuOke+hToEQ6hx/VGNu2/oO0lQeSmO4qDW1q71IcX8YldasX +6TH16kSUeuDbHC2DmVzkXNCmYaPkTzNnryj1VThPPVs3dK6B5j0g/Dc/Ppawfxg99U6YA5f6lHEd +aZTq/KGStlwudmsJyfEJ0my5Ucub+XB0zVNsdTwJQvkc4jSQbzOGMmlgz1AZ2KjWGqCBfpXGSAHC +0hnTyyuxVOASpWEH4WNm2pE9iApslYRqAR8J1Bw99TVyjLJ5YIlDki4mJ0fVxIp/vwoXNKCdCF7e +r7uLl5aoKsguOYuKyQ5+9HKVzqDqszJV77fmXGqzc63kwSZlE86LpiCI/TAWknwGzBmXPU/65zlr +IseplopuQMZn/0z4auMp9vuF1PvUKAbbSFqwIfnCGIxKDhYDdXd5EWxO1/3lmJJEn77yjmdx9mz5 +HO5L564L2XqjwnBOnyGPuVT6m80LAhKROz/Bl9uMBGsf5mYZMMwzb+3ABP7pcf0thkn5H1IvVbTX +JXUG/G6GVgJb6BEQlfDWPBVWfgTtFyPDYg6AikjdWyM9do7npFgzIzDB04s0m1BieJlhYZnjvKvG +MEhNEbyLN7FBIBgogQp0lYxnUiCp+l+Et+nY9A6Hfh6UGiVfTJswI6wvCYeg4c09jWuSgD0EK7a9 +Zc/q7a5KD98NRRO9KyMEISc/LoLNYGQS19wW40l7q5bB2GCF3DAP5ocrF5ofvRT1ozhyO0abxbZX +8d3+ESiOPEYoTRD7SGXRFCSllIIpO1mb4ISa0N8OxDWLNi7zeCaguNWe6lIo7m8sgKlMlEehW90U +9+ea+1KbT4bVCcorJTQtpmOFjTHNT2qTYj4XK13hLb1xfO1j24UvMj4TCyKqGTggxR6AxXabF0+A +CkossVgcHpmYfL3vWAEhFPZxShoENmxuejgelIcDY7XMD/JmcgthEczK/O695M4KS9n8b/C9uw48 +2MX6imNaYv2MCqHb3Wr8e/DKwxvTB9YjKFYPA28geSokfbQSvOq+lKjH0Myq+AFkAndTGUfiMhMX +TkNTO/FSe3FE9uStsyXZdyEC0HDDFjO0Lcezr8Ay6hdP+kdsLZlhPQpYculhWVG5WULHt1qgjmBx +4tXDW2GYByWdwpl8y6kvRm9aOp+Aab6Sg/UJNi1u59oXhA9xP2vfpA5PyfaLUR9zX1uVi8tgdlaI +AoCZOgqdIH88nCbiFFJgr/6WHxGm8l4eA1Ql5Ob/2ua0DrSc+uGhGiEz11/Wg4PnXrt84pYseeYJ +w291Y5XwfDgFoVvgDgMhBV9Ol8GbOHwujNCe8LIkoISsAXNLjxMENgAT1BJ7vUN3wdMd3ylWcPxs +iKPkllE+hDe/fKPGY/ThHtdcDl+tLX1mHwW0Gtqa4oz+Pi3MwP7bjmbLdqZWe4VpnV/p/A3AeT2w +Qj4J0pMxurzyEDOXWC6yBu+wjEihWI0GEvO0xOmbBFPK53uPTWJtSCG+ETd1OrE7yrq+EhSs6Whq +HFjrLi1Ff+4EsYMZYp7syPAYxwKsdBSl4biRTN6GBwXAhgBTA75GEEmLmM7HqFwvNFoJ6eIcOwWL +t4fDniKUomVerNiRtMBVlSAvLEANiKf7S4via0rIUolCdUi72P9gCC1chzRuRRMmoVy0Lqb7vFB2 +iHc/9KdIi6Sc988WndSLhn9T95XYI/nKt4+zVXK1U9WqcwO1U0sgyEBtjPjtMzAJQjT655MmVgAN +kHqdyl6feVEyWOSZ8WRJQ7DwC6InCKoRc9B6r6u0F61+VNEY4bhSxjrENRHaQjx6eaa90qG3+45r +diBiRBGyg465hEhTDKAEst6yHadkBp45excFrP+BJcRLoNYHr33TYS+d1lHJmvaQgNunp8qquHAP +OCzcHN1fesKtxMfJgEAzJfDWvsniJUW9jwRehdjq8V8m64tPNnT5IXUyCA02qWAvDlnMXIOzU1Zw +ItsY8eIGfy4LAtfzh1RGgy/Ph9tLV9l7efqoaYBouzSZDjzf7/0G0NxV2l1UM1j/0FgydB9Q2pRJ ++kJxLHXwiNPh62W0cQ35T7kvq3zr9qK35AE9JpIuLb9f92Dz/EnvtFV2OTmdtrbZdxuALhTrAbc0 +pSk19Un2B/3aEFaai8Nioo1H61Wsf5U270+aY7btWlXLYWrj4KyKf6IKLcJVswaG9b0ZCB4+lvS5 +o7iBYwp/wgKroYzKMvMZe9mM5RBgbhjL+IsIK3KAjuL0rAMLoxGUwpr5xPrFs3RDSAIJvP8wev9d +JfcdsYGornI678WXGZptP9gW71pJnYTUfewiM8yvJl36ZIpftQezuy4H/mOBt4+QsVFdEwIYJ34S +cSCrZ569YP8J36eiyLIs37IL5nH7yc1IXUXPGosHrdmEIhJ53GPD6GKEdhgal1Mgr5K74LkeLdqU +4vU8kNFHLNAzypMyixecUtGrXyn9Fo42ffxr94gkkeFyUnnuMkDOpYB1DLdXr/wJ2eVE8bnerN8A +07TPK7z+9RjmMoGO+/jEVEzv250jQQaTIwXXiwVIKj2sMWbpyfmvtzErdECk2Q72TYuEZnSLUfyt +siHMcyQmdnkoMGj3r/QF7Llv/mv8rotmwk/sjXxt10V8j/rZAnw0OFqlCrUHXak2L0+lrJkZwXwS +atsMF7vQ1cSPPmU1vN7srBuWeZb+XQGIsXlj53OnIkx9NSqbt4YLYuzP2RPcr1NfFTOdnLI3PhJt +CaoduQw64peME1m9V4bqIkVWI7qVT4Hftvf1+4mLZ5CubZ7pLnmFpY6voZK3/K6Q+K2g1Cvc4DtP +9FZ0B6jfChs+GruifnXk/mqpSGwN0OsMrf7fA/r56liX6Hqrg/Z1QC2K0SuRkmuD+myxLF6lDMCb +Lo02I859M/E2oIQHfka/KQjsHmQeH9JUFYEG+NsJaOfpwERzCQsS7WHfUYopOD+fjcdo2Isc29Rz +r/qH1OnEBr9uhlHZUIC75wzWVOXfXjmjdJ3HIE6Fg+WJ/4oHRxboMiecy0GW2nDzROHnbtkrTU4g +6SJC+8LaCzj7nlZFzBraSg3oyZyKqu8PePnufDFebQyfoqmlV8WI8+T73JQ+98FS7qUDFgWfIY+S ++hIoRTeEGSRttz97T3mUfXyquGcdkNlwq1zbXitjZKFshA3TrWJJT3ublXAbvYWJsQQ2P3Q6Kit4 +ZOaNsdLYQI2z6PG7/hcm2pK0kUKKWs0Facr1Voyew3ZJT1LoPbWSLTk8x9ZaCuxhEUZWrr9Y71cB +CIsz8CVijro72cMn+XEqEeV0M/z9R3DqMeh9H9J3S5b3sIqwDefFCnbo4EVHfJWMZbEUKMGXDC8s +ex8C4lG53L7nxgm5Y9o9hTWlQ8Pj3cQYiZuPJ/1d4a/DUqBA2FI6tqWzkQzk5rCAgAhASFfMo644 +eiK77+OuiefuE3qefUT4OY8fYqOwLr7CbVXzAQF12CvUBipYtL8GDG0RE9Iu2TN6AYF2PgpiP2y7 +Z+4XrlIbbxHoVoQRi89GE4l/lkTyL2XeyiErzz54F8lB57dhRqygyg8Y0x1qjI2Zfx4MCokMoPEF +Pxe46uSY7y4eejzvMwqLBuzGB2RwwCWFuIUrYKOCg5LSkwLeRbFf5BCfGrxideoU61rpfFzvgtRw +Ywx9SeC4m0HEbk7BSV8PK+j1eCRiaS6O9iyNG4k+VC3+9LROqzT3yzQPU3wCwkNJZ6JNqcHCowzf +lVlQ+RabvZb3GTou3m+HyVKp6tsBs0aDJV0CcyBCcn1eZtYOh9tZfUib+aXLKivZhmr6xJY0KAFS +9P80aM4n4E66UfQtdA/4IAlRg+cGK3fmWynRsQLMVvdQ1l1LE7u6+NL5s1CUb1C8Ah1IbSsXQhuQ +Ab2LtFswA4fxzCVXjuvOxjGsfX7kfgWcRvt4DDjT77+gk8qM2fUTowufIJJcs5Nx9PDktvtHYohX +NKtdmso5U8yR5dEEPYPtB2ByWiZW8PsrISzxN3TkmzkUI8bIQLdgeORU4nbF8EsxhHzR3aoabKdc +fw0irqv4jReJTf9y6Din1Ue8RVzI58TOEgzDv3UJ0WRBVmKbYBTZMz6Hs9qgDz07BMsYONhHp27w +s5w7PS3xFqYYr02BILs/RquNLiBrqyHaNLXHi65lQx2r915hHjjJZQFxeoHPDRo8M6iBRDho07iC +qlcduph0aYbC6vpMgDaBAPALl1vWkc4N7ftRoRKYxd5/8d2M9xMiFj7RPtag+Mydzaz3n4evkmNR +oIX86/7mqKmW2krVtAPnnkVqsQwkniHgxCRRsdELc/cncyNzeELahQemNRHGpXAq7FuUjc1w2PzW +yZeFmKsgN6yVPpt96/KEvnBO5Hm3Iqv3qvV/SQe4K782kRu3B4y7iha81URh+e7wDzmkFtUfJhwE +sm2bMt4eUVQEutiYD3dgPiwSrQfmEkqrKPDVlglotMFUHpdLeF6Akpv/oZ6MN8it6lKB/GQrkxNG ++nrtW+23atRyKNTyYWI9Wizc7OaMqqOO4XY9e9k+I4mW6B3NcLHxbz1ZtMhNu2kcwjAhH+w67UXZ +XTuvAPOiYB73ZHYZ9KAgT3Yjsj+MviozXRhmQjSsGbZRYIi+1iBHrsMw0qA5kQmHCJn5nC31bpSL +wXQJ1qiQB7EA8Anf1E9v80ZpM6kvpbvl14G1m5Y45ZN4PdJ6uXXNOIK3h9IGEKBqmnfEQK3wvbzo +R6WY7pbKgDfi13bbfYx4FkxQXLmST5KETApdgE+x3gDGsEug7wb/O4eEcYKVMwrXj34dw4kXX0cf +vHMnIOlzgnZ5GXQEBzA8aF0mrL8k7/Y7T4z6kW7GiroA9nOYQywPfe1eSj+Afuvlxqhc7651zTob +ZYpM0NnKcoVnYjPIg05lNq02s+wncdu8CftJC0ezmYtx3EGqIssEoP1WRwkEXpfNXrOQj42bkVBz +tHjkx0SDdwyvJNRGAegenmm4yXqUzZnuF1xHfI1RNnnkytm81c7mMne3kVYXE4aRpEZhhOKM2vg8 +v0eu+suWoqW9EzzgaEihumdjE4Q1LmDFedTIJUsGSiwY8SzDz6FOVrbnX9J41WgEyZ2RzpHh9BPk +tcnKq4wUfoWWZt6BvgP/SDt/6XuOUtRF5gYFSAyC3Rq068S1gqMTJATzbtsdVILRXX1eRJfowIbw +KjdCy34JVFGAWLFvfcVJ1dGcLqkRbtl3JyBt8CadvZdPxFhqcwCF1sfPZiI6NPH393leQX0Yk2sL +NMb3bWWkE0MkRsrQ69z1z+Wec/pRg5XqAQECCV7wstmyv6eafB55HPpFoDUu5txAJ75Cx1u4nDjB +hFANjqcpGlo/scti0o0R0L9niPTobpRIofSAi7+uPiohW4DVZLak7L/vzGaeyXuC+9oitNNsv9o/ +LlxQNEqlxsAy2PoKQyl1PXGpKIAw/iug+6XjmYCCJTpxPVvrRbS5uOZJN/Jt000mSQLCkUXL447j +ZggF3yTvPzwLVxSbPqwz+rGAuDDZ1oNU4G0PTRj95bJb1aY/cyG3mYR0oSY9vM697DBR1tycWMIc +1XbZuU7amEcEPYw+EgrR36Q+op4VXvcgGSthXCxPALDuYpoq65nD3AfBMczpNUIO45vsxHsXUyIc +pDByUEFxTKGLFYQOId+cpYFMGXlbAbH+El3SLtG/DDJcJkk1yPdmYog26zxzwrBIvUJioooVGUS9 +ExTLdv2t2/k8VxCqzWrbyru9aDhDIRgflmCqMmjI/s8R6IKJBY95I1b4rrQ0jNmKrIg+IKTU/PPW +WYuR0FsRWBTHtx+oiat1AACSR515yM5mPIxii/tA6ieJKtfU9GW/oMIbRy1bqDSA3gafr8coCjrY +syajHtzFLmZEMV+UbOWqKSjpD2iam2Y/2VeQh3R5WO3gPKDCzcx/1qo4mSKKpHTv7GwTApVEAb6O +obLfJEy2Xh75DP0YdBx0S5T7Lgq5wuWqq89xr4b/hUcCsg1F+UNehRkKlndnbxW9OeIkF7sZi2J7 +SUD/if0zV3vsmxKW+KiQx8sMr2XWecLoZ0AUVKlgIDV6fJeY3lZgxCcA5SurRmELxeOixZFErFh3 +ukHAoYtkP/hOY0M59Dw94RzKq5fltukbYIGv+YriNAqb+C035+1qaBqRPOc8SgKr9mh4v1A3Tt34 +NQEotdFeAmSIBie4SKFoA7ObFQqKSncGNcbUx58QelBTs6aGzdzA9BytTN2EP3AgFl3/Fh4GSKt7 +3VFceKwJHKI2X2wThxd90Ansyoao/LMcFExJxqMythLGAjK2pUSPKOlHO/ylunvgBdEF6NQe0M39 +8Gg+buBfjJhgX4NmMY3MaL1dufWwx3ozz1mIpaAHChf9T+wcenEjQJxDGzS0uMAjLuR+oKutAzgP +ykSD/IfBobt8eaNCmrcrtjBKSZFTsufPlummT0qfqEBFAXrIeBKBpFd7UTpkTtiF0a3NQNCECI5t +DW2HDWp40MiVZW5sqgLPpYkk3BOX7baCWVTnpG9deT4Eu8G2q9x9UquNICBfW2X5xKBMF1+PXjds +ggvJho/i09lDpJV+bMqMzf+JuyuQojSq4riA+1Nq4bHyaZjxgJ1fo5F2bOA2xjOllAcAWzdO3k4f +56aeDlYSOgXkYNu7IfkMeDOKzM3xVBFkVDlIVuRiEKkSkv3Sl3mO05fRKPePnTVQ7BUABrskT2+T +X7GbYwoLSPdTBGalrrEEQAv3ievs5hWfcj0Mav003xGctl9kod9ixp0qM9il18W/7gTNQWAzljUZ +ChXJt8ZKoNeYGfjCcqUTN8PKYLsdN34rRyc401yf46xTwJsoGm1hkd0LQuEc5ytGk+2FPnAyH6HZ +PBOxN3/uhTkJB0w1uuLN3eGzESXMjY+j9BZKDgRAqPHh+g3uOiCzxNpXJJDzDul1z5JIAhgi0cFA +ug0OwsOQgYV03z56JZiXMs7/ucnp6hLbF+9nmoDGP37WweBFPPV4eneEoktgVjEcdghqxwyQ8Zxx +zYeSmF4PBZbZqd5fo+K8UXRXcSxHd1a4O9nAYx86gL44epS5554UhVtDmyTV/RtmZrrdlKIQK0ub +3viHi54KlYimVBrF7ToLMu3yphspc8hubUAS6eEhH4K8SiALbA6EdpZUIFBvmmShgfJAVuBotJQ9 +HXxa91GOvEPZD3MRMa5QDsDp/aPTojOi12NWZKg3RPysGopALDEwqIRBeH5sXyf2cQySKsTxZNRG +LrvdrRShv23Jo9SfvX3yCo8npdnzHI2tbq1aMpVCmHh/B3OfRKNkoo09O4ada9SxSMI+tIaeZyeX +GGDPCzXz9Fqj6jDmfrdoiuoBGiCpp2mrB2ae5XbZXTGB4IeFDNzoHxg+V1ZMshyQqTG+j+Z6r+/h +BWOpnr14TtWMjK0UhZTqx41UBUX6yLnVC1WbyP1V8wfsZBO4Bk/+bLI8agF/MBRS1DMrV5+H1w4T +BVONspGHAUkaCBbADNo0hQxRZAKY9bKBgKCHDfVtGb1bH2ux+7CsohRlwci1HpKQpsYoa5M5G8xH +ENteIME2lgId/EJXrqwt4yNgk/2csZg1N3uW8sDd7H/hKw1E3Bo25r7D+DDS2z69fR2q1wSHm9T2 +nB7XfiT85f3mkPSyo30cyKhOPKTU/Q43bP8/N2l8wLOVnecEPCj057yX4IURD313sTfL/cCAYTAN +2jKVJTgwp5ecNkfnUYfHh2CJCx8CXBWOgezF84HZLHCDIY7PyN8w0KlaDwMXr6OuUzIpJAB402sI +nzD7GphdBVYoBIEzIXY5k44tblSsNfKQ0KGwAy/xjaHn2/Axc1BTbtvLKXlxgYXVi8QqBxY/hXGb +Flm7ATbCtCk/tOrbW1AE5jnc3ES+i2rGGNUiztHx8uPMSKKJ+fcq4uLCyPZxd5b3rD6ZqfTUrxYI +WtqkQbyv/BJ5HJbu3hAjCvUl3RZR9RbbGSMxblauwj7oQe1anXzzAErjPG9PSBddlbeo87X54NFB +jI4XJKTTgqaIwpQuke2yYoRUpaMe7DPisqsZxUZLGqvdx2jYoD+IWG2PO5ikz4R5jAngCsm7rFCL +LoHBaCMIPZz0snfgGvZ+jqfFeFKDJoHpo8i4QyRDp2wkq0phJ8R1049t2u3HEv+7Pzc961fn/QC9 +TbUEk3ec5MBk91RXXETYrUhPTYiXfRl+hvOOr0ga7iYB8xpA9cpRDPm+q31vImk43LMgavRUMwx/ +B2e8HGvIdX3IYIY4AMOusX35ckDXlJRgqfQunvmeIQcpcDk4n29yjwrVazr1I2K7WExPB9TNlmvj +7vTWpoMyerxXMK6yAvyuOOQDMDFRLipQNrKBiN2SnYDrvtcWD1A5Z9PzPCxIuGnJsK2VzywnWbvH +WmSbhBLZMpWsdlHdTdVRMs0KEx1BLwCKg142x9fI6IcS8mF1loukmu7RQL5DRte6OL72qtWB9jGy +mkC7NxMBCtA5xKXT0HcWavRhcv7m7Pa6j5XCNuch8s+srIqxxBFptn6u3Oe5xlW3IP/WPhKDbLAQ +krXD296npyD4xdbOQ/81Ij6M/E7oiKa/6m3wgOCNG6cQXlkHiVoOpUw3gNYAFXf6piyWDs6DgHSr +Q5DImwmFJsZRLYJV6J8wrrYqwjhmEqch26r0dmKigOBlakpLCCucEvNdVmz+BCwL1nfeJwCIGtF3 +h/DspkJHBZZRJZrduC/Cvhy7Q3H3SaQW5CP9cy0EhgU5bsiqz5bXi6rPENuZErjkpV1dpbZNeic8 +OpOEi+aI5RqUs0GvOxlAq98wxDHGfp7rUVCeiQH8qzeG+hUhx6dIFOaYaqX/vQqJKh7WW02PTgN0 +zk/24jcB1Y9CM1uEdXTGh6SDdoXYoKiiUaxHLOajlRCtTSidSwLsamtmcktU1JXrzuXDTPCpBWj2 +jPKSxmb+eJPHc+cuK3D0jl0wPYkmWUxpeVJy+NwyxSAeE2sMuJBr6Zo5V2cQx3aJbpkkZKRnQo5n +Fz9SM8zUFR3E9LkGFn+5u/QsZXqQsKV+jqa1wgfcxaaOkecbNk8JkNw7u6nys3+HM2Nwvvh+nkIi +KmpqUCeO/HAbAx2aKkmbWXZ4YGO8LA63gdEeWTcvgpCDfCCdWHyywD+8CxjM2virBGFH7zKF++TD +pkXzYEKE4S1n6G2C31S8HVJfRUBAT3X2QKgTjbWInVPDFZiagTRJCJyDASN5btn4wrMa5Zqu+QJh +gmPQ3cWhX4llZANhTYZVmsG/KUOcKFA4stcU0W1x/K2wve5ikDzAMZxLnrQjXj1pCEuKDw1zFAt7 +N78hnQzxaP0rzqBM5BbXZnnEFptj3cZ8R3T0Ysq9sJtOXx9FHfEmrr+am83Bbr2ezS/ds8ii3BdQ +CeAHRr/ZePsLSfWlhlyO2i+XUOXlCRPjtsAccyXOeCAdYFQr08CQ4vRFRbK92ptswZpb+wkC5pZu +qbsOXtohH/Bl90OWzOHcSY5ifhNtW+OIApjN1ZgvZS3609figMA/UmK7J8JFxXqVjF9BXI3ISJTK +tZLvtspqYUCRC6v/zCpV+0lepfJbQ0sr2bQ4cmo/SP33UWtmtrxBAKUbqH3BtI3Tiq4oPHrS8gAE +PiuwzMS7NFSLh+pbzLV+0nO8uzUCvD7JRTEiT1DtneoGyvr3yKsIBzKGGRCCUFoZnIRWu5pg4JzE +XMcuRGx2FAGZuxNNILxuWNfFO+wkxVLnu6ihaiwS9Oz3gURGxkPA2ZsASA+okjGgPeMHr0fX2rgJ +Cc4ru2GNPfNHeI1UX3qN2YmwNC6SORsyxZF6lfUUBuFoNAISCJ9QQTjggw317z2WwIznr2lS+IVp +yIMQCmSSZBg3ghI0ydoAlcxLYDMNuoBfs3AqKbCehRAYLyUo3MySPPbv19zT5i78sa9HLFzMvn/x +7EP7E9ZG/NyMakQKKLuQ/5m5dDR9+KFZqXQnBe9gA78UYzDTJ98tbnoxDus67ot/sw+1qo02ukAp +5Rdw4gVUUJfw2xgEwbbL1ia3fOZYGV0Gt0EEDuBhg6d0Yvm1IrWwjJKpG7lkoWEOk+pZyFSM67VI +Rm2Sq2zYE8E4xBYioc5nlacVkKU8OxpgCTX62Hevf7MP/WUWhrE2GaBCJyoPuPFQahUKBmK4UeZj +VFarE8/2OO9mMIp4/COg1q5RuqRhIBEk4oSc9kYcQdZ6857Ye6qlkaaGs9Lezhl4LEudmv0FBoQN +qjeCVjXlhTnKUxIKhTT2muJTeCEEP8hJH+oVbu+uKu5BG+xBwrSAEKIe8ofNBLeSAcB8u+PbttXw +EaNZh6JswOVYlQn93BndzdqQ/3lDqvZLLq9lK9ENRDpP5yZf+teI+RO5k+esZqWWYVKdxHy6bQK3 +WSRjlGzlGCYdLeYwrfJa0SuRvNlN+zIAxuTBrSy1c+WBmQSpPu3kOJ1UEmHnu4FU9kX2bv9eTW5i +19Ca9XfzzJWFyCqysO7dUKqB9jSledYa1ZiDqIfqIUwRUMKXSqAxOEEbyELapZXAY/vG4eaVh8SW +ifuZ1cioOpwZEr9GEAJixv17cnde/00rFvjsJX1Kq5ADyUk5oYqri7g7MjqOup2Hf+MXjmYvB2zq +CCO63CMBclpAPNaJU5WVPJDcUePkMW1JvxKFgLmLqjuA2+3fDRHkKIz70k1jD9SovO3ck415GajX +qsKadmNTTQ5IYCCT+hiBdV2EAKUla7wTeuxlTU668KnVnqWUMOIcE3aRqIqLlgkgPYDsAnCScmUJ +wIcCg2ttXrPTWfMudnqNkpXy/yCy6o85ypJkCkrPMRbOQrtk2lVc6MCLop0d+oIzL+hftWzKqR4W +8EP7sjyyV41B8FojkYQID+tZguUa3tOQ5a8xtdite7NgihOEvEErK3nLfE1EGJFSjijDPee6uRcW +ARR/sr+60KZZN8EESWWs4Wb2GR9KHJ7HgqUfS6ijFAGQnxsHquLVHWi8ZYVEuNO2a5jir9EwgQg1 +SpFhSmcYa3TVHyJagLBfMNHFkCvwo9O462BdkPGBIkfHx/Wiso1bjU4rlxZKlh1quH31GvmqNxSg +y3vD9znpGRSrU7O/h01a3RrIa8IINRSu1A7OITzZ0gvzB/imsMrfRvuAuBWhANV8JiZiQE0dTk/V +wsieThTJZ679AVriBYHZS/p4OMU0tTfNoQ8BCMxs1xCJngBvaN6Uw/IpXc1G7DtAd+Jm61wQpGQR +/V9/vId1E8kIQlQAtePTeQ5R0VIzTDzZLc/YiZ7JyIBDQvOANDr10XFtmhSZwBBn60oXKSJtj3s6 +4RInnAUwn7ywTaiOlIyMab39N4oHi7tJG0xWep8noq1CNAok9pIUe/nn6Vd9lXivRU1qNih5qFz/ +ciiY9DtbXIwGLEMVFQHSXAse9LESbnhCYMdCge1KA/NkXRSSJomjoLrXtebjurIy5Eqa92TG/DTy +hJsAvZKhlAcOi4/vZLGTN6uX0z3bhZ2gxPxRRbtLMXqRwJLUTJQqujUaPTun2CAf0d2wnpDiYSb3 +rEDi3ZX4lm45eZB+OoQe11R37y5TPU9BBwDqigC9k3pPN7xGGovHmNNbZkYVRXWFEjw7P6ytOges +hT11kQ7e4HTuF8q5JvEdk2YlhUznSVhxJQxWTr5l9Ch0KFNp5qmTHkOXgaSIt7mKRuiOcyBi0AKK +VPyK2GDI1YRlBI6nHfsJM3zjf0YTecGynaTnQa1UbgqnQ8fI7WKLKKFUsKfkQU4S80ihz2IkOeyN +tHnc7n8YkYwn2neHsKkegCnRJPM/JIO+LQ51YdGhBJt22PI60L+p0CxCnQxxJBm2sNWBrUVdss8e +ZF94tVd5tvAi0foc+5N+HlvFHJKkK73rw6S1VTaOnp2s/6JpJxNsi06ird8D83Bzb5o66MCaQ52m +wyZlpcCyX1ZkXb9i3ErtrBQOP2kIdI1gKGTw23qS1zC32kzbokqKkRwzngkSZCYhewELBwRuX3E6 +5uCDdDKkppTcKzH/N/k4WYnGfvt9mQCWVQZLBpm3MT1KoRyACv+EHGHmPGZyWLif8Nsoe86lXflm +QzsxYY6Y50Rlch0YPycd1n2ahs6b1dtrhlS+q5sOJAyblxpFRZoZH7QuAaCYi1/OuL1cM21UpnU3 +f4MOyNUA/mCO13LxOiiVOBDx+CDacpshGWszNEfYiU9QKCjUjc2OygkJLsliEsOiEq1fSE8J6ayq +IhcE260SljNOffRjKtP24gtTkBVBWh/bhnmTIooAakepOmIt1kgwo77jyGku0Q6DmMyEPreCJeOu +hXDKoefm/UTm5hePgj6uH2dcI7B4GRTW/64vDkWU3NlCmI6jMwtBWrrwGSYyjSQu7AyDD6RsDrLk ++3lnuU9AZt0SzAsozrzntaIkJl50WM6GOeM5A9wvaI5+Seby1CiRQVIuHFH+lsdUrehwC5c16KNN +FvcNb1VrlIUto19WYF/PR8syEFvMHHZUUNKM0FCsEOrLi1pmtaNTRWQusHnNNrnXuMXC4WVLyGdO +JDRGFfVpS9NfNW2lAQQHgvSLbU0oyxvWy4VC/Rtm8rRaslOsqKFwziNoa7FurxOewvS6kFT/GWL5 +Ny/ei+MGdLLKK/dI3PcSie4/PFOx7AJ2x3I98i3EhFgrnfpt8MzcbJ386bTbHCr8J6xkMe0mjbqO +172yOzX3Cxcpi1sJhH1VnUCSsA8yxllKTKBhrbt/yaVMGjfEjx/5xYlTsARL0m8ogSciO8IJM+IY +fZpZJLMP7EjejA1robsy10jcjrA9U31gWozuXzW4dbn41d8ZfjLt5e6susYhfq1cFKtZKKxJpX+b +xNavhYU0KpOkv2bY58wH5NKZUG7YbzLVxotj5NwNtvHpVJTqJnPl35Re0dQL76YocfE6xhopjO7w +B+bQMD80okuacJBBuTPNjsVjyAmSSlRCWjWNCel6h+GG0pth7G4n1FZWpbweWSjEWNjO6qLibk6i +O3Gghm0YxOMbjTyLpPKsvI/2d9d/2/ZI4SSrPPQUh21Mdoa6J2xOOUOfV/o37DRAwuxo5R3wGrqS +pP0kuVvbNjMvXjwF006h9vF19JawMMKO+eUzHoUesB9t0cfXDisChaRln1DFmp9Tq2x69riaQsk7 +D0Fz7bpI8Biat6Fu3R/OHq/W9UtBDrZMR9nz8EYMouvHRZ0DAFYB+4cO9dbkv889rs2Za+9RFmOE +GLtyoGBs8ClswfWgXN5hpxBs03Lhj44NI8i1E14XSt3xOa4hdO+bvonusi8n8QL9bKlZZO3oIVZm +UY5WuIc3U0/zynDRe9KEgBs5J1+D4/6+9MkBF5gUWjM779P3IZST0qK4miTRjVIODSzUtkJW/haA +ZAvqNP1/uiZ3bMl1PJRhTL+XRH6fjRmVfBzngwoPARHV1oaeCmcMY9a/tXtJhvi5oM+vF1Rn43V7 +8XRyIVjZmccqLn3UstPadDxaAc6BiXHQLCMfYXnnpsBPGRarF9DMEd2tj7l80DyImnOr2KGS5807 +Y/vimpgWvxojPkXO+0pKa7aKQdSMeVXtj+KqKJuFKaM6KXzzSYIyEVqKOfNevTKSZZUY6Ncs3mzk +ftMZY/v58X8DT5aSYYgR6LdY2vaxAGJ3mpIMTKoiB5hZwKRqZm0pR1U6jUWkbu5hL5iUXongApcB +vOon7LsWgNmf1++QbhPE1DNSM3bPzpobXGuOmlnL/mdEX3MwNPq2//MBRLD6I3cD5JHVfg6K/sIo +mGfB/wzaMWK3pL9xAEmg6NYmXz1uBHnLRFHX/1Nimu7mib/9pnjM6mv6ArTG+23Zhhw7tl5CHl+T +CxecDySi85NITyYVZAxRCXtoku/m+10iUZLlFYwEjzrT6tzaZTe5Tdxzos6URILj6h5dkP/seBiB +pd6LGWzG59MtdZtb0n880oCO5jIOlUzS4Hp7VYiRTxvH4oQvNYx+1B9c7FT4NUOe+0ghSd5SgSWm +6RZG6XmA/wCthuUtyV7JRlu/bHmaz2GMjvIhps2Ph0j48YaKH3pvM1lwLQbxsylBoHjwq36aaZAR +/Vu3U1EAu3aSB2001ehPt8z1PJ2zIf23FpdNSFf7/TDJALbDGfDPPBjSg92lo9WqCfGBZqXQ1S1H +T5Os+RHg8venHLym+odJftS1vJztcjC15smKIW47RYdu8LzZTJrjTUVz0udrbJYakxe2OjyKHckh +3d9g2LFEFyiWEkJ/cq98GOkT5b3gy7A3x0JRTeMarWjImuDSb58LwtSxrctBQvQDRpI61M5jUTW2 +PB7J1IgQHfhxHrvAyjIx4msuYB1s0+Q4KeHmWL8zJsGx26Aw47J1T58qF1zVajbuX3+2/mH3pxYM +S+OplXS0tsCCayArfIfKQB0RPQtndhCj9vWRvpGEKRvXxfZSTYYIf96kMa1zMNYPt0zWtCXzTKfc +f3QR3sReeRKXfu7ZTl4P/d0yjQ9/wo1yaKzcnpvmbMdyC1urbHxsLJ/poQDX6UV5RfrmcFXjVZUQ +bsgvMzR7Y8tVVzAAN6zm9zs3Ga2Rr/8dZXXq7bbW2L+J+YsuQ8T/lOXkabU0q7FHdexqun6eXxAD +w+jOdlW/xJG4mnHFmPlntzVfMmkss1KKi9cyABoHd+t+e/gxHJo8hsXiYbK/Ar+aovJZvRM6ZJB1 +HMzB3FF+1rd8GwVYC6dMYvu+/moPlOcH6x6FvazTDyG903ShaUEkPTLDqRPxoxNiX0QxG9ARsNov +WegeM0tcn8UOlapKS8d2YS0qMNDKFs3wfLjqMbewg69FGZS9KujdAw5hi7iLfw1mqUkaGUP23U88 +VTk671qvSDu4Xk0vA7tc4PQd28aZQwt5QfeMOa6yYtRodB6gkyeGtI2m6rv/E3zVy84CAJZzNDTZ +pdp6lyMFBsy0iHfX2DiE33JQVzPQc3xh+QXYBdjrWjRmZcP7fO1w7BdEJeNwzZ6M5+cwsWu469qZ +eOIdGfMrQpkigOMkaW+F28NiLlLiT24DabDUoWOMfWGAKdj/5ergxXg0x95I3GzS7UepEHHgV0sA +mop8M16Fqjue2lfaxnrVZCTeDoK5yD423Pyrwou1+FT4rqbljl/3wKsQbgcsv01TFqBmQ5VdEGhE +ug07yw+iUShEAaL3mE17+01zTidOfZ1qGBdPd1xAIUuoP/CHil9T9lUVplC5hfgoIcQuAbu2Fyfa +BxUG/m+SJFZPTsfsvQADWrR1U0ufjHSwixfwh5qDd7/RJow7oF8vtAusebZH9RwJho2XMsVH6Ja3 +rmypY0c/NXt91Tn2Nb0P/HcRlheB4Tbs+NpOFCBClHamvN+NAWzNcxncCqO7/P8y/zdDdlCJQbsv +kdxwczNYEfOhpIXsS+9YMj67MF/GuYU9m/GKw6kYmhPksSdrAagc1eYFtcqRSGBQaVudYKd2Pyf2 +3Qwdjz9TiRIfBFqSm8JEw7w/DtBdUL3vSdBo7IcV8aIHK7pOFYRCc8xZWNFCT9D232vzaflv2Oht +rdA6IfI8bgFiYrZ/VbQeA6NNXWjb9xphdubF96V/v23ey/80SY/QQ6OCxRl46OujbPLcM2m1P3SW +HGCqjZTE4TKQP4q5wW99+qpTM5m4Y8ERmzixo0Gcm2YM1Q3icRDOzCiMlej8aKUe4NhSq7u7zfrk +GUg/IRG1jP3sddGJjk0Y8pF3MGDIFLhXrsQDI/oOX0CC6X/35TkkmHdjRXcNl7MJlvLCmAyTrTFx +/0dzFm8r6WBtZ+b7xsy5LuwdYgkRqPJul0xtblX02BhP2WEIR6Mw86rA1FmZmkABpNA1251MOmrx +YGhudsaiKR0YBXJbillkLEUCbD7UeQJjFgK4G+6YgwiIJHDfwljjRMEiUr6pw/T3o1Ra/ugVr2nh +uavPWJnjDkx8hnRxbiqyRmbwvgYO6wLRChlW6NihaoUqfRJi1udJN0J3YP33ur2VUB6A4VEGkwKr +BKJdcEfTzePMbTNiNd+OetJsGnXkpn6T97UwmMRi5dAsP47bZ8v2prCdfPkAVzMj7H5GNzUMaqbQ +SK5M0JIyFPjwVWJwYrPKl52Ip40TglOblmVVvmMaOxpb8tZM60bZA8Ysdce2LRnMV91U2Vrnpo63 +5Nzp1fZLPcmcg3ttfRFe0OSvItJI387vysksU/4+Uqsmi8bWAMMzyk7vhXDgdYegwOp92UW2WX3d +KBvlaHxxfjMkIKNNl73l+2wL4m//SV+sn7s6MOzWVm/AJk1KTVQo00w7Vl49ibzIhk6Ya+PpgezJ +wrDPx8MPFCTP7+pm1hk2LNs9dCYjQtdPm/f4kyfkfRNNnpg4D3k8BgVcOKms/Dx1ZyZIv2Im7sck +kyXyE8DINNZGpTOHQVZDxwgkaBcGnh2AQlGIa93MdnYPtreRVUgvvUv8egBOsASPtNu0xOv6ydsW +Q3V8YbQuncvqiE8ykvmem3uY2wCN2FRjyYZ5qC05JhbQwRhxo9IlGpBNazo5BtyYdNj1UKjcjROs +M17rxBgX8TARedrWzaiftpH2zcvn/BAnmEq1+M1BaqOSarMXVKE28NbAZoZWZF1civIefAeRDHyS +NSAhU4xTB6kXQKTEXsl3A2RJbGNhjYdxoXugyM5u304BH7oQN6BFi+LmGxWG1xIadiA4PK56/HeO +6XdMVRfoRXqL6m54vmj/apN8xeE0uBs+P8FMIeOfRkw/BHw0LjPKsDjrmUP0qVlpBfE3Wc5xy9ao +mXfOwlKDMSnAq/ACD3zbT5QWgy3RDbLpsIW9PAPVl6CAVamxRlA1nU7dpzKPpFD57x07yaHPAFj6 +Z9pqDm925TaRAGlEd/cAzbL5072iCLwUXKAZVTdOH0ETAbyna02+1eX8Is2luPeCmsVU+6k5fdjq +gtirG79+kaO0JjmDQ6ZnyKQatKKrHADjYQIhz13RNjUjkgypGQr22arNdroVtG7RqrAxSYBT2+AL +p3j/L5RNwhmjcdrombyRzRjMmJmJsidOONK9Emsk+rQbu+UpUR17pJqh9VszswuWd1CB2XiuUPYD +CYUZ4egDFpdZ21nbXQK+hWJTrCM02OV5INIjQrICHx4wCsjPN88nM4VfVVcT/v0WfpJ/JcKMf2j6 +46DNNhax3+RReI73cX+RTs+VeakzzOFIF9G6am8jLij0PmAgunC1YxjLphlWBGkNZwU9gq7xIpBB +3m8ErMh7wGnMrajG3uG5nJm7nsfS1w4KyIbJBqX1CL2s6Qf3zyvKqckMagOyZdodVV2CIPSUSFx6 +Dz/LizZidLyM/Bq6lwNaxIghS5faLA20S0q7+aZnVdYVSBvDTfyJYbTilWlF4cBEBgz2hmlMAVA0 +5KRDiaRT4FVpByJXUT+HXkmViNC2jqZNulkLfPb+TI2FVHXLBwBjyJckjMC2kgzcC+mwW1Qbe4dt +p/LqLvjlK4GVsmhQvYA3mffg0sjPVYOC5JpwZBDz9KWk8p0hj3UezOrX1Fz4yl3vohZE1deFmzKh +XCDH18nE0vUjZ0VuRlqHoo0GusxiD9KDG8ikz4H+RqymiXtRICtAQdyu02LJMwZOkWgGanKKaQmq +QedcwfzhjwjMBMW8XGF2c611k7vDvP81kDv9u8QMSeBMx9cw4qHhQlYY8G2ITFNY2s2QxSFK9n03 +TbP+yIRUktyxWxmUFdVsZTM+zubRhUnxKYrZOHDSXiq8JQIcoCm9K7k/TexwtmBJ+JmCPJQgfvMi +xId5s0zutU9ojMt8VSrd+sAaokwClYkNi5LwouHr2p/1+eco6LIXRbJD6ScBpgnjYWY4HzNoHCgu +6ZkjrImCsbqGzTnDZp+JmUqZdDLoLvgiFqaSOqMmaGyCPKnJX+zo1BU8DTaq0zKmJ5CfaaDm62Zf +vpDEN95TXlGBa/L51CHw9C/l2rlnclTxZ6KBPJLGygcy8ZolXbftmQ6EEw23K8C9a0HhT9+BaYhs +TOUM2W3OErzcKc6cYY5CEovK1Eb6235zdES5VtFjHIs8Bdp/Dg/2gun4CKykA5A0kui0yYYsuWIM +19vtD88vtWzyiZqiD1zkHpYZ7AsV4WaSXBnOpbCfmftPCsoHB7xx7BaypE8KKOeDR1opnccr0v4Y +JsXv9a1oyKr7kp0PZQpvLpaUB22UR/iMPfarOart4auNfRhFp8kqaqch6vIw390XHKb/3kNAcgVl +e1yW0ubHA1ffWybGDMO+3t/Ns4FuQwESKSnYe8fYc3lCIocP6xCLl2gxlC46RTuFZrPgY0ri8oLM +G5t1wxoR7Q89yTW5lm6CBMTSbbUGAVKjfJuMzZsxQTmW3Y6cmawO5KCxZEnmVxsSe3Ut/FwYgU57 +XaNntobKpXkcyGQYCgEqBglDwvHAaha8ODmsO+OX3IaXbS25bqbt7jWlj0es10U+ySOD4jEBvICf +kHtyQWPtltAoYu+9S4JTdQRK34MNPcnGcE1rfFOdDU7C/xVkSRcbNOvIDGfd5kQTtrUtllyOCFUn +uBavVDkv1YYe/nKqFpFzW+wxENSqlrmoIExYxgnh7DWi8BaF0WczuIodS9MpT4TG5vyj56CEbOr/ +gW0XkJPR0OWf6g53U3/2AXcwvqJYepu0SKnyfxlQI2o4fcdg4hEGGxAIXuG6S1KK+jWK6/PEbII4 +mAhlKnzuFC2xmN17ufYD84DCxpkpyfSlrnOrgu/zj/cIYoHeDWbC/UaitT051+EzDzRlS4xIrPB3 +ni4Df29a6L0Jv1kVrClUNzxWsNNe4MuFKXmWvNi2oUDbkie4jDdJMGnWbbo22RG1hzH/ODeQX+B0 +uyAxHudv2deShZUWcP7itxknxA458e644diAwNJKq3cpEYAZbMIffvdcR9byozAengEgowOzZ2H9 +mx5ooIINt9szWMcw9lNog+8VP23oxU6Y/4b7iR28Kz+WysCruyzu6igemDpm4kQAINGpQCiWxJWB +2TvIYprE2b/n/Lv2njjAUqRneOqrVLjFzyM1VxzPgKKaV0e0P6uluJlvnWAG/FocxSYywxf/IcYq ++9NXg/p/ZmS7m6xIlRWetzJmjS27Tzna42aKsBMcLsTtXL1J/oVRNbE3yJOXHbXJXUOBcOLAYmkK +x5A9mkiziifdiCzTnF9yiP1jQa4PbXYhbZUd1Ulx+q0D0Imp77G6VDXHOV0H9FVUrBaWkFS47OJm +rhJZuu/IK+IhTPXp6PdUDSqje9kOpLP19E5AAwa+Tq5IpHyO89h49NQYKCYEZZD/gTp0XqThRG9A +Zz1k5nRSyZcMnclRHre5p1M1nnOzdoBADVzfIwjXXTQgUBmk6qOeRZHCs0aiOqKELCZhFLNCXKS/ +DgiYUXp0WBHlvEB9M6ftw2x4vHAtkIgrftSPJ54MhKj2cFHI3ID88E1OnWs8mGAqw4K8HgumNLc9 +Nqx0+mcd/XVS+RocgUfOocH5lBrMjt/sHnxbXe9meu/D1I8l9Bck8+kntVUh3AY0RE3YkWsG646x +VIYrGHGPYh+dUza6w2gPhdeGAXvWDXHDGbzaeMvAaFpm9hrTNTh5JYoSN/Id/h1R7UMkLBV/jsFW +SP2tU7XkMcGqt7E+N2xm0TOoX5e1GJzSoWUcDxF8gXZN2DmLfOF9ttEbQ9rxDeQoCjyL3OVgCNuI +t5bMPcEFznmSLpIu76eoScqpZogkx4Ax050QwuXu+Hy5zM8EjBLfSRDTCYiY8hyfb17Lax6ZEG+m +NYxFtxrJXLd2wH476sJYQsutcY/Ol1OSAyoGbaEDR14gEaeNFHE9mjHv5jhvF4Qu1sQFv1ZCtR7s +1647ewJD4Z/gLNStNh+CAenMiB4fjEIdCJY8UrBRBzFCPcpLIA/BQgeYFgKCzwa4c+U/Ae4Kl+vv +QA9oaFBM/z/+KvN1cQBhaJUxkh/UXrEWb/0NU0lAtwlFl/j+zP6XQ3LZdQxnmI6Iu9YI005eDlCq +IT+ggGSFR+MeMEBR2IYrbMtypPA/Lw2zsdU86DWwrPKj6Lh3A7fWZuE89fkyB2nyaEWq6XegRkLT +rlemRDXTVEw4eDEC0SEBYJQ6Y7DXzNVLlFNsK33okQdkFud3k1dy5WvmX3y6adACRhhYRaNeqViW +cPd6OdckkOp/ENlAtGLklJ3dwvuWb20uJZxyWfbqn42Skmc2BSqjI63bZZsBMR4sIEoxIZENGEl7 +4S2ZGqBtTJUNWe2osSeon2iMUgrPN3KeeN9bbafgyJKHm7fiQYdZo8rg+WQYtu42cFsvANHxiHt/ +dCfy/JokjrNy12G/PZKUUkSkilYDhMp05+DLnvTkJXI45mTjOxk6X1b8U4wSzMQyNMgDks/9cADj +HWZN+zGni+YTUY9BW+yITIUUyLchkWhNHy9IrpQ21IfV4NkMBG8kFYw2FqqD7s8p7lW+OrF+Prqx +E+4CyBLIl8qr9QJgTRMTn3jh6neSM3u3hHGFODKu1xKFX555jlmIMgrwHmwH65bfTsstzLNIN7vc +nE3c9jo+1NWW29QNIbfS+y7EjLJu+M7PbEgCZpU+Q9f/wmobwRLatHypJCKLdtMfPHLyHkT3v+Yr +9xZkPG2N1FzCWeXeOHIC7PppMnbi6e//3Yb+C+QGpBQNgvQSzaWWOc2+6mrFQ0ezptQHvOkx4h55 +vc8W9uTYjHB6qscpScG9PL3cj2DxV0s/6IxYglNoo2EG2S2QQeAIJWZ9TOAnqLgrd8muZhsleNJS +x/Inhap5rWEbZhQdE/IIfTUKJHIFfLIqBQee/dNfkLkRpfqjtGzTHe9wdpVxz4MXfT4oxubvzTGp +0A6VcpFqyWwshzEgkSBz0ZEcODYDCB0d1X9mWJMiNa9+X6S5YkD5kHRpQHb1brvzghNrjCOeIlae +7+MJ3ogvEjMLXe//uM91FW3zxi5V6w1wH4UaDJQeu1DtyKmBdbpiV4+odQE07HcBy5289zPVGIHM +dRks4LMOoND8LhwbbsvpP2C1su8jyZOETYU/2lcEkiASzuvW5e8NJI3AGD3qZxlY4W2aB+VLVls5 +obv65DNBLoaQOMOQhL4quKx28+s+MvrW7KSnyvNaIk5Uq202zO0JXOow11y7u/V7QbEIiwnFmEjC +r3kSEyYH/0RuQBopUTAAWheRvvxuAYMT2awxqKt0rZBCJXat72NiNdlNdsh3aKYJ2ZOnimJeEjWj +UtzK0fvGIQT2E+a+hJp4uirkE/zbWNN+r9vvhR03gmFi48DHgtP5NLZ51h9Wlig0O+Zs5woBcuHr +LZYOVKaP3QugbKLhaOY9Kg2Tlgms4FqYDHAxlYvMXYFEF4cM/pNm0D/BNtdPu1Lm6hIeXvo6uxp+ +s6qeXITyhg4bl735sJnmtitlXZyukOeuVX9mFl5bgCtRD1NlzBqNZYgCRfE0XJ4sufeOYjLUqTba +aoQNat5DhXkwBzVPgwFInm8OhW7xv+9kaSSMckwqh9pK3t3Sefpi90rM79g5rUzxt5q873lZMR9y +0z8lcB/t73GfWIHeaHeLosvdDqPdpVgbobV1BoEhSaPmGew4sTtagYMgusVgTahQkWWx4Hhh80j5 +Tk+SzqDAvefanBNKA/XgcgMzwX5/grxxc/X1tCYQf4o/yFpOTOpUVRnXLjsXwDyJLiTpbmgw6ZR3 +uwaUdUiu6CLEmg35RxPfJ8EG/GgFjU4MBf8tV72dLbSrf1Jiv/X0O5are1xLxiCO9I3YY0box9KQ +Ql+l5vI8Vt6YcsQz1WGk+y36ni2Oqye7TRUAymK7OvHcSpiPU2SNFIEhBD5mVLLwEGxsaCFXQr9R +F0S90fgdN//YJjSWa0xey/n/M3T8MRiXcnm+1a/9oy92EzQgLFCHeYaHxavXvAhxW687xI7Tc7uC +09nBNxm2uEdJRRNLzc9PeMGWKYaUQUco6GSYpSsjtY40hZiUSb/gikwZacxP0TSTUYCXFRvIY1lQ +cK1PB68kHLhT9IOeylTRynRXNxoiMpkT++TD5xvKgKGpWVUlmXRDmuwsDe3vIi/KffPjQY/JEryn +V3VfxOgVsDS5dl+EzZWKzfz7nIjWLPhrzRB/zLnOBkYUJfPllWWBmbDD/OcDSDHC3N+CIjNTjX4K +tGP92Hbplh2B2gDVPbSUDrFzr7xKhu9KRt+oHhRg3+nwFIZNsGgkEPpj2Biab0eVRzgV3L4npjhv +27GdV4OOlWnK53CTIAXqj2p+0xrPvxLaUhTgk91g7Uti7HsAufLvD1/3nX7YhKzdGQ9V8BrgwYo1 +dZKr5Sl5WZwOOwDZeTjEtfNo7LugoOmZ86Dl/9t1O6P3rWIx9hnTa746GHxwwh7k9Wtr/l/sZtPw +nEEwYEAP5kgU2qo2FOv7+BA5EmOhMi4BG8E1Fmyv2viofqL4cmxAB4PKwT5wFfQzZjhuQ3X8NsHO +FsEhp9LA7vvpLTlDaZvHd+5aA3pdr9nj32txE6XWKzKpsrTjoIyw6cq8k+gMi35TktMrCUAz7/ED +QkqsLqZ7JWHFpG4AN1AQTdhSw8UuRmEHi6nJlfESAYfL1za7I4YAY+H+fEkFbqH9qq48kXik8yvp +od//EtbMUSuntV3XO3VMVlPYUgdxWf+MtOrKTPrtieOQ4ArnUydKnveWL8mqf/08vBXipOQqjJlc +LVq4Mqr77ryz0Yfx9A7CKK/esFAbYSokMnCn4CYyt5eJBvxmR6c7bDI91Wt+NT2mkLuvPWZbntYR +L5u+GcscgAFJoDZr3t0WQ/dRiausqlb8JmmNK5MILPGdzgfF5Jnxjs+GC9j7icpfSWLKuX+omL3j +caR2/kn+mVJhzMdaImx6H/+tQFqqyAO8CKfT6pUquIlSwOABNUMxVPx5yl64MzL/QW/Al9QIowHr +01DlRkDPJGFC/O0lOapB6cx3Rsk21iil68zccm6g0jBrsn7n/meJctW6JwWQf5SU1fHoyF6T0GCQ +inu5E+dwXnes1Ve7GaBI/wWtSCkvTb9kz0gp60jc5NCID6wAJJYftpo6bvneO3oITSNmsoYcyY2M +mB2go51qHNuesvHfjQL0rz4bdOwY2Lfk0sFwR9MuC56Pa1L33JOGnjQ0NgryY4Wc73fiZBNgyz6Q +InB65UHSPtzp2p6v2JVsRKDAvTMcHr/4/soi+zHezZoWqTZT6XGNmvc8Rn7a8gRKvFnaf7sX2J6O +ApFduKPA8rpuR0FHMGC3Ih0zCUfDWpglRc+qjOPKdztbCi6Kushfe33cI3n7phoCKJtI6AaKk+kv +HbnmmRozUWb2u49jZOO2bXsfvl8BLHlFL+0W0KrOBfP1FkNbJRXjeQVutRglNyheS+DySpm1Enkm +QOmZQ5lmBH2DEBW6dGB+0jcrO8wZJ9SAw/8vWJJ/jgEuL9ZHfe6PqeIT4EaINHlzZRJMRZQFLvwb +aGwtRGc4xND007lwqRfx4Xc/YBxB3okWazyrXaYfsYwRYTWFBF/tW0AJ0CkRmy9MzIUGMKMElAUf +aftdeNM3Gxo3Z26puPUrsEnmzC6BttDWeVXM2wDvRv7bUVpWIgyyIXQuAs4aPI3NF7I/UNfs+mVi +sbIVJgJDnDFTN3nH6dXlACIyKNmjV75S45vL5xC46h/jyyznX0l9rI1ZdKyJ6Qy4Wgs52bjDi3nj +YeT+Bx6QdGzGeaBKjCASz46Biut+6FebEEUJ8C/FM8AySMuYBYr3C7C1/AQLH+aFCofa86AzXRUb +gjrPciRgUoxgwV/SS3ziT9Yfy/ww3SAt5pVS0v5r8sUNeCbKV75N1IpC3E9yS50KZhgqUGuVogE+ +wkl8ZLJTiuJuMt0toQLmU5KhlBDXW2cA0Jp5Fh7gBmgmt23wsZoiEIILSgrh3gv4UbEuRQ7i8zwk +9DbJNKVojkUb+YG2M9bkCnhUR6tzaIviabrGbrZArbqWyEycOCaDKKlLtFQqHJ7a3+QH871MwvGc +OJ2UbTy60qHEufg2OoJsDMEXe8fm7l01SKnr3k8E1pGZESU25HUBcbIOkhycwmmECVg3YB+/cxqI +pwMEbwqru5RUxq+6rlpkVUGgbGmoBQ0pEOTEaeHxl1hn8GtsB27ineWr+Wro107JYuP7tZQjODJv +xnRGsdMqn7JOtol4pUrGPgrBFlYiZhD0Ma5podFqphkz+kOaOQamuzmt5404HtPef2Z9ts1AKJnJ +nyTzN9nD0bgJ0//UIWgAwB1e6ES0nHY853Vhu9JIOhh4eoWPY2OAZVoYr2S/gy8VYmBitCSL03HP +ltuPq+GhFPi5c0AeXX4PG+Q2tXKn6NsiGVPvFXNS7pJMPibmY4Gjp+bl7bo4TW08OJlaoxOu1d21 +7AFSI2dnn06MikabNZTNKxRcCYJOxHvgW+0pQZzoZsLzXlSzgAUgHy46bG6EZGaNIGgNfkM2fbGf +etB66/TfuG0odou+VNf9/kGseCu8NSq86yDubipKPem+WQpp2EKI98RoTPy9GFvcX+rvfDrSmU3Y ++BKlyR4YxX3RG0fEQn3TA7OcKgT1ThmAjskrDWe7+1zoZfPljcBkRnbsAMt1qjKrBmA3/SIDhFEA +ukksOfAXfHDCOBhQ+0E4oEIkUIHnAc77OegvHIWZoL98hGwFGBG57UXQFp3Cn3Zw5QdVl4Rsq0Oj +kvyAotZ+ZVFu0MEdpCq4ycQUilPYjeblSLtWXslua/6Ydz0ITK3kBAYAXvkBDIEFck+Vi0JYzMds +0/MzvcibmRLPGu5K5RvMCyjU5c/59iIysYm8epfXWRF4i51G6JY4pyfscPYdxKrx9ki2C12MBd4x +ZXwIAbEmojorBpLvda+okNpchlgKCwqM5ppZ7RrG3x6uliHiZIP9VOGAmnVUFQuDTyekxZAZ0ffY +aYi/KmibVKD2JzrKZpOuTD12kAAiWsmoPmjNhcNKxHknJ5PgRs8B2DNkr4J/SZ2mELxvhOyfFuoB +SkRvookVvgvzeWhpST1uglHApcu0NtPE7O3ublSDjm1QPpLjoy5jqCzGOgoIFZjJb/klF4OZwico +LYtX9uDIMXCPa86OTm33Ia5uWhnsDUWPll+ArrCza/7hunEuiG4e3U3r6qfYivVOxELWai+NBV6W +hv8uiE0WKmrXXugwjnyDXPPS3KqPhm+AVykevWFXrIwuRNyQ0ZIPA/Yxuxxl6Mdz1yVCbP3vErGI +ikICn8NTfB7bQbxqPAmE/4GgAC7y4aAtAkWmDfF3QF0EV6O20kdGwS69FWIkJXsprkwju2iXB6YX +24opehAR+ORL9pfywLWrlprlSNakszf4qb1S/UcfHOn/Z/cx8S1bqYP2PsOEFofd8wa3hvjJm5dR +nj/kvHtaENR3F/Li7kXzFu5C2nOeCm9Go8tC5ShJ9mLD6AFSPOxOeTXw0fdDfvVApH2jKE4Hsd1X +1IBafZyQrwjw4BmKAr3vbRhQDvPPtPtdfzPthS1l9DCR+/K/tvVKGbgHE+mYMs/rdQ9Mac2jZMGU +C0djgDI4Mjl0UXqWNSvelRvfIggbwJGr3bVjdvHwWWUKVdnGUCow6ThEyh8AkU3nRAxY8uuq0LYz +Kez+oyubMN+EF7jXgpTdxY2ziXIZoCZ0uSr+pll2LuYQH1eP0Sss5npJKvKI9mS+WcbOVfpaKZ0z +mnzALxUS+6s0PFSeYr/NjIyRMROeRZyfSqhXHWBIKgX4gGbfQ1MGBUHDPY/3Zp3sjOPc7Ge0y9qS +gfIqSluuCieLdAyQUc1qQNUqNjSzfr9HErJB5IF0KeZCUxQwOF35aa/Puv71SFzPLAVdhB+X7j1I +Xi/lxMx+V3hrVgrb97AB7tIzFC2mDtEnwkQWqC2SvaLt2Krlg6sAv60wzzhxTHFyek3zUHEcXoHF +V8mBv83n60iYcTp1aPgNL09PqigT30iq58C4N5FmZg34kK7HBtEamJYIV1Ckc78+3FV4Er/l8gdu +n5H1bKYLlJUdYCrbOkdevpaXHlOFs3dhBIaOtPT1bta280kj+lsmA2Vl28kCCZq2UFEq+1/L7TlU +gRAJ5Wm9bG+jByj4NMdjsFF8TYZeLIaayjm8UzYsbWnfp9npNnRs4fYX381lZReL4jT7RnDDoEbK +cZO1noxJxVDMlihm3Kn0tCfp4rzwkRTkM46yj1LV8OhJ9FgnRgPEbwKLyVhkAuESZ+z+e4ghGpub +Zn3gb+3VHUnB5WHBbF/tMJyFUcsxZQPh+wMhKbpXEwCnjb33F/q3WUYkwdRpPQvkcnRXRhPtKDQX +l1tPTylkgzVP9tTk9EU79XaxjEvejGC9YmTnPL53MOl4nc8IkhqRo9kuqQkZcwsfIubzb2f9El0w +YPwiIHVnsMje6g4wBoleOhH3vMk3o30vNHKahyOuYR7cpcHY+DvlOWkeHqwde7J029mlu4VpoQeq +y+DKa6OO3tOG4M0rkYZQU/raw1m3dDR3EpiLXamiQWtSSFYknWm2B9lRPz3gId+Ar4G6o+lfZ1aL +FjtO/XoxQWTYlKcFu6DaJImIe7xZeNdmCfyaWuRPDT/a3NZfJ3x+FOLBEZVKCD40cOCjswj66gmd +axMrvlGLVwwihUOw3ilpq3JjiGx+5AAbotCWbmG+1+6/jNMgs0qnhmjaNfzeapiGZnKHFUVQK6ko +VwjlFrNBpu1q1hlvVv/lQ1DixiHNgiyzsqebI37ehxgv2I4j5if0i1umkNWYdsJgPX/AZfNQ0Rk+ +ZefpBwg7o7mjCS28tDWjeT0y95BOaQTQBvdbINT6d0qETtInBvci25trDbPSXNJT9j+rneNv0M6I +tsPz5ADbaOmfXLrQgk2+3DoA6xzfnIvjQbkXRcBy3y9WRJGdEHZxvVe7NMMzOwtC8LwLqAUItWT0 +Xm6J5IjDCEiGo70gIXWVrMW5/m3RffB/+puImnqFXZccNC7lR7/EsBg353uvyh3Kmd3tHRTwOaDj +MpaooeRCeDsYNZLzq1IlR/SOZnYA//tGZU7BPVqUY+LSeDmmGdBqI3SXdCZBOXLw2utmZR7CD0ky +C2rtvZ+pgLKyduJdxDLZmHml1wD2ywStF2vFtF3u+1kgnQDujQIhKpfm/5Mir0Che2TxPpfLBMSa +bPrB8lc4zBgfqd/MISEM6UD/MtJ5VeUykgoc+jxURTHcv8BqVswRROPdp7hxeJ527Ihff8lnqLHi +Ld7lH/xl4Gv2B3JICAAFpJ5Y/5D5YNalmsRNaKamIwjleI/QhsgUsPtS9zpxL3ywNQiiptfvAOM1 +ddN0LPSDN7ETsuey8H5zCO2FMXI97DFcc3R6qIMyOvRqkheAzJx8iMI/b9VTX+xSOBf4W/aUyKai +GPs1m59JAFqi3ytQ+50zyx0ajhD2Q/Qwg/3yt0iH0aPr9UJRUI+PA+zFiBMwjjzGpJxM3VKjjG4i +5nbVevYdpIEO5CZR8y2O+B5n9ZmxX2WiRfCCweOYyNm018lhZadSIwaoyek0V9xvgHTOHf1S+SiT +EPGIjMhfPkZd4L5YjVQyLdPJIsfbJ5IXJENVlHWcY1U2TMspCv61ESEea8Dkm6O03z8zaKusO0S7 +QIYnP3JIaQM8ZVrlI6OAG5FKZ8is2xThzlDAwXswPcvBvLE8MgZymuAxIRAl9ykiT6rCL13zO6T4 +GJ4jSYe0ZeEDyXe26IvSxHgtfSwiLEAvLc+eOgjTxZWNOooR1h+ZOAtLzCjfloOZ49tqkVfuMqkf +ykwefb96zuQMBDpkddmsowYw7MAOikVwBUEzwgvj4TySVO45NHEW2WQotefn96SQua4b+Ne8PnJj +IzJvU8EVZ/0XmhWDAPRCF4+B9PDi7IDTeatVJ2273ZvA5AfdgMhUMw9S886K1kUhGtLn2b/JvXUl +Dh2nHZ1Op8aRdhWbE2B/37CKYw8lcWt24z0zI60JzWZd6gUHW3qCOLNzcchQWNlp+FV17gZ/cL0j +R0DxRxKpG5DkE+ea6Mwh7Kb32CTKqbP6wHjtfeHHBt8tMaduQTnsLMfZapTHSSgjkz5Bi1iGQG+f +fy16Kq2qNipdIXQBswiPsyrW+WbYioREdUwl0ECGrM59Ibali1gbkTPAPIU/9KfLckjMq+QuuIih +YoE8Kmbr75FIC5YYwCa+uoqP5XE8uEgY/ase/y1lPqlBtDc3es0p+39Pg/1BvTJKiQ+kbIn6Si6V +OtIK7mhmuf6Wb3BNvRHI+qvPDyDtg+EzMXDnY8XP0Su56tn97c2u6yZ9Q847Zr0qo9dFKIFnSeHX +iw+54OdE+h804sBw4dvJlJazn6Gshy/eeMGmYrilWnorZPUv+FrR2NmgMUHX9MMs4YAYcNakfQt4 +RxypP5vQYbZ+XfK3IfT/cUOaeKYJYG4a7QHMv/wwPzo9BlLYWwZnGFfGCSaPjOKSSI7KTgEMB6Ol +KF4Q4KPhAsCLeLuqFzIQ50txRLdds/pF8t/DTbaTtVBzWwq7dnh1EgZjedjHkyp5lKqrCeNEH965 +gUntprETH7Niqnhd0ZXuEn9Qi0UdQ8boothfHHtQ5pTCD1JsOX9zs97oWpASbRxv6ccqS6w3w6Nh +1T2v2/7TbEFfOANQYb442301VzwW9wXMpEnvGfp3656HOs0JWKZpME9jhIZbsQFeM+rUandAWVp+ +kbSEwgwoVFBGK4MDjKEQUtxpUTmhEe5u9BRx09YFBKkZXl7O4j9rpXPFZ7HIw7rB7Zz8iVEtmWAR +KypCk7DdVi0tgm25cI6EsxL0ij63pBFeJbq0lCN45YZioXXOKFAuOJAWE0XeQxusnQ6Hi6qdryQn +rmGDwLfhYxXk5HzNtYSI2goglvadSRVLkaG4kNhULukUKDbB7zh4r2mAANCMjT+AqFPinVY4wd4f +lz955RgyEaU6SYwciKvU897QC4GMM3LcGp3aG3OKKJMi3xdMjfddCRJbhZ522uQRyrmT2WrPNNZe +JpN3sClK5e+J0JLiERcvOuq8tSXze8Zlchxu6N/Z4n8UZHUMwLXje5r9dzRgSvWgE8GJJgtSVNMI +06qQHQ3ATXtZrwtdKaFnoXogAM7lyIUtEkOeg30ZcbpusyQs1kxd03K+aIqije6SSmQ/eBTgHJNT +V114CPoXXJc54iHPFBjlRcqa71YU73X7l+RdQ+BU6aJQCw7/6xQc6j0XNyJnIsZJel9geL3uiswK +acSFPfZ+RCiCyS9Be/EBwuEkOXXrL2Zaj0h6kgWdwezCpoqIqi4mxTOKosq0qa0yDLTmyHCWmsfc +Q8JFZC/Y2BxxNcBB3zaO6gZ1gqN+rd/Bmw82JNpoTDXc9LjIG4cDvwDUC+Sg69eY8ae1TOCTJSac +gTRidB6u4rCxGLYnAZvfMWTvYouo3OJP3rbHMvepJLYFrISQCELQfIUJbztpS6CoUUNho4C2R06n +GDH0vKy4JzJzYPam4a/BchQSWa3xXaLdDSDbmEsfNlBuzlCXhffdGxQJOj1/s459U3E9vSYhtZ0c +snldtGnngY5c+X5FGfTfHgqiOeAcNxEDvkOem8mlORi45lu1mMiUFdAjSNVdbU5CkR/e1wQbbt8L +loUJHI+3RNZNZolr0PQ9zrofsCDlQ8xhFWwZtGU2LbBG68jTTtc0A2Em/V/EjgezUbkyy3zohIXF +ZfKbqh1AnNBVgArV3nSB8nAxX/p++ljsH3YgsSWzQixqkulegOaIsxQY6zbgThH3NlrQmSxTrX3q +BN6oPWvmTaSwzUYqck89JL6fE7ptds6euM3f2yB5B3BNr2myW7B7O99huw9GIsSEJt1y6aAYjDl4 +GZNZdX3N9QzPFLhoCUEFm0yHQpDUQeazuB3iP87fFX/ZYMHnyyv2JVECqc1y885ElLSZ1W/5Mrqo +uulO6aIbOpwMdFFNr/cioSXdmBbCxKolq5TZP3mCkMLhsLC/htmSHcFZQVX0ckHTDdH34eJt2dIr +SQ17LjLMEM8b4/lgW9d8uhkUttoYeocYijz3LFiqE0W5+mHS0Q6N8El8IEuFJuOfq1kdTEUaBTMj +c/L6l4nXRCukzt/5SL/ILX7hyPKhkcxd+NSijIZmYr9jELzSquBpdv5YI9YaQi+8rjJkbHc44rML +OubSItDtDdmV4e0GFIUV07Yq0+iu9MERazcIn8UO57F8kyBARuqzs2lNxYRSIXxv70rOH6kCFOCh +OolzyD4FtmCwrnd0Bf6tMZZpbU6ZdzX8llp0cOjsU3c5RCV6u0AXQORL4UnWflPa7RsiGMv4OyI3 +X+gGMLCXPMBX8LejxgwLxEH5x2RMbzNXWS+JvosJ9UtEnGSnuar/8MSi8WZh++rIDlGflp+X7Sfi +HnpHLdIxgJBRm7gNjypP1frgLrtoMqRqZRqYm6IJxNGVx7kA73drPV3PLezP/X4cemOCgo0S/t8f +MIvs8wgZYfxHqjVkIdHTpuBNO/QZcaBiCyGUdk93VLcSiXvzqfdsl8UAr8iOF+ZPAbUQBQ3d9crp +hlbNBrHPylLpFrwLMIIFmp/lqpRGONkTyT0OoSUSRYWG49ALAMtCg3F2G3wP5UiD+sE3ndRTgdij +HSJzGbLZyiyZ9MDMvJu3uAh22gJ2jBhlRTdZFkUGpreRWZwABEYpLEmLQ66fvOtmMwG4Uc4gWH1t +VS7IId5a9qPBCCAGmc8BACGbac95KAptROgbnnEobf5dBUCHyj6ohJgCtImWCzJinpnrRDf+NhaQ +8ze5FM+lAMu181on3tgNnqlByN9JYnlE9OgnQCEnR5UlNtvnN8kh/yuH3b0YHhG1HQuQUe+ncWY1 +ocU+S/Uj5OAkyMJY+NpTAFoYRxO6jJXLEjhD2FLdb1BJPINTDQVgjZ/GqdFKidARt7pf/fYyR7X5 +Fv+AKFcrnGsymk59YdaWOMRG39MIdDcYsYt9qD1xLHDKOF9TICMncmR6zWJumqUU9i2mji7F8lun +JV25yPGASmcOSthWU7LCyjJcQZKJgkIkr/4GstP2SrF8mhSC0AA+/n/uoLoCsHSqsCL6pGD1Skp8 +WJ4APFK3rIWp2odhVI1xuUYCgc6JTcf0friolqSQ4ZDdudZ3BFQMGMjXHN1qL87js3YIvZwz956a +ulmxU1Vx2wgzcPwCCFKrJ62o+ZfRg4gYGzMuNsClTacy+eK3IXc8V9wIyRYTCzGiVYa7QpM1QqwQ +ZE1d2pGZ5Tou6pn7VMp9gtt2wa1tkLBkag+Li6h33lCNS++33sXuZDFl8dVwF368xRG8fHkSAqqo +N/+MrmFscai9j7mLXaYPU6K3Rle+aJmzuP6hQWQEq5ylqq85L7q50oiXLAeKW1hAHDoONBU+F0NI +H2VwGo3k4ZJpA+2YU+oMYWy7EnwfW37Dk3HCTmu5srVeR8J6fEHGoXUkIlVXCvEWnktoqttdC3CU +Vl7dBfL0WLO5mnLiZ+RIpDMgNocBrM9T9+I/tQQS1gjn0tUZHExrUHPLiZYgzo9Uv8DXtHItlvrh +dH7xluwo/oHGKusQGnt1TSlonOGCLqDxLB7T64f7g/fsFLpaYs1MfR6PE3EC1a6Dnn93kX+aHqzd +ugeunyPWyls97EWi1iJ7EhlweoHF5+9lrsaIDnSo8DCglLdQ2rFodbmQTax+etLJjNZ+7vr/QeXR +HCEiPUxWTYoNq29YP/uhShRtRzpL0WXq5pzZCUp96A75g4bibg/ubBPWDi2pAD+RIx2+bDyqhPA6 +ivO/ChulbD6bICeo5r8lfPQKEpnhUQnn2XruzYz7T9X0KdEvs7FY1D4StQytTq9lRPAYQniIE8Pu +I1JOWS9ZQFe2A0b5HRBVmpebpyFqdbw+Cp8GCexk/+JVf1D2yeirxyG6SdDL6wWlju8EfsnjlkR9 +++BC1+0gXw3z/FU1lV2oxJln47Ao3yc/BCoNjFxjOYr+5P9wwwDAC7o1Aqveq/vgl/ia/XTGqrNu +OnXNtHb+d/yM/77oQbVuCpXi96CkZkqntwt+wf0UD8CSDVgv4/QwA8zttDZgGQwLUvVwZ/yjc1St +rLaLHAqW7X/SlwyHw2wgS0Ppauhwv6orrZzXmCfPwCi37mSzUoLWgUjpJ6Q9LLsbAm3XcjR15hKx +knJtQE6Gkj79mafuB6r0OIZUA15Q39nlDKfX0MV3l42ls6o7NAnNOTTavjImf4UrBOMUV6r2wIdJ +/ee/N81BGlWW8VPGWd1pQGqy+gttUn31j/mW9ZzJo3vSRD/boBnLIZBBoZe+JOB8V5VdPVnqGfOP +TLlR+4i1kuu4blR1//VP4QoAxlRfR+95PyJ8VYti4C+2LDi9H2h8a49rvMS0c4Wak2Vdi0Njb56m +1ws+jO41GVdz7BmO2DTwu04VIFyIZYQ3bDBlWyVDla7qzSSuZObHZS+NCXeCVTtHvZSHJ3LxNynS +85YDBBfmP4NdPegWg1ZKIYw3TISMOtLYsrRBYpqeKuCvbXdbZ4LA2CamEUqHmI3yLenCZEvi0NlV +TNfXqd/jUH84rb1ANtjM3scbTGFIQOcAcHv9X1QpvnBptcuOS1xrL0NrbgB3L1/nA2xSy/x3xN5C +QamS3T7HvHSjpTURiC3ezXRrAOlGJIMK4elbws3zcMqDhGPU9IDSWq+rDAjCt1SuQjBkcxxjzZCG +0FrN1RWPtdmm+bCzTZC8+BdUJSgQxDi2cCas0MIWuMhtFyqTyJwheUO7538KGvKPpPYqusu9/jX2 +Mb7YnuwoMYPak0FZA7ybAohz/0NfnVN2fQ69k7cavA+gRXjACzCViEazXPguSRus/ekXwdy6mJKA +GjHn3eIOALzVPSWuK8q5PxGUWFRsNru/7bcUi8IDAGdUKUUv2K69cy+Y47jQdeMmkoyRdob3DPM7 +3475DrM4oD0FrPdIKomEL4/TeS02nL3mUJA+qWL7z/3SuBPYI8sCVeM4fJFU/HnTfuiZIsFuhjPP +STGoifOs2dLBJSfxdbOrj2JDHSdTACV8SkPOLM4um9wgGx6VAvRmdi3tIA6K4Ev/sxHljFMq3WxV +MRwkToIKAzZ+gxpikKdVc+UvJVws1SfVFhvAzCU+SHjHnYY9Oeqxh/jXxtqLcta23ENMmdsGPLNh +nMJDE0RzrJr1EohkVm6mLfidsS/dPFZGfPFajsjJ44GVSJ634wYF+pW780eW500fMfA5t5GEc+5M +Pk8bXFidGaN5IMrj1fyKGYX96pP6KW8CZYxGEbQixcPT1p0nxMz8WdcX+vODWAjkLHKx/RGBJLh/ +MjaL0v2SovMTxcyKjfW0EmzvJmvk+sT0bx4v+r3U/fk3Re/KJJgtMTyEK6UaTxyO089kUA/VKqgp +c2jaQIYjjkbj87YNhBdNQMhTtKuonjWpLlvXOl3RcbDueep94moQNI41OBF+/9yVDocBHs9eXFtC +DtAPyvNz+gU7KyIfBwVKAACWnHQHIL4BGOhrL34CKhhgObQyjIY9ZZZPl/hknbF9rnzg3oH9K8Rg +6QWWRVAFnCfydZjd7W3UraiWhIwhVA0kMo3/Z8lYsEHS7TMK2GhieaeM7XW5qB0iEgEN/jYEmG1V +3PLWR1D+U0Vwzv82i0mXAyjMQsBT9ppYiJu9AGdOjhW/5jl3SrqwL9d2obF/jO+/FLqsJM1Tu9EE +/vZsFwascECOZhSjwcBRCAxUqYZyRXOR9PGXOLqVc0enhKqlNRmxV+xQIFNtGSgL7wUf6pQuFZz/ +ffR27JxBS2YPv37AMRHRrZbADNJCkFxvN2Hr7tfx7Ob9XZ7IVSlhvpNFfZQ8KDqL5E3k9QV181Nu +Xv4ULkpy+BiBmYPxuTSAT1q0KJNZIeOCRn0CkAe9EFAwNPcjWflsCS8VHIa8hNFtaB7JpQkt4itq +L6fZQYI0DS5Qs/DNDZNP8T8tyHFbpt5+NT776MKlO/tvvwhDn7Sbc0rJiopKQK/NiiULBTi7TGZz +tlbZadydp3pU7OSAI2co0mWbLHHEL8fhmy5qUJ0v8THK+U/oFxRgLbepCimR+Hc4M/MloDHV1569 +dBXZmaAGiVdQ+kdjNYU2gjjlqvrvIB8Ev8dW2pZ6SPZtYre1PyJ9BN2zAykBNhFbuhjHDLJe28zi +d/NGMtgHGCroYnqYm/jx3UsKSz+7wJOSc88bLsru1gkuMAWAtSg6fnud83np6zoHWo5PyYXNuXLF +WBaiFm8hapfp71329UWfUY8lpmILGUv8dFNCuvArNanRyNDSZ2ygbbNd+W33n+9ctYOiPgK0bk+p +eAsPI96RbhF6zAhbl5FhwrEZ0MHZb+MzFZ0pmoKeWNt/mMwGwLnYdLuyH6+DGpmkvTW0+rZfLTf2 +wN+K6aIY8uIXJK+58tdis2fvIx5KKRTNg1cows32jic/7W+Kq5M3jLwyYvgFIqDF23Bkv9gxF1rV +6ddA8xMLr+dtcjQEy3q2+QtMR/gurPQ+nhT0qsTk5DnOb58NZpq4SzIf0sc24xFengfWJnd/hPca +nCRDGmng7xh+W3XpGZJLVfNCtjhQtId0KWw+cRAeBWy3LV0+Eg1CihdyrCOV3QyTJd5qvQqbs6kz +9MvWO9yHGCARuR4HOZmPTCUrHCUi+blSfCZF86+2khCZ7kHHh+DqBoKG2cj8Gq1lePEXK26s/zFk +74eRNk7xcL1tA9/QhEQkesiMnA9XgqtTRxgGHW42p//YgNQJi5dkVZ4j8arlGVK/l9jGfmXZc1ua +YkCJcnrFFk+2jGRt/4lpns3Rg139e8Y5p+PHGRyOyNXmyTPICkpeyN0fNQ6+KF3hS7rMLJ1dJL+T +uAGDSi77+qcKMZCqewlBiuJHfOHknFYHjHh05UkDQrmw6pkguYoZLNNHEMPKNEZKrlwPF3/gRryO +93tEXFoDUX1DhRUyDgFiHNiCjS+s3febT2nD1AXnkU90n6d6FT+sOyH5788CTDJuCRlq9WZHh5hn +gNyZ90otmeAG5d8DzZ0sm9j7ISv+bIKOBJAO1AFzVtuFcFZwPU0w9uzJOlgeEqdukrVHX5Ba2b8j +TSb7CD2B8bwqMg26nAJdsds/HAq/w0VEWAcQjmvSlBimNPcB0+fpfS5lQIR9bBc3DD8Pnz4jV3Ro +DTHTgMbZUmgVaQtl/glzoOhS382lKHyTdqPfasAvy7cHLkD2sAagppzIDj1ZsxIMe8S/e/HlVlYT +T3RffDSNmMgn2iYOmnEHYveCWFqW8mYzb2NtzvQHojtuRQ+vJiKogAJNeHh0f21QLxZr2wsx8gu0 +bgmadZ+6rNsWHcygrDVX1s8w44e8aGovP0FGY1LPKgw/EpH0X0SyW3OBuHDDZhl1e8Kcw20kYzTk +ivecfHaMSwdemmOmVFunP+SPafERCWOrpjAl5pfHCwkMSMF4FcRpJdAkc+nQnmPILai5j1B1L/OI +gKn8oYdGyu/UGsZvlvbCwv2CQTVXGVhS2vRHD56Y1LuBDwlgcvDHxEt7iIUWeeYhGRTBoAw/Qbjd +zpCsFqJfOFPsLt039eUzHC//wWKFFqtPTbpHL4wFRPcjOmSEFUWLG7vch9INFm1onQmyJqqsUyup +TtR8GQh5Ay/FQ3PeLNJx9TdodTKl9qpRje4dBy8vAk5sv3yI4GUg9xKFGDHZiFygEkbbqav5rJgv +SIXwWd0AyWf+pW4zD6b9HLQ0KBJFi+aKwF6yma7yuutEF238GcmcDkoq6ZmitP5/8P6t53kQX75m +7ijckyBq8iH0ZWR5n9keT/L/gOQKSo0V5w22m00FWXQSIJKNaQ44U3ds18oCLzVvfMUMDbzu5zl8 +XovO+YzA0Ia+sHeHA5HSlExvMMmVKhUoV3IgEIunaa61+rlTNza+4IgUonzkMjNUm0DRkdA6YyXz +0Rym3GlJY2wUGGG5vCo6pZKKcEZgmhdTsb3MukamAhf8rdSYqm7V6Hzf5okTucRTBLYZaQmWpx9b +R0jF7L7hpcqEvE+C7UjXRQ+pfwUSiHEa23C7S3f5C1ZTN7LPmluCoYP9QJRzpFg/M5vgXk1XCp8v +hXv0d4UcV+P1QObpJQ5ot3qk7h1Zh7pQzWlxWnoElcoqEALWXNoM3xADZcESX2yvaTg8y6dEpikK +YLXytp5yetCggBbmtoKtryVGyDTns2HBrUfxi9B9ty14TXfJ9+GFAGJLdTWmf4Zmrz/nIFKptAtu +kLT5dbjm1fuwfBfMBiJ9gHc/IhVc5oQyumMo8awoPZ7W4HjhACrQaa1Xj0L5hWJE59FVBW/m2i2F +wYeG6dm5WpyC0A71xzw4mrn0RFMZB8QfzefgcJqd1ARbfPZSc7Y6Ew32CyQ1EKwC+Y8DByWdIa7j +wLiIjGMnuyspddiWoAh9hGjeIT37dlWe5hTxyEa9P8bq+mxVAtmLw+pZckhDT68Kyf37NH7l7/KW +LGCwY6TyvrNyYtEfMjtA9UTd1ef9cZ7FY113q7G+WMd1LyDq1T5sbwqS7JNvY9Pl1gD6PpH+T7dq ++NKNa2daMZ24K0n4t2wQZlYglSv186KBwiFueE0/TrDKVJ0bSEJVQWZlXwNZ52apgZh/+KUpDcQ3 +JDM5XEIw2u+iM66Xyb8+bYAqsKt2Id4zG+GB7u78TEscqGqqC0eB/zcCjCSepfJs54uyIKWWlmU3 +18IvcGJTf1mtOiWzuWpImJIQDMEYi5s3+aySIk7ozPVV1NQGJ2zaarP87xEpCVHczrVe4YNovNu6 +fOrZYuR1ekHGulNcobXUMZ4Y09eY8bC3Na9JRjdF2uXbKJTUbJD7ns6K9eHUkh3grq+dpL7LUM7U +4dEZIxtCZq+1OBOwKhyMtRG+Q2Y5m+B5e1DOMg6is+2mmBb3z/KOquxIHD5UaUxRqYSQMlN/FGzv +wXNWp8WFNUdSL+Zxo6SC6JRj5IoXrB1I/9IkBqknGgLTmcVis1qfha11Ik5ovv+JkX9qrVdMV14E +z7/PaWJnKejmwntsoT/pupg3a/NtNyY6eka50Imhet0r8Xq4W5oDasF++WhS+wDFUoIawlsGPqfc +mPzlfQKQZSlob4iGNwvSEC4AAqyk+YJwK0gDlQw7qXCNNYSaS4PAc61Ope7akTPihxLCXVx5dvAP +xMsPNH8LVRhoiJNUdFijut1bPBF8IYJIIbftMqNTx2bEjmAqCHJjxpOe72QVcXbPAwfofQCpZO4m +JYaI7mn4okVNnCfCUDiCaKge8htCZObLI2JaE2sOwCN0Ygd5AmKsZGe3R2kZ8TiZCYVW3/S2Sbyk +KrRgVB6kAuQHXkVCvWtMz+YywkBubMgdf6I1RnfUIgSLAyB+QvqHszOlA+J+QxO78sVlUR0dmw/w +ocVlLU2UrXIM2QW0y3RE9UnqxdRqSUdkglfug3j95pBEbZR4mY/oe8WWnI5AoEIJvBi43nBTUKH3 +BbHmo3b55IzkPJRDgCtAYdvD7PMZXvES/ECEB9vRYeL0gv7tG70T+TN8JPNNdAQ/Z7wgqp1iMix7 +XA+zbkKTHcE09Z69CdDkhIvCJAsInaAMa+s+Wm+HFKs6PloxiVdfBdXwjJGkZiKbNUo/skg07QSy +BYc1obG7JcedeuCZQxmwRLpmit0JfrcxGXC8MeXL+Sy3NNjw2JC7vD6vT45UrRJQC6GyEe0nehev +NaC2ZEfBg75w0to99DF6ZZQbuKfYJj+aOOPHHn212XL19wo6ljTy6E9zIHgLGlKElKeeq/zfc6NG +VY18pbIwn1+VU2DK8TG5qwcj7GXHTDMN6ZoJ9kBQSS5agsGSyyTZV82otqNaWRU8pfIdlFZhUmGx +T89kXB8Drpa/CfF1WzHP7l6VU+9tA0pZJB+7Dc7pJZSms+Dd1TEfJ4ojhIxMzGC0Eav5544L03v9 +De7FhXuAm+ZCWZCEmD48NbrT7QFhYtvtpCAQ8e83rIgsEBQzAybnHhHKrfEgfskvv7/3OkJg16LZ +4gktoRc8dZUA3vRNBsHR3sLBOfsxs9xRKaiSpforj6tpV+Pz85hY+J96ownSLkgb0q0kd1WD/VtY +LanbU48u7hwjusmZQVOApYVQT8qxT9e+l+IoChGNu1ku8HQP9BFXSuAxhl00iZpB21OdKcTM0J3J +qNj4BngIoxkW6GyHUVuRrxpBZ5kvAtTLVCZwrk2VLJ5YO2eZK65PMIortqkIFSSpzk4XFIArZ2Bd +WOE07CSrOL8/89Ek2ZgvzrWHa/ouPLN85Iq9WwCSI/wF4iecWa6StKRZy6kKFcu7WIU30pGsR1Zn +Sfw8trXKsemOD37DUEc9qngccQL+uEaRd3LR9owV3u+TVswGFWvzya9GrtE7M3nEnpqpRv0NcnkK +ouPX9ZmSwyMuSlFNLba6/u30+RNIpkqNDY47fesDT9jalO9cjAe4+22ct4/rTelwY9bb6JFFOogU +6smRMhCEP/2VuIom0lXjxuqQGXB8kYFBttI0fzbK22j8huN5qzJ7RjIu5jYX+nrtncEPRnXu9N6q +x1XCFmpnTLnlDyrTIfgIyW9wdnU2E9EEgLiWDS5Yi3PUFKijbPX/xGJRfRHsjhjooqh1HvCZ0rdW +cU58UT9bdyIbhdF6uig64RUvhDRs1AYyaSrrLlsObohGpcHM9HRYbqKp7eG7pn0sFYE25d/vcfah +teckLMq6XUVkNsDlKyYvrMGzirYwKaTl774dNAS2u+kgXzuG+szoggPXQRbjDytj1wUp+I2c7qUO +7HarDSl7Js6UQ1cmuPB0m0Rtokj5zEzlNy1f2B/rHuoY/cpn5TRqLQ+77xIMcDh6Dy1BTkV9PkR4 +OyzJ8B2C2lKvcVdyP61g3uc+mE3yWaqw5FBXmcQwwO6w+0tFngoke1Wd07f9L52qha5vYHQbqY2z +rG9pwrewpF/+VK/oHFFtMpQP9nWWpr7WrthW9GD7H1NEkVpTodsFTyfpSM28Z1DjyPweb4PoZHrH +eH1/ghYKHSo6WETr4KcjyIy+8ozUdvRKXiVo6CaNh8t2rGKiTOrH5qg0ddf5B2QQ3Q7SMq5imv/d +uLw1Y82dKOwHjreJRIjRuztA/bxJcFYnjJYy42K3fCaSKfQHXh1gBAEQsfQ2/wOWZODpnbRxa2E1 +DnSKqT0rfVhv9khQ9WFZmQ4LRZHGluiSRlKpgAAS+fobhM/zHia6rfYG2ow9H9UELW8fC8/tVEPX +Xob150ci1E0ypiy3u5uk90sicosPHaMWfhO/+g69YgBqDLz6PhCpXNNKDldhZw4EJ4mLJ7o0sFz6 +5a+ph3Wpj4Tx8z1gOIu2MPzchIabVVqpdjYaM1ZwWQb1e31w2UTFEiufTk5u1adTkONATQ6L+46T +TEoA1zAWlllh+XxIEl/gpcaSfID+mXvndQ9pv3392PEjwXrKvjGMb3TRbxacHma3BFZRF3lfbVyM +fviMxZWX+hu6UrKa6YUGqbs/tYJTyoPB79yau1c5Pr4kR8q5W4Xicq58zA1JYiaDvVhp8IMcBUor +sst1ltKUOkVBFmtSrDYLwLV206vEj+/25efBpo1Bl5Mi77mUtUNNQbxNl1x71Y3Y9VgRcOmomrUJ +3vkaa7ScWiMmPBeeV8VIZGcbl85rGXb5XrSHCZbxN6IbrwsVrE7M1iPOys0ITWG3d1bbZ3k/uYof +BZilRcrOdPyUEmIPglVK7AuRAbeTq6w9WO/CSxoBgc+o9l1pSIZX/CZeZFfz8nqt+e72/1Da95t4 +HUEDsc45Ap4+3kcSSTfYDpAsY9cn31jNc5qAR15jBpvh8WGuDeAQJKb/CGIcrtZtEkNuiHXw/k7q +gzOQ4nrQ2FyTHbfXI06kToawqRIPr88gsEuy8VHsbTLQQk5/M/Hctg/9LW8Lx5Oh5RTqfYIKc9p7 +k5dYhhCkwBrIkFaWZMnou6ZLzf3DnXXFttTIcqzhsG6Gw3wbVJZmSyQG+KQtEl4mgWD8upz0cm/0 +wFNPyTwdJuEfeyy+U++KvL8V67DNSwRgOzCw9/hggJUQhS/8cG6mtwZPuTYFzSeNaY9z7N68aOKL +TCe69XMtbSo7CnHV2XqeqD6eZ+WmHKUTp/S1QD3a8IEFs7UO54DGxz7WHxtaEv3iUndbRupHU7pZ +NFy9hG8cGs896SNzrf55yWmFt9lK8NsvTR85vf0F6h9dIR0LWZHpsb2QoeKBsvjh8tcampqR2qnn +209KHabmhEqiIVkFxQPI5A+vwk+U2pqLmSZwxk4jH62FJjuz6grnI0G1eAhqd0XqNSFnUepNomwx +EqPeOG5rxq51BMuyX/P3afQobHGf0W6syxQIeuHjMBJ/GN7FEBrmzPm+d7PW+DsH0r4JAvxuHRtE +nplhR6boMoAoTRUvEPeUFXI95CbRoIcrFjPPUmCypb7NcIYJRP0fFVQtftQeaFjD2hqME+upqOCH ++884XImaz7UYRjUZLrXLl6+iY92U3JGBAgDAnYXz5enjcs/+Lp8K5updidTZ3FLsaZy54oxuyB5S ++zkaFiO7W6f/jIocYmxVWETqjyYGnSs8odMnfSCHeVfmsTX5TnnO34bJqcZfYIdrzSVzNeYxqBzJ +0ZVh6SRgveDG+xNjgV1nvNc18xxeykz06SqrVe5OoeKT9ur/2ph8cimXnxbYWkZWOHvxjTjIn8HK +p5ATVEM29YhMlWfat/hTVdVsZigJvYR4bbajmcdElEoCsBQwNdo1iZgFPNITD7iTeoBlcJd5H7Nf +Pi1jKWeMfDNd4/QHj8XkTIjdnih66FL0VcynkgNBFs1C2qEUMJh00tpmDplGRYmJxWx150PqZ/Q7 +rgk+N4M0wscKJ+x9nMQnvuehjeGU2NhWC23+Vm5GtLoo3zu7/+hpzyp1uI6jfpbaXjmD1Tt/X8qc +PszvSwGh9HS/GCD3yYSjefC1+kRSssv86fjI1str0KUiIIMT8w1su/v8I1oBITqwXuGb6yXsuSHm +wCGgyD74dDFWoZTkb7PI1VKPVu5uK61Bgh8wS35vsadoMjJnCaR3HDe6bLTyPbxXJyi13pggXYbP +WJ+6KdYw1pEibdMHrTDCTlC9ZEhHO8OqFFgQ60C0dMm6r71iM4Po5CHHB5P8MHe7p+7A4DG6OvWD +XX80efc1oWUn+SMI+5ZCU8oMUOfWR0+zg0a0x03xEWUiLjvo9qLVfujAsuYJSgCNqbhaT3+G47lo +S/8Y1U1BfrfC5dB5hmLpPbKhp+gmae9iWnlPkRKL4Q/TdOzRUZCAbwrLHt/hs9VkQsAkdcT3UgYW +ApR2yU6jYM5NG1iVfnPdb2D9KrjaQpW65VfLYgMlBNv2hYVfD5jPa3MLpQgtOE2dxkxzNQDo5UC2 ++Nvb5uWATBce4u+5wCMlkb1s1fbQ2yJfgE0cx91sPSMjGrL6kHbabGnPWa5oleXeZcf4EQc1ZRiY +FCv0O7o63PBvFUD50Br7RgGPRosDtbEtOqDj/4T5h7TjWb3yh+EC9R2alcrzNcmGbhCaYu/QlPUA +oiRTxgfj1Fl7kkU/XMMwutLtmmjuYEAQP7TaiX7lmzv+KqQ4Qtvsu6aLZmxfzGdBon8T091G0sqO +yaBgE59v9ACa0G1q+NkXZj7UPj6iZ1NCgGhgvs9QD8Civd7gIv5DZ9xhmsIWKrv0+2+rPZOrBltw +wNonLyF1OZNWU1D0fYQaF8Q66f0ZddhZczg3gf7yXxsTagIfibXJm9j4c24gAGN3oKNXXX+J+K4n +NwM1sHbL7cUrRgo+0iSXX6QlvNG8WLiJr0Tt6g3e4X42ei4qQcX/MM5uzfw94SgH3nVNkYu95dht +mZnepp2XBcXUCfZox+Er5ggmA2nMPJ4KbtxuE18GfoUeyXjSYPrbtGOVSWVRpiKNJeenen3bqYQu +jxiqhUjTeJYbsYRZmTRnTjxd63hLoksVKbo134oOeYqHaB20R2HZzKIJsYS+he5hH5U/RYTlS0kK +o2eTarxa8+ecUfopweSkdq5R4jNk/h5wTsFe2L/A+xQzCqefZqEV8ediRUvG920AibgSASmcohf1 +8GSzZPN5MdlGHxxzTr+F6S4HgR0GvU3rqChwaihEIfgVil8a6wQ5ZcOTHpst8E3kdF76KogGft2X +1GZeFiPi4G4eHVbsoSWi+qDciqTjlSjyUBacQHi2Bzg1sAViICS+Kf9/BdHI05DTFWLy116lWWRW +J3GPU47sbR2KwJ9sMSOO7NkluX+ASPO9MtMZBqVptHYoDl1emTzESO1wRh+BXq6Jc06Z+NTf8mV3 +QG7UBCESshQL3NjQmUo2LQ5MiCUuWUMDvcUjpM154BvR3gkx8+OhcBQ/twBgFa00zFngQhE3t11K +xUk5WovHc2FxFfB0rPEUsAY4pjXyGyDV/Lq1IEAINiCNu1JP72xbfe+6mSrddmabKUD/vjRf3Rxj +GvYwlwB01r/+jl7QOOQNuaTJYpFPxBzCxohRUnCG+bO9xGUFbyNYtil04brv+wVSVmfOaknhug5f +4J9/V+0wkodgrQVt2mfWkpHqR/FzpX04Ay1LGVLsqjfqYuoh0IQ3zsB4ZIy1eDElsOs5hqeMGJKS +k2bOuG21JcNb+ZhlgLKfXi7bSHgHvBDIeGyEIQtou7qE1KwYvTQGV4vjVbI4c3KtlCbUZCwEKYgs +ZTbkFRpJt/4LVwKADVMg4fPAwvd+r1Zqy9jXNPwuvBufyvrlXUK+LIIZuSnskMOC5L+TMJWfSGEt +1p7xVSx5RpCk6bqWG4M82tsV2YynWMoFx0Vu959W93OtMNhQ+XzRKJkDVBtFJaV7tsGHUSl7qo3f +J8h6YTobjhpBg+uJMzzecAaeP+feecQtjYsMJN0azb53YC2AfCXtYXSKLsV02qq5DFl8esE8DGh8 +lOSZBdvSAYfP2qhtvNSRfl7gTxI+c+CgTC2jLqaL1XxtlrbYwvUMFa7GrLMkQThIKvzohmKAfp+C +xj6zEM3/+QHWj7DtTBfOR0Oz75/YiWjZtGK8ZdraDJtEmkZ8ZkyIzSw+00PjZr44jJ0DbAFh9Iwo +rcx53Xi0lllHCBKaS/l95QeLG/lXJ2L5qSptbd/0zy7r+aA42LnBWhoICrZ+f2PxtChCC478zwRU +CQDOKtgO2FqeoTeNIi+6EeVndBvAGgvA7UG7T9ea+2FlUdaSGeU1bDaVEHC/hRP7QIdTt4GJKDqL +vf1f4rkBsSw2BsuwYjWutBPzPtT7YOyEIPV7ZJ2MJXi2ICiVEI2Op79UbeQSoyd76TYwfeRtDSzy +87+gUHOrpA8bZJLcxcvFwqwbkubjp8kw9jtkgSKgdzYQsmFSxIVLR2cX2Pw5UDZ9yF+TPUV1a3UA +ovrKIP9oX97gGRh3yGf0O9eOgH87kW77usrQoL64Uagx9fAgXx9AkN8Fr4cn/b+aC7Rc6Be6Vek4 +PVdqBevGTo3ttfWbZK5IJr1uPxqCn94AyC+jxJDyPIQwoyzLj5WU8a1jCOy+8vt0egPZ+P00Hw0e +Xe0yTPnOANxzFKuKdJ6aZbukCuGUz4LZ/UpSP/jy9oWy+mSZ2yIzo1hRRPWS9kH6+jyd3vSakgxX +aS9XjsB9zkKOs1fVxRSssc5a6gB9qJWI2dO5YcP6qrdgXtNy1zC4D13JTTEfTqYuYYmwKSIr8sKK +AFmBFzripzEVdwKKMH5sythLirk4zx69iQTEIFyt1/+ZiljzWXTyt3dEkYoj8NVFlZa8E1aTGjzS +RL3E+XcdCjBmnSnmGXEp9qZPKIZ7cANi8+F+zU0r7+H49ErPoAVWh2P5WpfSezGvO0NwUOevBRJb +/JrWRxecyU4LcGNqMPXxN0tm4HGVcc95yFBukjzGQt8h3wTRjj7NNvCL0MWcycOSjryPUZI7JT3N +XiTSSAoysr2dSkeV0VnM4gT+E/7XT3/LgFKKZxlWaBCG21optbl7z7bmrlmaw0v5t8ob32qFOssz +bZmPDpOPSeZF+c6v6rFDLonMLuO192YQz2XJnxfnMaFpPYGEyfdHVthWbAnhmIRbw7YQcJZUPGiq +PawXaICEUgPey+H6FwJpXmrNSllbFhjfRGtH3AUheUYIyjWEYbLScaJV7cONFdSZ3VuhWDmG02pQ +akgq0+uJZ5BHlxzct93kkL1ox9kHeVnQB6/msxcbbe1A/EHRr8UoeQLsbe0KCrQ9gHACp4Rcz5ys +6kbxERxl3DiKSYWxs/bhy/w57Jpx79KM8fRO9YOVd5Bb/OMlrdSP4NGjY61xzGu67awRKPn3L/td +39cLoRTHu96yqP9NHxNEvFOUdb+dA1nHUe5LEpsvZGaRPK0hmRjbF6aj2Th0yNOEwCbNCjCCvlMi +1bPk18eXt416kp8v6V3YPLqrgCv8YrP4aNcCrtp3n823APGDESReh/bTigNIbR8nBcKLptZU1ArE +IOeB/85ufe0TKyLdamle/2T2zd7PMM77fRqLH2Pzxr84+lzpEXWvHmSDxUMBEofy7I/Cs9wg65NQ +1a5XFwH33Je6gfFFCWVrEOhdVzJ/6F/sc4evAvmSAImdZs+oMbEOrVVvYQ7sEbQTInSlPXDQV8sq +0GZQD2+nLycbwq/T6oDBp/qXcO3EElnhDLSAS5cuX+E5dnb+x4sI2J48/ZMrcboqnI9hPer0JdyF +a7Fp70j+pyUAUgazFMNULrzzJXurVozVqV6Pi84E7lK9bYiEPrMDe87ssPBG1OpGGMo2rZXT5SfZ +nbDsJVDVmxlSRabTifM143a2ePlhQz/DYEhvzLJ9lzUsOYgB44UD93ijtPx7IaLUy5FaYHMyy6FB +qc0XMMBQ5qp+GUS3HDUBv571loS56fySrJEUeKtvn4CIqvy4CWgFtT9gB29qmv5GO4t2xzalHuIR +SBvII9aK/v5JsbgMOnFdeA3YfB1FQnfaZaRgFZL2ZSxsg2cFAinAZDEIAlwTjNXVw4jTdjcy/Wjh ++jEXV8uNJDIQoErCfwEptKd8y8ZjEFf5RcAInSHKlTjLVuIa+kpMm90Ug4iT6EcTQ7jog+5TyFH5 +ujpZwj8cRZc7FgpQ127GfCG2PgQ9lA/P/GiQ77yVS0z6fg5ICOvkKf8PeiXJZDS8BXoXi4nUX/2m +DxVBMzbcEffmcf5+48q7biWzvV2/pPK6JpqYVO5zKN+RbQnye6Tr8OAfR9+0Tiuk+ZQJYMGodkav +P0KW97hkxsk2na4YaUxfnj+BU2ln7shKVv59YDwrB/8fTuJ5+zc/ua8PhdPYpZ9QvcrUXCArda3c +hGjTGqS0CTdlHSgJ1NS4od2/qeriLTzJaSgMJ+FmKUJBOg+siW2sx67B27SpVxMUfYKCLK50EbKu +7vm0j69vtP+doyXlSCJ/lkcCqfWmnV12lnSRMSREgdxGZH3FrBaPc+UzcBCBinnHRJxXbB9Ds66T +/7P2qRWvK/xXYYy1w/BrvbMjE1gv7PULIxHniwicTJVxzkTxb67l5Vw7idQo4u94HVyxegI5/VZT +8C74YG4Zvi4YJ0ddjH29Ny0XGUg/JzacVHtK1m7eZEOtAFMPue7Gzwx8sbnZKKveu0U+LPp3wr7N +2uWmwvZT++eQs63c7CYGOfztvQXj7yrboH1sMG0brLRP+6684Parxe0lRvEOzVHN2uEL4+W9WgXB +VcJ2x1aBwYKgFc6JV244UGnXYCkOYsZTfwc2q6D61Ma19v35rpJgSy1gpYkSsu/YFz+34V/MnGPw +ia3kqzFLNzGqz9t1cts1q1YF09hTcK7xvfI2GcnuA8jgAH/+lzS6857aomxEC8qNPIl0yp6k6wE0 +qatZwZ1aibnGGllOPJyGCyCcNZ7ZdT2QKpyMEtezMM0yF+3X5e9UlW6uopLs2Tm0fzZfUWku0ubo +SWk5Slx4+Wu8wyQs0Y3PXXVv9Xfl8gUzJisv0XXCS95/QjOMT4WTpdnr+Bu9Jt1q5rIB5Fb0/iAF +CgoCG+yUIjCqkpcWtn7P16mPjO0ZmwOnmn7rNuq1h8CCjiMqYaUqRUwVmk3BSyiZzcdRElm8He1U +srtmTDqGjOIhijubSRWW389coqYRpyoo487nSQWu73szNXQx1D/2Bx7XNA1njsH9E/aG9YsC8p0Z +Mo9enRJFh0i1mqFGYJjozs6efTqqruq+Il+v+pY6f8sXc7V2IuuPX7uJsfkdby/jSVhYbb1QgfFc +3RmyeO+4LtIhn07BlZP21+GNYQx3+BmGF2kDlb4+T5Vdr+TTuKfuJfz+WrgFQ3HTqIqdW24fewQX +TAlEaOqRjU6lXPKijGdXfqvkIjbS1whWrKqOPl7QzHQYjpZ9tXPztihiG+i0H2307n55L7Q8yrh4 +JveYqy/pqcVFfUkqsYrfWhkdiS4a+uUSE9TzDyCVJuGetZNUmyPEMSJMzQd4DBBVjLQePK5mtuTv +bUvzeoi0VbMKAHeZCJjn6oAkQ+Xw9WVtgEoJRjEe0680c50utUG6VphxyIU3zkfcwtvoaps7VO2I +6moPMyoImBpx30j+cIiPRL38eBz7HdoNMZuT3yxKCbl1pEex6921ByKwPI4pWZ4JrarUpmY1neE2 +SOCdQUyMiBtwpCs890Ug40AAIlZajNdoXEYtV6AusIpYjOdXOP0PwLWYc4BlS5TPQ5wcxBiPpMxU +7PmZa9EXGr7OtW8aaU0N4J5y/Xz2XntZrTnYDrZNW48EG4F2Gfm71k8OtR0HiUcSkx+A+iIlvgUe +bPNY2BqDwBtfEp4imkvpBmDfDOmTBu/GZIt51SgKjA2VZS9e9gU96iydw4s0IGmX2uHSlkZJrEVf +XZBkcfDgnHKlAge+rF3bcxdGrOLKTpX7PibtDiYLGNrEALj3hjAv14qf369tlEBCznbwptWBJ1ts +eCtPQlqF1JVbLQkddEs/KT2cPrgkM+vj+7Vdhlssy3/JUZ+17ewmaRze1TR18LOwk6GJNeWO2jnW +wLIyHy/0D4O6QlBh66DwhbN5dP5BU1zR4Ox128Vsm+MAcxI3oynDVvWCY94lkAj3bgHug1CHmOHl +j8HDth66S1Aj2bpRzQbUSnyCkZJLEFFPmo7mTaQUKI1LPsqBGX1BWK5JecGhHWny3k45GhA0Iwa7 +t8GWwDHtL804lA/B8ozOTrt3glDyYLj0SR5yduOg8TMLDHIGnTbFPM5Kw90kYVGZJT/j6HqHQj56 +yLEXXRB0byT2/Dh6BYzqvzg5SNbFrzRJ1SRYBHIEUaUFFxq5d5NTBgdZCeJ2onbQKu+mGtQHd6hr +O4bAVvgGs8Wi58nQ8ebvdfUcPIfrESkKd+FBm/NMdp5MVXP8U5cP2+OXlFGnc1OiWlzzqtT3s1CX +z63+IwtbJHgw6tfHaa7K3VuXfVM7zX/6cbhKR+w9RWRA+SAqscW/RmTq6Z4cFosdR7H7/UepBRX1 +gB26rhWWTzrffPjCy1ez/m92mIJVSqhGfYTno5R4T7DMMR8EPikCtzl+xdpAq9eojszGKsQmEeXw +fr+7X6VMGwbUUyF2mMdz1u+pFBJQnBJpFpEA08PoAfMdyyaZe0OwAoeK///EnPyyRgyF3GLxo87n +WU2q60YOyQxspAoHqC3i6YjvGK76q5QrPgFK8vXeNEO71Q7oqOUNZFgqMN7yMvgWr4PzJNfAzUDC +bkNTf2UDvwMw0+pPX1GnqtvVIRvMXPZE2elyjqmYRJwkV7qGuyvURhmSKpCkLs7HmUeyLgQ8KXnM +syoj3oySAUH0P/a79n5yVlsR4OUGWKc/u6+IAy8JLpcXymgezqD7kAa3xvTnflHk1iPWE5xyJ4qx +FQ3UKplyLqSrSwijzpq0arD8O7rZJdrgkgpp3E9mx1ivKzzJBwlmTXzkzh5LcJl1Rcqq84kHKfiq +cHgOk1b01oB1RujP7kQKSZw5bESfrUGOQwwqmwiSyqahaTnDukaV1uhbBLNoeNSFVbuPEs9tL7YN +XGJ8Pk3u+bC/f4VYumKxXHE8NWtJb2vJwFCxYw8eEm0X+Oq/wzlGlxnwlFw/23dBoq9Hbn7XRYOq +XQw7qggiydjikD2twxNJYUjyMdP2yhXaG33EXCD1bEXVdkyzlrnZKG0wnbcXqU43Mhet07hjZ0GP +RVWiqRMdEzpe0NJqqrhEPeIqSUV0mFW//idZBeZBaaC0izt9TJNr7j+3jB7QRo5thOxg1jKcuP42 +xOFZcL5cGeRSc31I6wCxzVe8aCNyIhp8TaXUuhYb+sJnZ3CLxUvfRHromaXWwDAR2C/E/C/giJs5 +nBcZo+3QL1yBkFFfz8Mcd0Ab2BonbDmaEF/9ULKpDBZO8EAhJuN6qluAkghe+UEw0z/S9JQCOqb7 +vrKSCE2mqu23h11qCBamcR00ze72TT6aCO0Uxvunv036vP6GGXFz8alwokamppohke+ggG9uWlDq +xu/qQCm/Fudtax0zCwGuFHLtetv1Y025rNTOdYd5tjZJAypKU3hrvcseCXtV0lzWd+fLWNeQP4Kd +bGavk+7VScyXB9Z+ZaJb2tGsOGZXOYFE4s/AAZO1DIdMe9K8sWazE6sXNsWymfmf7o91rA+zRI67 +O9KM5rwTM1aP8Uy+6nHr9Xn72s2NDZK+D1pwbkXJx1sX9zVu2y9OP9g5XKHInGNgAM7kkCpTVBhc +Um8MmpLwv3JMG4fEdeuycLtO30EuIGCrWX2aqQE+wc9wRG8N+KuRMFfNpS3Qp5Vn/n60uR7d3pPo +QXBJ/kyyMPy7QijutX7hjOo/9Xlp5CkD6WQw7ugRyzxniXaLUV5GqUsW+YhTIn6T5LeLe52nEioy +frdBzd2HC7PBHVbOjCetee6swTVwC68knZGkXdVAxY52o1ymVv+zb6WI6Ek+1yvBcytPlb3I0A/u +yOhK0lqvHKXnavN+PjO4l1Drd86mavEfOsbLSMGYRHClc5LE9CBUgztHmGYAMSxBalR7Dw9frG7m +7pRpp8Q9r84BYD3JNhXEev1L17SludD35pEiWNgXfPCeEiw2Gy+mn170+qBHWkHF4WOuyrK506f/ +p3Ws90XPJmNjCWva9+Yqxqt8H6IS74NEPZSMf8TIi8IvjHxB8WjoTdv+5Ov2H53fRttxaZKneTU+ +GBdTKeithF1CbB9CHgNhAVfb3pmb1fB/WAOoaLJAfHXzdv9PFMNhwryfWUqlZ1dZOzQL1LxKirXm +xyON2gk91NPhV5fHAaR4Yy80zIiz3fncIRO1kDgzqvbYpkB7st5SlovBnN/yrg43nTmcn8wWwu/3 +tZIFP7aDr5A/CRVCp8j3m6Obxjc9jvncAM3dqfLazk1wC1xCWGkTZT8ni7p7YuJ2ml9W12/aFygS +C1TdveX14sIJeUcGbQmME6D0ohcyG6U44/H1c64jRMTl526E+G4tk7Cxegl4EZfHgxIAzM8ALbkI +P6lx6vLo+xirKmtiGb1irdgLqxchMmQjaYnokgK3mk41/jZkXMk+554rghUEx8+zTtcSaY4f3fVD +Wu4WobtyaU1+VFYeYfRUj+EwPnpSFhshS9l24SWd+KQKLlOBfEzIfeDWZXu+9lFpqlGX4Z6Y6Irl +3WJVslGTXytKx9tWr+RYiP8+hq8blePCAm+yZlUBUZ5drRLGkiHF8vkD1VNEAwbfcE7tXA3V/hO2 +BUH5VRXwRe6GzHQO4Ijysfzd6XpwJKOI2P1BaIZTfnJo7FKbDQaCNfAQPqVTalN99J1iY/KDmlZf +B+szdJ03u3gluOvJQi4tz32sWnfVTQeNLy2oF5SjesCLfKIFjWDj6QSEKsOmAubHGe3N6rleZYEB +5y/ttahc2eW1xECmKxIRb77bo9atre/vzkQC7HumhwHUSHEsd0cLA6pYrNwV600AuaxTOyeuvwnQ +w53a9WkGpumd/P4JADtZrYYYVvTUx+QD9CqGO8LNxyY34c15j+/OQrse+IECWJCzYD+J5O1gTJab +9WpMZzRFXKQo5VqZ/mZUfYXqLhAxxmm4mw1nzW7RzNYPDtg/jPyaaGQIVKKsaHQH+lAXlu+W7wVW +0cFx1RmIyflZD28W0KQXGP1Vr+FIrV13O+xAMNkWCq3D994BQX3Bwe1KR17p+1KMIud+uvUb6yhL +gxQG36Zizc3swPZpbn4Xrzb9YGLLQ1w1dsO/x5e0m8LzcwdNKVqAEaMnFmSMW4RoNq6CFdqZrAUM +nyqYzVvj2HgMtxQhaROr8Ro8LrW6hrulVzO6X6Cx3fwJSLQRjHltfsrK/joXvc2uA6oMnVHEIVlC +VPhWcUYWYIRfmx/CaAgY6sjHu04gjd9o180/bT+DQyZtVfeZ39JXHHPkGMzXTSkg0mhRoGvRQ81i +9DF50zX98nX5V1Jzi0HRsJHRW8TFLrGSrFo14qvaIBo0FAkvhF7IC9R+uOvSqmM/r1RRgBXZf5nj +3YgE6h5xm4MQ4CXSNYzYR3OC72E2S5YYuyiPyNTPZUKAH+DzFbZ4YpeF1jEPvbE4BuDMnZFRvy9e +Z7KRz44b7fl1AuBNh0iRPPJaak/+/BDqiQ05dwVRCoCk0isQ+9H0F0nPOlZqQ8drdj/al61urU3V +JQ3vP/rfDGgI7sEUVVOZBYvU/ygbXXfHNQ2+OZYCVBhoMhj8+QHzsKppIl34eJ4uE9R227H5nkC5 +sSEIPCIOdveZeYrkUzD/taV0u7iLVf7Uk9+L00ZH2UioPnaMVHtWVqPoEDVKvP/XbZUfvD1x3QSO +0rBwxifV6FvykUFcUPPpW5HMk51rHVfkOnjPVWrr11zInSaZA1uCbuSWBwHRXQ80D53L81Gw7V0V +MVzubiVBieNiiFFVXS+gNEztmkprqwCSB8EIPBm9oVoncNJFw5Jqr408NJ6nw+f8yXRVIzD3ky1k +lyINkW+iPuCe/jBM22NOUHXADXBu1fHrYAWDI2Q8EsfZCgeEcgeB4E7E0kSmqsb4X7gzwCbevrTb +KTU4Kzzh2x0lgVFsy4j26IXpNKxq1KCc2GWwkVkGT/qkmW63++XiXdoaLA3344RgNGbLZMW2e9Mf +4HlKHL0Sytu/TENPoYcMKpFnD4E+0EdeI/I5ZULtCjZ34S53RY0PeLg1dVlqEByHuMWAF3SX9yQe +uBA+nK4SJVoCR5veuyMs+U/tvvEOBENvM4+KH7SgNbw6oyOoUURltF3v7N6YD3CGfWq+QBs0dR5B +6o5X1ISMkgx2/Cx8DMcWsEy36rjQtLb7zafJCUM1n22DJos+7dklm5549vGWlcBF74IYIUr3LKHS +hBol5TjqeDiQT2yxXeF/HNI8QULktf1lYo4KXllZRfp/s245G2/Yy5QJ7NF4m+d7PY0Hd8QgqPcL +R6F4aERGnijQzSubfAqvqwpodWRzKt8L6KwjmqtMbsuRRk0IRndct87Gc18CyTAkKkYJPLgli4ri +ng6ZAxbSXxUq7oxMtWZ5CuEn2LUTvj/OsYefegeO2GHlyjBNsUI7Pn9VtNuHMwSQo2S/yy7iY95n +BNsJ1Q5PiVVzm19bd+U46NFm1QeSy2Nqdty4N+YEvgLTToq3bh0hX62AxCqrrOnsF+qHt3i2H7Tt +M+0FMf4zoZepvXk/52BG4pj9ZxlGREIYcnJSFzyZOYQt12HkTpGVHd/zSWwVk4uKPbALtDmHjTtt +dS1z7Gcsc061y5eUeyH5veZh4YFtUt83ki9ICnNKmbV+CQ0fEuBlMPQPjRORuQi0cCebSvtHUtax +UMtA7CXnu7qm1QmUd9ikaVVx1SILEiMdjlPgp/7XmwyOsLhDUZpEvto0RJvtS199sKSGsLHFc1km +HlrKqv2C73jv0dY0k4mrBMnOHyjGIq9Xbg70TiCImBJSnmFrSFwBrZEHSz6FFh/f/lEXXiYzsRM0 +4Y/Y0suLOOkT8ExXTaz1Sjqt/IqIWNi1IqYn4QrNTn8PUf2SCHR+oheLXAFR8xXJL3whpeO7L8/d +ZZxJH3fYqqOPHWwS2G4j75ccUr59m0HbG8c0Rwo21kMmnrBH1GKrq9X1j2dwAMoXwjtmd0FXhczB ++tOH3wKHmSJNOBfTPkgmtbKa1Tr6pg8joURO4pxDYc3v8BQgigKtI9M3/U3wjt7/rTDUdipZkXtP +gJNKwB77oJIZ8sf+AMR+5WK05WGxWb2ONaCDISTqIVKbEF3Fd0+lz6P2b8cmK8H+fXyDSN4y//Af +lr6uB5LKxmvTJ7bmpXK7054FN1K+ew9mjHkPV/XlPJAVDn/NdDnL39zNmvwpMxUH716VaBhDLnGs +bRm/krMvBHh9xWCKiknuogrT/P0xJSh0APtYbiP63q2tv5MnsiuTZCINZ3oARPZOTc6dUTt1ENEY +d01c5udoD27NriTFFZAAl56NbPd3Of1/PLh1JuAzcSIZMOMnWWQv6KoGxIP+AC/F0ICJi6PAW1Rd +qzraABl1GroZZ0XBDl2cxp0g394yWT2up/4qxBmHoZFqon0zygM0nc7cDSNK6K90hnn2MKlntZzO +EDE0KImZMHRU8YuclrT72RIHB70OKRrL2/IgW/cx19Xu6hxHAPW11Ly84yH3FZe4LJ240f968BRt +GyYDNWZ8yLZTZaAkFAlE7Wau3B9YVt5otYK9/L3sS0+AvfGKP+zWHDXm8319ZYBrAsB+acENfrbw +yfQt5HXIC4QP/bcf3DTcEyxFMrytHK+zf9RJ6/aSw7AH7wY3GFpM3IU94jnGe5x6amfr7WGdqBxn +eLHy+1EXwvuUwfNdml4Ft7AXNI/NzkWdaqIJfvQA4DluSRazzj7IXyoyq1+zVCDejizQU6PcVWuU +vOcRY6lRSalBnTZyu8BGLpx7U7PLG7wjMHzeTY3u5qFe0nDwe6kZ2N/z7omVGt92rA8VbdHeUAy4 +5QRXbFbZ77T7CCdGJhqQpp3v0MN8Hf9bYI5PetrKEZ/PZKuPVudtTVS7GDCZoNHSW7vRFvkUb21l +/HMYsXfxDMqpEpdQ7jVpTTLdwsOHXRygvXCfkWVD0+CgejDvR2kFEC30SWhBsPO2VOEWU80+yGH5 +TTDpe1np/eQKqXcn6p/3WBSoP9eoUsR6hNdRS5VbAOCiEQvIZswyKI8W3FLUC9T4LyRKd1+h5QDW +R0J1jQXUjxZru7Q42hLfESGla9ohUYeN7lO2hw+xxWA0kMl9KH3jgwgcFVDwdUA2wiXs6SeTUl5T +FEIwFD4YyK1F7h6y9FBK2mVfnJuYC1lJHV5dbzdZNWCwmZ+S8RVvicWSXh5BRAeyglcEjE5rh0Ab +WWqizuko07XNVEQ2nwYv3w+sV396pZrmFdSy3KL8mJZUJ4GP23z9p3fHG2daLXch2eiwx8jQUGlH +Ametz7meJ3Bv8rd/Ihf9rf/ZMH+KyH4fJLcUb+hMeFWKOAHJCi8vqFOqywOg4bS9786fYk9l5cZd +/AJJgbHFBC56JfpYp4gQgooQv+aQmd+BhoZuNCzvDUTT+aO/ZD4RKgntJCjCJFW12ng4yi9g0GIZ +XzlrgpgsSYocbQ8J3rmIAl7FA7VPNSRn8VB2mzaOEdaornbcOCgncqHxjo+rGiqanpnffxDEiTpq +jLNBzdj1zbTzP99iHPYmO+aNHkFp2bjwvR9htodIhm0l597NQ/iAgDnkpGFX+YDgYGPhxUfe/Ly3 +FYdtc+9BdiACud7g491uU8vLd+09HZExkF1ea/AXXnG6E8E6Jtmt27Z15goIUCZvcDrn4sP42v5Q +Rv5WK+5Gsg+PoaJCk5AD8QUPdL4Xwg9D1Qk6ZrTOf0icC2ysjTlAWWC1tuu4TCgrYagnTznFj5wQ +ratQLr86bIzCVvphInUheSpejFDlQ6YLBZ7s2LLso0QTaK1gCRhFgDwyLtBH3XYVWa2WIhg7Y/Hh +UBDh5ZixPZSQzE32I+kTychOVJheqgEpN5wcMPIDMPOKof0+I4EplMd0BdwdnsIqlLOWKPy+QiO3 +IZdTGU6ZvOtz0IRhHapIjKmSn2Xa67PRq90iOJ38BcIQ5/L1asi5TnN0z2tX3Kt+LULZd5WLSaIQ +/rp1yfdYC/JWzP+3yfD53ocK6td2o8DxhSTr+2hlqz/4Yto5UcLipzalCKS+fFC+42kr09YXvrYL +gsVTS95ugHQGDL0mXkrla8pyN8fPv+pjK/sDJwFvthaUMS6fivhX5lnB1y/1BH/D9eSJthewR9kc +1KfYSJBsjBHbuSHUCbOJgT7UVKYxx/haEemmP9qf7mSZR+FEjee6dNHbMh2LQ0iIVuJD8MiVZeO7 +VvToPE38bzGYp6u6+tEMkM0biFv08a3tW7pe5d6wDu1RCaNBPQixL+h0ZmZWyinmYZ7mGwmCHTKA +shNJ7k/vpocZ7N8uR2/rUnc3I3gc0baEY6794vQ1/PJwkNxr4Fs6q/hIcONCxSZAPZ4wWNGFKMzY +FQ+0+nHKosBuIL8M2Zqrewvu/TyP6E24zFJNOc/ETeTGVo/rqTW1y/0EEWOvMFwJWlxIEgODkLsm +j2eXyiGMMZ1s4M6t7P681JBfdWMvUV/4C+0UDIBmMrBRDxj1FOsZuG68RxfL+FJrWnGH4Kc7pCDe +IxrVgUiG3TBpEmy67yzMg1Sf7ZGmNkboZEs0mj9DmqhclgOksAGhoZEaQqh9Tu/+60Ok0DtJi6d6 +n9KuX+xAjUGCZui4MlPWKIgXrQa5R5Hv1XbM3Q6jOyWQ+DdC2n+n441JJkSgZR5qNVjjJg19xI1Y +d5XYn2da2qeETJe0hViyn+VDBCwC96jMzrMkdY1mF/NDINWifG5gI9+BdWqk+hvlZAegVvs5fnOt +rrIb6+2yFw3ywg3wmKFd0lBJE7d9fqQK3hfWr2T0DjXdUPpgOv5r5j0fa2a4utuVwdZunVNi1lf6 +R8AinlBekAAOs2Q7MiHqzGbls1nUS7DgoYLzVOhC6GThfUw4Bqtc4h5E1sJ20pmxnl7tERJd6Y4B +gPY8kwaXpwJwbLCOrsLaRKHQxFVKvg2ohXhBKdzTaVSUVxpGG1jkqJDCzgW2/fsRmuMxqmrr3l2m +JHyGL8RG1UVYUHnv09q7u585mKAUc8/eiM/twG1DNixPeCuV2bssY6fcvFkd3LAfCCUfozPkXV9K +GJqtHzpz/njk3Xz7r+Kpm8l0ElxqOoJp0ziMZ/alaeF+k0YxORF2HQeNhpp9T15e9lFrM1c4+NPy +gu/ZOGWaksCXtbY68/1qvYPD8ypbjDGKAa6Db8PMYsi4Woqz4wDHLs1+yol8ketjWe7Rr+2gf5j2 +ScuaRChPaVSykQftpn0SOvJlzQ9GtXpIsIe/Mir24c/KIb7SR1LDKN+v02//Qhas7gbiJI5+bTxd +dWP9Yf+FRRGy2i80JOm/v09jQ50V1AzrbNbvclnmUTludYWB6s2NHpmtW9kI2GpEMmZQx1i4g01l +DhISPQoTA3DGMiYZO6h3uUrXCU9+E266ozX5zFedl+e2StcJqjCh3CQcNsKhGzCMEAaCAWVggT/Y +R0stMH23j3dzWjENk6MT/MC91P2FL1hAwV6uX4ftfojyFbJSdb1NfP+FGrsxDr20U265qHNJDuk5 +cZybTrtga+FNx+0CAoQn+L0CMRD2pl9r3X6wdtOZUxISpDD381YFnX1mayLDQoCrZKmotg4+zfLl +TgyXZ4FT0BDiRqsC5Bb9LVUk6W69ckXgwDj1DzBsMTbM7hCZ29udPQivC9Vx0SnxfA9id1ocbB8a +i/105qgXyCt6ZABRlHQyPpGS5ZLZgS5mIiYrLYo5SP5lunEdHapOs0SlomCPsJoSVQu1faeQJhOp +bi94h6BYYo+CcY7FOrw2hb/jNGK7BOUaRqV51M9w/eiYzLTt3Oxyr4VNq9Z5CGD5bG0hmwGYVU8P +B2ELSFcDIpaVJ6/VtaB6X691xVWhVyom/CelKLOeGWrcyRkesz8ZYAzohdNilqknJifN2BbrW79B +YC0zorFDafofd7eFf3STVqHt0Ws0Rn5odP0FMWAmGVA4bxw9q6URfGv3ChoVES3CYjuwiCDlE9OP +31AnPC13H9wegkdC/Eh64pAMkSXxV7AhoAaAlBh3wjc0ETW9MK1xyBCH05s35YUJcCz1GZNb08MJ +po6TOpozfYDRM+tZyoqsKLBkCLzDzt4KsOfYpKw4fjqyMx/daGddPzR4YfwffEgpUsUiMnLnCKMP +6/dCrgFikSgQQMonbdJs8Uqe0rtma6WzyAleVlKWAcATsYo2vjbL4lvweAnSzTvVenl4Yc6lVR56 +0UWhkEZpxst8sDyOeWWReuBkT3mwCjNL/Ex+4wneWaBl7bBC3P7IA+ArYUWstENtgEARUQFapWPW +s1EC3A/wlwXSTo9liWcNlavFY5I6fYhBTrLzwvXXHfnUNqxk3vbISDT8eelaHpq4EchQHBvNIpHh +wcbWxydcUkqNpl0FGY1yUvRxdDS/pmDGgjwnj6EcPUFo/OKaNze8Qut83bCIh+NACu3sLL/wpnz9 +OaiS1Ej/cCA9Z50cB9ko6LFJpADKgsUcmxGGIXFb/mS9BAKHs8p90ZwLB2zq+hT00/YP/4ju8R7w +I7VFqnGI8FQtmERDvdpIxXze0Ap1AF2/FmYntTVBryTNRIJCCBH+zyjql10C2fvSJNvCdsu7Wfdb +YdXRXI0TuGH/ENVuWNK7hSTSY71r8MTfYX3ixtH3eS/OclMmvD3aQL4LpOy+9bNX5Wyr5OekmNvK +4bjJFF0aZgqeiQcOuW4WmTR8UAweFczEL33zUlLAQUc2AtYNnUVRrxdugYYC5gGEUOmDZOK6GDEp +OQ1PwhQWM2Z8Gb1n0e7UicGHDCFhZZ+SNkespRylVJ+acNr/8XGObuR+EeM5/MZXeaEGfPbfqfC2 +xJQHgw/z5pV6q8Tap1MwwKX5A6dJyg8UIzHTlTUa+I5aH0ivXIvdyeU2MWH0TBoFm8grhs+Q/6Go +6365Xsgy4W54RNmnPA+jc1GvIVUfahetiqLvnGbh7rNYfUVX5k6iVspDRISfs05jm21zxgfjsTI3 +YoBgnIj5ysmq1TFEvcmBw9SxCFVhPNyTQUcoIARORc9bOS1CiBfXrDBVrieTA055uHTUUUV/09/g +GGXCeglCZBbJtoiwI0iYwVZh3cBc3bPx91IVFP5UCxcm9QJxP0NbuZQFzGGf5XZ0UzCr481z3/7H +4lEIVo2YFvc6Mp0FuQC0Hg28pGCM9KBdetsGkqNj1c9j6JDJlf/XchMVLVzcXrYXTdtYHD0z/e7P +yP69xoqCkdD1bQnMDgBrA0tBz2D//9NHSWtXIg0OGDBofvaABXVWRNM6BwMYM9t/5c64r6TY/SJt +DQ7qWXNcFcYi1Pb8ndl9UwrDh93BbeDgABX7OVtQH73NeTtefhxoAn1C5XuB4LO26E6SWXIkMDVG +lr+gWFq+M+gEJT7XZ6sYHXc/1qCIwgPFqHM2LDcd+0ie81nk39pMXu6vFh+7IFhzSTnemrAbETUD +AUDZQPCaRpyDFzDK8EEE/fiJhOGWg1cwfSAwvP7CvqUAsQk0wiQgvyTNGQDtGO+IPg6/BYCZTwwQ ++2iYouGQlUrfFPbFzHueLOk1l95C6soCmNT34C9Ozrbf//g81lQ85/VdjdBnSGgqqjW79WizBbcN +S/ZlGE8ENjCMrF1CsR1vdRfqNoplZSMmhwJ0SS0UMwk3uEDjfKiuu0mW4HqRsv8CSf/qfEWz9QId +ZPipzy8Mf14SyvF1O0h5su2ZA5y2RyV7svluVrm7sWzNg9XdXcmp7Zp3BIlSybRazHrFoepRWnPO +rlImYKNsClMeFuURg3Wy+ivu1JStqZqenBhyt3P4KLmzXL54TSswFg7Z7HFEoVnM3KQDD98pOlyf +zywKVh0zqusuwXYDo4Fb6xJXnNFyUeykfNy/LsqcSalnJJ+VW6Df0S/CfgQWYZ/yQ+lfD0j8vj7h +VDz/43lZkt1YYxXJ22uwcltLNbIIAjyBOhmj76dHBvp0hldRbCVrzu5YZCD5lGqH9EK/5pYWuLcK +pCb/X0YIR1Qc3b8oj1zwo+YwKYrLLsoU1OyvcGsdXWyIKPhVFXhqdJzK4o9O5oWja7rkPbh9aeFF +ID9ncSkc4s7OMiROVH9R8G1aFna5nSORrG2t2XXwfL776lgrWPcLFRSDa3cPSaPTOOLw4Q6KybCF +QcpQX9hd/0dg12DdejzLDhDzuRi2Zcs2pNRzwZtkJG2VL9ntIqa2PEdBDbTrMeIskOO5LSacG7rR +MBNTsDWHQrY2zDDN/8wkt6UuN2txWA/2SF72VCt0yiAy54T9HKSqkr7rQwJV2LwhAAsPr97gi0Tq +Z6UcE+eUYs0Ty0Cap2SpUeT7bcW1CI4hTxORk0RfxoVoXerZmaF7bl9a0KlUpwgsQPCpggIiAAk7 +KIlb1sOn7e7iDMuqSbcoUgSmg9qX7fU0JyGJ8bAxAJgIGMv/NS7bmF8RE4Bnu2Ply3loL+10X6w0 +O9ul64U1mT3nZ2iHa5QNEQjJpvir/LJ+KdU0jFfUWjhOt/qQCDg0wwmX27T0bTU5dP/hGIGIpTdM +FCUxXT1hgWnjNVU+CQgvonog/uaLQMQuY3+apcLTFqBE+RoHJR7qsfoXdfIGQfaWxuqN9/3NAQqO +m5ESRrA58RvryNA+psLjvuharNhdPHThFnGvuEgtvEGRkDuKqaLS1HrZyVLmVjkbA/AQa7HrVA6p +S4bNmewGUin/+SKb3Oj7ETYPZtBMfVq/cvxFW4JywcKhz0JfRRCqiLMpDwt5HrYsi+7CvoNFQVgr +QzEHbDfg/7IHEBaAYr5CCTyb1TFn4Ec6SV/KFBwtMq0yxG0AqdamQja4Xo8rZio/UHO8PxZb46iH +L5jSupSZQJkMNkx1mg3KchYYlUWA6Vf0fEBOSmB0HUUyaw+i+IAK+qhD6XPGjvz7v6Su/1tkJU0L +NyVwDSbL3GQTE9cXLYJvYFVU9zw0svjMkb5zZgDHEfCu7m8FvLi4zW6BhmuESoGUV6WRfaNg2Fvz +akFpZ4MOusli5Jx+cb2TP10ExP5VyuZ9YLeutudDrJ0nruoP40e0DxtA9Bh5rA6Xyo15DEJlVwBb +UUy9tz3HuRSfUPMUaRQ61KZp/Ggy/YSkLmryqYcPuKh/XgMvxSgo2GZoNPwusNhKsevFRno96GDB +QONj4FUSkY9N/5kZFV3qiTIw5KAZ15zlh8jAHPdgBWd6UvLW887gtwwAT0hwlPYuX/6RA7oR7GuP +gHzqYGXXJG2cGq/5PLlGSho27NCnxuYStBOzhH4HE39I9AdrhxvMLQ4kKR98BorVAolUMKc3SEbS +796X78Nzo42t97T78tmaMR8hExEaMmBNnFEj2D0DvQrTw4JxvCUYCKFvQcqZ4BTy+OnP/boV/BjQ +cBN4pHYWUFBYsufdrbVHSYV9TeGZJ5bePXFmWgM/MmYecM0yX/I9l0l/g5IZjbgtKLqPV2HKtSVi +lUi19HNqM+Zb+JORcIAfs66KFSVKPLoW097XsnXReFJe2QphtTsSIbZSer7FGFG0yTtGa9Sr3Ouo +UwZTeeboLhgo/fcTxWqXBAYLqrzSB03Fwvgf2dj+/7KEXrNcL4BPtzXv3LiwZ480SxKoRxk1+mM6 +Xqr9MM15OVGkNinSUptrfojGvxkvjynmz6NB1qO0/XtMm1FTWvjaAdgRI6nTmGWu6ru4MztbhXK2 +aIxxo76IX0maZHKvtvADSt42AZHRU+uIl+w3vooN4E3GqsJVoK0VCgpIOGwXKjfOmJjHsUlpgRsh +K+6NmHhq58tmHYgAekWuHpr06nJBvb64C8C3uvyt+TCUyngNMmY1V9QhM9Cyj4+mnEzY3EdW+ljH +gB+a6UWPJixHVG9eYJjflRpObLyoWD38fEMxZynEDsCTMnogM2WfOT20mjvGAz1lJIOdJ7BieXny +sj9uX9oqfiL4qKMyFtvjDWzzE6UEfPIDSKj9/m9K5Ox4ns/1MJ6Z6LXAarkGqOXImxshVwYSwHj8 +y8/VVvjA73a3J08LDyYIUhHOzKMiKhJONsarMS41OhtTQtNxNmcshHvGuHH8McsB2lo0yUM0jlfj +zUhn2sLAbmQ5uJ2g1nrlY6zXN4/WwTwCWNpRbSZ1qQxE4iNUq4xc4GgKQNjVtE/AybEWOx23xqlX +o9QRzYZDuUEQj1qNsJ47wWOjaKiCQ5bL/UWwZi1xMErpmpfp4nlND+Zmg9IxOpqH/2BzmVZq0jY4 +wwXjP9d8a6DqFOBBvB/IJFVnbBvEFSzDCvLs12Gau4tEEKIUxS+6qy9kBQgQWoYYqrxl1jENL735 +P+djHgL5KVrilYemk0MwKPyDlHpaYRvZClqa83fde3X5cv9lwY/MDlnm1HTYLI7DyDR4rQIGQ1WD +4OWGjb8ET+fjNHICQVKwAKMQqVzl95YiGP/F+iotqJklWueGEOH8Klx4SOl7Z2OhM6ZvyO5kK81Q +OlFQSZlgaHYOqiyr74qIGrm7IF3JORXTHfWD3jK6XYFA/UPkZvrO8JIyOlqv4hXeLIqxpjQbp8lZ +QTw9Q+RUvhLI8e+NTABKwDuAyVzrT7MMitLiAueqt1RLXfPi6LJF/rOxWUBI1YF8LIdi4J2qAAUR +N+pL+cswzRqf85+FAnkXmiWLaSzXkiHP68P5F0qDG4gjn9BZMUds2PSIgNpvYtLj0dg/mbbj3Irq +LhXGt7XNbe0m4F2YWSGGm5FWxKsJ2s1596veqD2u05btF5ZioLuQUv4FDGpy3Aq2yQxHev8Q87tm +l9pKOsp9ed9XP2k8BOSAtLBQr7H7CFFbxXtF2mjKSHct7BQaA0LCuFQa5W+zLMlJ1iu/Vc7oYMqc +I3f4dsrPK1SrhkGuzoQ8yYUj8iK2XwjYC+2N7v4cGue1FGuqPA1q3hV4ka/nYZ9i1Ik1GGAdG9PZ +GJUyAI1ixkCxS+SHs3eVRIJ6Hev6f9z7nCoZ73RazKhRkZLYF4rHcXnTeEu22cFzFJTwsaWKufrt +wpjnYdYurSIylpx/WkFGABBzmcc6832eMe/WDqKfj5r1jaQKdp5tSe1+9UBiFdEnvXfvYs0nxOeD +0tYhUfkYBPtIuitsPiU3M0gPsCVTQLCLmsJnHB4U5/4QuAbRpxyNeEVOX7j9jKsDGpvABTBRIdEY +54hX1GSRIfU6xwKQwQuzxIzOyV7F53mxKAUvXO7grO140sGXQGGQ+UGFBdtNRyKONa2KOAfFTG45 +9Hz+pBw7KvBQHMs7H+0SbGGJHIhe1AMdxghHqhP5xNKXA2LfnI7JD5gabF9adWjamlr0byKjUf55 +9Tf+BTNQYm0yIDIli/hXEgPgcInsbiw83n+hjgzjM9jS9BenvPyFuveFdYdjB86iEpABBhe8SDnM +FijAbobO9FYh70IcTBBG8SFCjRKcAeYcj0vrGj3otu0gQrvOV1jypGehaAhMC1SkIunpO5Y63j5i +cwlCowIhSDgTziCDZRoVhK4m/BWGWhX6e1btVkU8qLzE+McBV1KgjA8WLOp6i/DHJfthhEd7jeWx +RbLZIM1fyySZsjBXEZacQ7LJTaKhH7qafIc86H8SdRcxLkmijEDjabzY9kwQPJlKPBCtD35zYNzV +VoqrUr2UhnYY9RNumTs+4hhfuOgfgFB+AdWaRXBvfBy1acNJgx6pX56LQ5CRtDaPC1WnW6jvpelV +2UDTbEX5jFEYGQ+edxPm7kBqii4eEePZu9SEnOPjXQOfIau+XAYPIhFcVdSYEGYC5MA6FyjJFIvL +9SfcUdXleJ33bYdJrmyOUroPeubKu9k9ZnRU3M3p3JAx6Jy0Z/mdwzn8Z1CYnZUuIcl7ovtULl4c +AdZBmt7LRARCH6gSSx4IsHdV6P2pjT3nVQViR9zynPlFIe9CuPuJ7CwMJahdWg7203PqpwYXjUIj +QNq66aT+3iUMPDsq5+IxWSgsv5iDtbPIy6wjbq61oFxjf/QmfMJVpygJ+TIhmSQJWf6X2ybMB70V +AmFrLsgzVt4e1UGh2wL6La5yLrNhgHjBP7iy4GaPvaUwOPUt49hsUC+mjOTZAitgxDPCXWLmd6+a +C3Rx0UDROcbguhZkio7E+BJzz3mDNlpExu5OZr11KR/JtySnOa7CgWD2t4P7ZrQ7Z7wOCcU5uJPX +sChE5pg2Z0zCxRlLXI+qBNn/UZ39nDwbktVghLlXCJOGi8VHXKDosyrU9Pic7GqhYflYq6Zxuk58 +OsZIok1hOc7fchSEO9gNYiFHNAWCguc9bHYEvvTO6sHJQZ6Ysu+wnezqICTO2VaR05qymYZKqWhS +MC3uy/g7wU96ZzTKzd2geC/7r4NxTYiQcb0U+Mzjvic7qV4989VS1n7jCZ91XAovijUj3Nn8EbUH +d+drKJ4MKR0t31KreAmvgris94fECkzi6i05TjBM6+lbEYM48ldna77z6ntHvFj1CpuXkqO+4S3d +TnMtexCG9kQhjGNKnR/lU9hMHRXCsRjvb9iFL7n0kJEpdbmIY1gJ+WteA5jozKKBd0hhqa8EiSpG +Tdij+JL06D0sXZe5cJ2xamuIt4LEdsjoSi2Nt2NZE7dwlvhGetyKxzfE70cBRG508eZLIEH4ZMJZ +zFasIM6ZnsLWsK9D81XTlWZ5v5uanYpMocBCJS7ISRyxPpuMLZS/PmYJn8ApB5lCg3BeBr3lqDyj +xJIz+D8IUZUnqZ6/9w8hutVE5ea75XqvfpUfNcYf3YSFW2u/RnDSjYio2/goJvVeFbDIes3aWFPW +cPOUwW6ldNUfv/iXczbNqLLPraHLhvfoi9VbUXowYDBGb5YPOizs3aRBkoh3u9dR8FmqIX09WyJJ +msMQQ1UpRT8vWAJAMQzP+r9ElKFvAuMqrr91+pwZifFNexrEugeXjP3ZpKYOMQOFLyZYA3AOswml ++XhBkshICb4EQEG+qApl9+5rJ3iN4fyyq1kiwrbXow1AfNg+oOSyBK7R/IADk4wpw+jLpzLfLymz +M04Emy6rCUwEIomVDew4foqh0PkMwa03oZV7n7Lpn484+GEaIt6KdUnQOtgYEf8471JGUQfsoAM9 ++Z18ep6wkGJjnEoqBEa9udONPCzZiaIrv+HlwK8LAROQWnAflwQekj+0O4WNfeDULYYUzWbRBe6Y +NlzzgXpJBvrn8lxOXec6Wp22Hr+qbXutVxKgHPCOx/DGF45HGy7Li1ZeTuwWSQYh7HMv9EOUJJi+ +AxWtNL+eoMxdLY9jLRreW/k1Gao3HGitnOhCSgptLeplbzCwQxZxRvqgVRVTHND2SqCwW12tUhgp +EWk9Krj5+1uxUW7WeF9VNQfEvo/2pVAhEX1ViRJuLVYDLaX8sRfkKgF/JVvhjM4yHum0LSXmsXl8 +e4jE+w1tbnng+AN69zTHwvpyzfmCwSLhNLJo3DWnCJVW7D1xnlnb4VX2fuBZk/wFbMr+9dm6DWZv +sIG6g4hxLnporlSxG9+uZX9zlzupQcfR9dqzTRA0PL4vY/VvbTS5mthOvm4iEfKBTC+/390RFK+x +t4DErUwTwltC4xj3xybZu3KGkOtHj8BhX3IMI/W33cRwNdRqyl8wssIQQSO1im7XWKKxHgxsiOV+ +Ir1FfTVGoNd6P+aiTZqaqMLEeKMkLTo/cvH26CeWEvemZ1rAWP2ye5nTiR0BRFnJYfYIAZNyGeCH +lXpgXjl7pw85lw2UrrTlOyDm91UR077PzbdueY0biB64r+5WX1R0HxFzmbYHoJhT8wCQpv8My14K +gCBQ997cQFsiMeKgR2Cejk9MRWQ98GCoBauXluaokXkiSaau8fwGUnKHQHqZxzWSTvVN/Nl+cv7B +RK3RcIEoVz9HU4/JlWo/pieP214UONOXEo6NkKdmezMOAENQCNxMk2QeJoqP4tQknt7N2fFoWiD1 +udzpZMCoKM8gEXzoq1D85PzAjdQ5N4m04ImBsjVY2lhCF8wZiYgDE/G3RIYI8ldtHmhBjKHaV6/7 +cjY61TQZbe7oKeKS88bTyEKDHtAqnsgtMqW2d98E7wCUxsc0Vr6tB30BwG6sOrLNZ+77sE/4QpTb +2bge1esRPOg9PGDbzXHWHELesQVGINPIKkl45tqQBdAulWu4+SYqm4X7qA3WTtGY2JfEjXUWccxG +2csIJcXUWi3+x/DzXRqLfTFZbAGSq79GXWVyjqMw4ls3gCyEZPqsTkRn4nevQ2vvkS+FpkqHAuc3 +1h0NGn+6R++ZAVH8a/POUoSRF3AqMtZ/XoZNd0KbwqwrPxvntzhw2NehJCIJ23L9fw26WVF64W8l +m28Alal7w8UCPTp5Ovgsxk/JwZM5nb5NCB9d5w0+5x11NgtOx0oPq/D9aXVLsJlZKdox9/sYaZIc +536aaYci7+LttJojJGF7+TLdgIISkSPAGqKPQBjKK7BJWdlK50cbb3CCimSGvSCJ04pNRMQdjFa0 +DpSn96Np4H+sCYxE6Fk8VZVNl4SMQRwlNt7ynlytB6scHqvEgtQmWUZK+ZLGxbFHzwtL+Gt2NO5a +vRYeWveUMty0307yNbfrDGlkRbLnNFjn9wdcMw1x5UDr+Gu0w+P88B8Z+LNTT9y+z5eaikcncvL/ +W24o+cySnncwD5tGn9mnnsVjZ09tNaid4DySHla/sLlI6ISbVENtXSqp92fIJJAxA+EMILg8Sea2 +XI8ALdOnXWAlt7T7x7giZdEmM4gFSpwlZ56nZj6zK8y8rNOyKKSY9Wokmx7SEpwbuRhRXCdMhjpU +720TytedNg3me3OaxLbuYLAk+ckQF0awJHzlj2MR0N9jx6bCrFBVbeDtMTklXyZktekTeNWQZgOR +Uk0D5Pygkevkhl+A4DNxQC+e6+QQmhrLBSKunIXr8rLZ3iGvajmn2rAQodOkotibku5WN1FoICXw +1lRI1H16aUPr6LCtWwrGuCBZaOqkx2BL9hYSI6tYnZtgA7fXWQ8bEJRXxCAtNbv5rcV14yzWeTio +6jNIff7V28x3GJIpiMvTPP7nWlSUW2d/BTEegeOJ7IBIWjzTfg2W7+LuvFp6a49ydNQZJ1qohZ4J +YxqqxvAxXHDePXgq9qBbB7s8iBcajiG112hLftqu2rg1GnR849O0f9et0sweNYmqzKaFqcYCszJX +sUgdGab7xUjHaPiVLNrMNMXE5fpVsQzM1lj4ebS2OF9LEaNkrBxaZVPtJpL8hmGJbFOjyK7191SH +bh04stnWFZlLUYkpsGqgYL/bCBEK1gxiSW59FK75N/bM+AZvKC6NEWyD2k13BFJn84gmeyxLwYqk +VQmxkPdtyWuKMsYoMPEGnOysPBU9mHSlXVuGPGseDO9WX22jYKKgbMaMhqsphHW22sn4Puk40QYZ +LVSx1lHACsbQLR0rHCHF6XqgDSFoBx1p2Yd3sVsw0scf82MaOOOGVqISe6kQP7G2mSps8im1ov4k +DTSYXbU+nIMEFRdJN18TCPhQZyD454NHY4kDzKqR6sl62L83MDi19mzrC+NCEg6eUh57ntWVuGiN +gJ63hvwrIjOK1DhpZcL45oyNadZxu/WX/6i0KSwEf3YepCUBrPPFipZoZnbBJpnFz///LnGkeUpZ +KABU0aot0tP7FCjf77MGGAbl2gKhhJShJ1MGKoYfX32dOUvebPWY8kwl6O7T/2jwSXbjsIO6DXNF +bO/1oGBXJ/Q6aRaJJ8InehMTPTbGU2z8k5JB47yDiBWJhr9j2+kat6tqcPZDaC9Xu0ul3gc2SEgW +l0tXFzAuAo/Nv6PFBCeyvIvhFprA5BiYu6jtWwVKlLHHnVgTd3NoMxjPfMf7n9akKcujmszdEw8u +RrV1yofmFXd6Sv7oNrcekguwvNJIfXPuKVB6JP5brUQ8dq9fuDqQ4yYrm12Yn2BN3l48daGDch4K +g2kxQUSqiZLZPVgLtBUO6dDkHW9G1SWvTjdWqxceh+UfRsA4Cbuld9TyF5xyWsxQcNyR88LTHmav +odKN956sA33GmwryTyOBaA3LHfzAsFYoUdzTujrS0P4+6t8uH8nRlZGM3BKGLEjCEje2bgggAl5d +JTuJEdqhqQaB4TYcdvr0p7zZLusNG7W1Duzd+eVot13MLON536E0K6suG8B+E32fmgWOUFzd22rY +xwGwu6fvvMdxsf4pf1XPwVOKWZ6F3eU7E3brQ3pwXsidqk0ygPqg71HRrKD2/lS8xe1+/v0f1GOi +nf45huG7966R+kWPpatIMZCDGy5o3COTR+kf3HS0Vjuuo8M+Nngzn2qWD1XyuHEp0hqwZRF4utIr +FDqB1VETzVZIcJduaM0wfxBOTIZLHRz8GibW/DMm47P+XAGjt4LZOlMKs5g+b4MIjaoQMUMIj2PM +qEEKw77qopR3g8rUvynkuiPdrWHoV14XNGcPhRNserh26pS0M0jtYa9ov5aiaPudkC6JR/P7uZ7C +wWFJNSailoC61xsA8vswVwXr/wjrw606RmmCTsv+jBbMzTf7sZjsN3P2wJGH9P1bm6YE8uXxq7GY +LpkCvB4e38OvW8IGhs3Yk/2OJ4yYwZmLW10gh/yeARkhAxbUHFAPStoNVtUCWlGZe4V5LS4m/Wkm +sOz6Zhg7v+3qzxaJPLpK6Po9kFHzZTwI9h/PmKgLVcehNIUCERWYkZ8Iopik5BoUp8RjxXbCqnyh +tiePk/PZBJlpTBDdv8ySWnSsu1VYUBZhcw3vKRyLLVd6Cztn1/xfptdA+HYs3qV8kHm3g1YrXEZH +++mRX7ANkeD4lRBEsU7NL+u/ZfxKR7r61fh9pjE8Po7Gr7Y/uzFM1S8HCHZzTgwwhyfutPcSZMhz +U1x9ojUaLRuG1BExYBGiHhbHJk7arNyvnFfoOFG+I4BncFv8pDDj8tNzZEb8kl2Ef4l2kUBCoR0a +Rwkyv8PBWwZ2cUT/zIcexO2Nl2D16xIey5a3GvqBA1rZ6W51NUfba5DjTM2zqGhEIgkHu45brm00 +6c8w6N2Tlf88dPo+C3w2EKkAkW6wawkzaUqIEGepEeB+7tKVUwWkCjZ64DQcmcQOYs6exttdwqVa +KpY3hbYL97x4Z5jBybAUPf0jDIBzNmCq+N4hnEADpFa2nnyC6KpK4sY5lTCpwFsBqMMIu1M/nfds +BiakvIAz6ATnA1YQoar8XVWxiAIymOnD5V7vY/WxdisWQFsE4wzi8oQfeTVY45QSh1JznlmwbFP+ +AW7yY4Um7YiYDNHtAfs6UrrcCkELUofohY+ARP7YrGn7Vllbxh7s0RbGllqLgdfxI3t2tqkY9GT6 +je77GE+Gm1KwlQOzB9wlWyKWHmVfy2j291D7wfIfJSx2q6/BjdiyHno7gdp1L1SzLkcxpekVJhdD +2N25LsQakeeDATvWHxQ6qEGlrC/4OkVfkLZMTbieVDUT8wZWh+L71+AadfijQuctjCDsU5fHkD8r +Shj2TbM1LrW2hCmPJaGG/kzlKV9h7u67W6czwiItIrritfD9V1hE+Rabs7WZOSop6L2ynTAYKpwu +Ii6RuPPSQ25KSJzg1Kr0gtZ9VGn3MaBgTBw2+GmArCdo6CV7I+iwvwAi6z559zKrRPtcn91dYnYR +ICZjYgwlP5hgjCUowbwHJUcaqdYKTPAqnj3xHakGtL0YKdZQ7kQdEeKC18tHbPu/csLkNyYSoNKC +DLduqFazpiXwwjnFmlMDzU76q4YYBAqRuMT2y3IovLRgYGQRz8G7bm65Kv3OGf90GlW3RIrbMntd +jn+Fq9i+UFcvJAR6CuEj5cHlmwnQUehQfCZa0Q4YAoxRiPUOerkQ34osxozQFeVajptvjxGmgEzH +gl2SEVUzRQ8lgAZNSDUB/UOjSZC+GeiIfbLZwqTbSyv4hpdWQeAJSQFxwYxoq/CVgZvBvBsDQGpJ +KYybCbgRe+cvYWzxsRmCWAwfnMEGo7Wivtlm+976OA7+RzJiGsnUWpvs/an1lRDJG4prJA/DH0E1 +oFsfEfXPI1OmkKaW0eFdsRY0qaRpY/x8J/suabDWTCiyqmVKNHuDHxckq04wI6ZhyIiSzEnwRzl+ +IGFTQRD/gS2S2X4fIjlZj5jEDcplhAcL4IrE1doJhXzhSeXWoOZPdKclL9hV1f8LVNmU9X70a8oN +IqRNlmOyYIK3YRVOhC3AnObMpUxxo0EvJt0qAH37+n9IGelFxSkL9pr+c2ESjl+WVfjoS6n3S4rx +63Xau1x1bwqeGFKTP/7qgYkORBcuxtmoMHzjVGiXlb9R+qSv52qNxFUFJR6UMYY0I5zYaOSGdUUE ++VpNSsVnlJrvK0bBEfO1YvHX9Kni/JOOGkWaqSAzvz/82GAGAzKit6fpvHk4weLmIlgWd8Tb2ZkK +VIiVrLbocewaIeHhlbvLeP9zYARrfookXSv8e3JLW7jtt05gjkGrJmAKs57wfs3d6fBtD/Vf3fZq +GSk/1DhDoab+pDVh+/zMUUNLpl5SNoP4O84woUqqRdkfrbFLLPrtYWheweVVGgoFRTUJcIJixPPf +SR+FnJVTZv2hLQ+niGxTvwCSsTkTYueSXSccF1TkR6EC2PLybiDGJrhCaS/kofIETZzccQ3Sgs03 +cahJ4ziwDag2MvkJc6rOTzxuB6IDj18B710jmqHUOU4pDhSntyGn8MrArB8IPXZKB6GGOo6afQTe +AH3BEGuQE3cvZBaLvJEwrQlbHaeHnleZNDuT8Jj06EmC+PFdxhLZvDzd6ACycRA3qDgOGRZUCQd2 +VjrACDxadBRQt5yh8HSRT3eU0lSGVCwkWg0u2RJPeDFNhJRW3AK+6P4mW7+9hBtRLJ0aW17RxaeG +5SCC5OIgYn3783HcBtj9dde9CI9wlfYoCQ4P/XmHXWMiSjkyqrNiHMQd5td8cS0S3cy64IP4i+u8 +LQH5T2mhOT35jO1YO9YwUeK55qhgydxXlVblecY+X1ZHviAeIgaVBJQxODmAIy5KDi0jUPYlxIQH +7gVCdzv+OtFA4wzvsOBRLG3gNoaDgZ7nzvzJbsRbkiPM7AyInJoF5VulUlSOkvROrbfy1xuTFRWR +Eqnsn3z2JqhDnJrz5YMSePghhVFwyj4w34rTvDKQ9EcwT6uyPyGc0e5n+4AEwBm4/pvuHslvA2D1 +/Ij04huXdLStlB8mTa/t82JVAsRL9WA5phk5bG3OJ7CCEmLkCE4glrlN5NJNF1hnD/lSSAKm+vB7 +LH2v2fRFVlZ2p2xwo+OjgLlerecdKqmOh7YU8avybH/kmgmxivcIkPwLMSGmzH5JAzb1nXbcPqLR +llsb+dmNqMmiAlOKpsTtFj8V6ZBtv5cFt/XiPQJ7xXV3pCiGnTu67IU0lYsB9eiDvPO5DgjBorFP +jJSIr/0mz0g9cYQ9ckEq6jycss0J5DWlV8Y4SKRb6FQip8tIlLI/Ma77DPifHEZYF5ox+s2AoNXQ +H8el8vLPBK/D1el0M5ek375TxexKsgF0IOKwN3h302KRTeLRux8nWoPCqUhRrceOGMrwB4BIaRTt +MprpJGk/BSAPUtonzjoAw9qks1w9SIwOG0HnJDc88fDlR3iBb3g2T6zZAyaHnEigUmdMZ/qA5Pe7 +euK7MRESzl0V9EzcPUzJW8W1K7KLPx85lanVyC+LSYx4tc5oxzGnHwTi7VUX32FesYd3ONOVHZtH +Nfc69ynbverbZOd3n++ISI3UppR+LhEfGNN47VYDI0UG6arRxDC9bekfP/UjqCSlC42RjjR6B9ik +o1Th2TdNdqfqlnDLfgyyN8eynvvOmq2uKGkDmIBLtI0Fmpn/Vo4wB0iOk6fpETsidXYObhVx1qrt +8xk7XWCz/8QpgqhrHHtV6m3jEVbvOfDSpn4nQhjD7R/aG26u3QhxEevv7aB7+dw+vzPy7yo270jy +pA7iwqsNqGCssC5DyfdQXUHpFa736rmwY/kGaCgAx1699ZDUaKjiEm8LGUxwnq/4j/qkSKQ0/93B +2WUhms64m85dUUXe6eyNX3JfGhX3cm9m8L2mHxqVWUCfDPd4OqkJsLh+dMxx9mm7eRs1OLP5vPJp +FGYRIyajGjfzhyL/ZuMUL5XV2+hzKwnyaChJ78qdFliwoMqsp8AuCjgJ7aAIw7Mkkm36DJhBa5YB +pmjZm4D5fPGKyqZePqicQftmfc8C+fagVBECcRD3lToRVAmWdZ/ogRt+Fzu/ejPjHS8+YXEgqU2q +5LbPPmmM+5dwj1XLQG+Mo17LlNWrDGvfX4hyA3tiEn5UfFvLRAYhW1KJTlPDRZ2deKJzTlcP+kwJ +V/WLntnNaWbf3J+ypVtm61O/FvwaC9HmNfdQd/0vJ5Un4D7dteIztpH0ckIya9ZXGX+Ezz92lP6t +sJyBxf2QjCmGSuJaVookXvd2KuWQQfEz47tBfoRmBnIAjuRBcOTGCCjuUCqpKEJBEmdQE4PA8tRx +bVycvXkD5AhDIHYl8IBV7pbVXWprIOmz74eBqQMk/L0bruu5I0pKJxt6FUIN97jt1wjzu4b06w2O +D8WhXe0aNHy9EyA2jEMKowl6GZN81OGIcKkcs2gESeefXCzlt/heeBImTlayOz9ocgYzruvyKyow +uaLmk82Xq+LHT3HgxsmUNpDwJ1ufH5UUy+d0jpsEBDwZP4SgoZ8eoQqrAVd1h0hEmwUeL7i5p1C6 +x6K/QI9e8gRgjrpQluKeESZOvKeEAlNNYsnkGH78UB3vIYF6bMOphcD2cGTz167X4o0NVG8JhE3q +VYneKplEEJ1nDkCLZXw7UCdnNUr06JzmcMEVC5dJmxy5u7YWxfFWD01CRCW4rRgpWq+qehXZkLvk +5eDeBbMh5N+EBCq4BdAlOgogs2KpYpTz/tllErfZcATxmkqoBVYzGrpZz/CdhvA26TTRqTGsIPUs +CTAS1JGLRdHAbtbr2PeTzhL2S2oFfT2/Q6CewVvoURtOTIXMQ/0f0F+h+a49q+qYZwTBNrpwqDRo +QQlCk4V+trR0EEZM84B2GC1dhJ3GTzCYIuH1P/clvq24ydxtKmybvulEKYQlpedDFQsA5nNJL7T4 +l9OjEVq6LiRoqfm3nHhdaSi2/kE9RFIu9g1adIo6xW+GIPe7eDi1gIU3UBpZqOSAR8Jel+RCK24x +z8saJV6ISsryHSJnLgxFD1rSPwlQs/Ixqah/O6v1O2A7lQCaeXDTyEjEOnI92aLA6Nesh+yLfGH/ +nIF0bmJt34gtXIZEg8HUNSy04kSfUhlxPketUGE49+NSpkRlPFVQc15db3ISgcOwZZ6V7yo313O8 +7i3VKQKurenTPuKsAxNKcsNv50fiq69oaeo/x42IMPQvxOfCAJjzoom14lqg1LVhrsxaCPhlRvAA +245n9xFmUaRsnFm9jmw+31FKn+e4mdzeTEKaZhrc1MG5jUqpoXpg30eX+h4KSYiNzs/wA0ElstdI +HeCCFwQF4/zj98LZymqCS87gSwMorLI6dIsDHgLzDZ/2BZv8n8vmKMG8dCFvpCnqDSCcTrWMXwn2 +eaVuTQY0aJQXKPGaMbLY2MgYAivjS3ZDR5etLzcJyRqot2AY6PNzQmByzOGq2mjOU1/4XaCZq5dL ++uGwnqSz5G46HPaO3TP+oAEBz2DA1A0nvvPzcTSuAyqiXMy0mc4t6pUZOXDPJcVO5PIJqg8LJjuc +bnCEee0lDkbtMaQkUVfB+GiTJBSxbueFH59qTzEqTQJqXQDBnLoWRfTVLsDO0hmMsow5seNYswVv +32Ufj4nxtXo5iUfF2WytvYJy8UG9U0bm+2/avbr2n3HHuqLmfi6Bq9RXRA55Adpsb0NfCHsmm8t1 +E6ha08+h/Vk+6CYO0F7zx9sD9RG5OMA5azE7rB2Z1bEhFJc8ekCTEtkn4FPVVPWfhPe/iriUz4em +xbbSdz5HSYbVDlp3ukN4M7eu2OOYE8MSCj4RvP4aMd6aICogzAmJxpfz0ip1cXEXptouoE76GX7g +jDolm7omUsFk+amH7K58sCKOonzc38+PmN7TqLLzIhpFQ9b1RO5CQn9v2OM4aujM1EaR3VeYjs0f +jZTSd1mpWtK+mbbDYi4TQnDz2T6Da/DtdNt1e2hyAxeCJtsnR4eD36Tk9aGglXHw74qGEIiPFAtg +tRt7Jq9YpU3qZQUrp+BnACE/rwR/dkPLKOoqSNHmoAwJ9szgCzD9GbSpnLJYEeI/Vd62ev6AMIwE +mY+aaRJ2jlaEcZcvs1g1Ge+yWvt1QwAso6hYL/KJ9/onc6AZZ46ZeoJexZ8anoyA/UlL3BG//ytE +erRz8SLfQ2u/5E2Cj7WZmn1xujWJoOQ8r13P1pVTE70dKQNU/3f7Vs9+/m7V70vtv1kr/WsVOt5u +DXA4k6g2ew9dWuptYUy1M9hDUhCzG1ntk/8Az6wqYoI+sQol2oRLECRNfWUc8boy9Lb3HhmWx7+T +12vaDK4AKMzWuDhNHfGX6MhYA8U9RJ1hS554da/rhWC2Oy0ffGrtkf1HFvMMWPOaS8x1WSAvO+bU +kpuGIDkYDD/ON8z7wkm/JbzmFYhrsCCJ1r8hadHYgMo3zUNg5PGGK/+XIujxRnzILX0cRyGPFYv2 +S6JYHvygudNFSj7dup2BmC95op5eURhfgGxs/qy8j+i4VYCcqyxVkCAJXzYVcrGoUNrrNcsRCO/L +uXXVIPwpG6vNfljyyFRyKmzAFggBzDSMxLgnd7n/evcbFOLJxTwkfITR8EKzv/1sDt5ces8NVoMT +Zrk9e+2AKknZSp3BbJq/nOKxnozH1pwawaUeHbMPH96zN5ar+E6i+3I3g/1fVdHSQFc4VoRMxnw8 +Mb3tgh9GTQ7jqt+HOSGW5hG0wFsCFDG35FN1DJrBiwCKTRppC/pm26YWytNBrjWIwMW6WVAVTdkb +hty7ahoFR6E7QtL3pxb00jthM5mF3RS/h+Vn5SsDCYPitd97Pkg4WK4hPJQMqzidsFLN8HlzNIBw +VfxMEylSJhc3iJCcL1K8UBHGjGQALMA7sIBO05bdj69glWfJTcLGfesxTRNHd97Rw68kuDWtkKG6 +uBVs7gKuAprbF7TJ7xYMDAz303Kkxu6343JLdl7B6eNCilahDWGLEjwwjJRKiEL1StbeE8mdisCK +Y86P2I9CNtyAJSC97IvrZZoV23kPn0BuxYQqsFE1kb9VLeS5Z9X9ZZJUrN+f6Xh79QXDv1s0i/7N +gP+Hwr8pRgkyPdfgEN1XAFSvgTKKjQSXY7Cm1OMdgrP9yjeo7U3nkfZcO5BTfhHl0aylICPILcnN +87k7AlQdIxVXMc4bpj8v5zw3Ej1Of+znp5xKj9WMO506YJA0tpa2FTSe+ubmK25BHV2DkbzK+Ysq +RsO143+gu5h9raTRJ9Mf2/cJ7LysBXv7rCWzAk2nPzkYi+FzAssdcr5OBLpCLuDwZu5JLGa33i53 +fRoKlW7pmXBl+fR0ET1KVtdnvZHb0vFwg1pNzuP2TrR5OLyNzYKHdwjhht73DkIhtciM+AElRDQn +gJ3IQ85gD8W6pXOPrs70oe9lAWBWgMzWICTxh8RPb1/2Wv/B75f4NWKXVVXJ+FbXlbaXKz4j/LF+ +inv0RLsdsUEuM19hPQu6vIzqoeydUh72kogHFu7xwgOk/TG1/Q3ZHkqAa0kKFubTbacAy+wQLX9G +5wqiPtrKVX5jj+goQ5clxnt9mOMg4UN8dqaVPiWcY6liCiMKbOKV4dKsgnJQIcMwpb90hUkJDjUl +UByULYqR3qYYUGkepei0MZJQzN2d2LZ5n0Uezv5h+W1CO4o0+m2COTHd+UIFnQCz+90RHTNhWOqX +U5KtaJp681uZ0VZHAZxEkp10rkVE7naup4toPPNC4UVMMAti4jThhs5Lg6APy6puYrXpTXXUUFn2 +cBK9oP+wzz6ApARj2jaUlUMDh7yLscMCmLnwfRd4xNAjTg2P3cRrwa8I5l1w5w4DAHK1B9cgaL2W +M/9dTM0JLNorrJLwSjoYC/6FZNR4vgAzYLpGGW1dGWqOVkXR6y83OHd0L+En3f2o7rc+GdF6liZk +7YxfCpPPXbvaEuPiF5//p4toqX3s0yOf0b7X2v2dVqs6Y5SDwhCOSe9JBjNI7KZntmsdHMQxYmbc +pa5A5LhrY0b/G+FfbWqpN5eZ6Y7BtlRrvsOkq7mZfPazGer7H/1fJeHEE4x2XkgQ9MTwTNJeJI0m +3wpdv1CHwO18aXSayU5uzfhs1IYEBPe6MS4Tj9oUwtWydvu9K88zeZvMAVpH5UGDqkGRl+WFuggJ ++UAjkgR46Y+18ofXuh7+jJJnRDR0xe9uxOh2dZlvYkHWJuKAfRrcdva4wrmhV6dZovSOU9g99Psb +6Of2adp1yIT1RDfFT7wu964NnfpRuLRGIRA/qeB5ehDnl0I2zZBW/8lYjQxTpvol2I1HUZzTA/vo +VSv7zJVoNEw5QiJjwXbYT+4/rxp2rbvetb+SoaMxOFeILa0etbWSh0rcuIrBG6pBJeCDCgxG+AXT +uZd39uMErPyLL8wAjb8qiGY4c95yM0CxDkz8mrejMiQ5+scINfDXnRhriy/vHEKxLYCKc5NXRUAt ++9aSWobqh7v3z1QPOnBkdH7y9ubjyFk8yBla4E3/KG3lu3/C3TlUfgw8SR8waEfmGM+uQ+0rhZSB +x+jx7i3cMm+9lrFfoE44bL0pNe7Lb8Jvg65HinNXyATl9dU9bnwD2c+RYy2CbzCtqQFpkns24PTq +e80YGm3m63Z0dmU2X9+NwK8RA1nkLkVECkdVDcCI8Tap31Ibu39bhfY3FYrU1uXWr6tXmfMiJrc0 +TTMGa5bvmBOrOKIZPmKs93wT6MDBeVQcCl47/9/z8TzG1FZfO3GYcQ8uJQawKNUe6C/XuV33z6WW +FwChbYo0dsFGgDABJ8GQ+sOrBMCKi+2UhjpERwkop3WggvfALM5z3Vc0H41dYSl01TYLDVXVQd/8 +RQXmcx0frMLbluiz7BUOF7+E0+3cMhxR9FQXxEYqdhLuP/iR89aOog4Rgyj/9NK/OAu80xLm4w80 +bdxf0HrRChQGHPsnDGykLVmNAPi+spPJ5ho7QO3l+jrN7CxQVWhw0SrRfmk8SYy9xdPN6fccSGsq +YqHtJ+Z+dPIONXfNK1nQFizpGURcq4z50oVKsP9NImASEOfGWorvi8L/2dNBvZi82nJj97cHqv2Q +2M0uVPcdDBiN0JNbBmu9naFCamuAlEGjWaCySc72aRC9hvjODFefavzNd52aYTsqGQWPb7tNB4uh +qQIJMZ67TJzMh00j9CQHQijsGNXUYIVoACPXBQAyjz3jXqoHC1ZCVnivnEepagxg0Yt36NzONT/o +J90XF3qF7sxC/zHREfwF3N5O4t1HJVDjvIH6ig9qHZXt6LTg/k4gIVgZ5o4c+Y/Cb3LKfzv/O91S +mXHn9LK4CZ3Ukn+PRUptB1MN+YLZlnDbymVjQ+91l80x9Uc6GykZYpvQ3J9rsFZtUfZ3jzP7tQ7K +O5Lp1beWeR8+BDn90Vx0XKKTrfCtX1c+O1IaCDgONdpHPqfgBGFeBmYGA7ElLoQ21G16EAJ+qu4i +hkF0A3oKfGeYde/PTj6sXLL/CANhdw+OcHosTX2DIcTC1AIbeMKwE1jRQkxYMSE3wqxPXaHnv+fc +ZlfsbW5GX3hVdsWn5sjvw8rQwAMItF7kyiY1x7rLQL3hvNH6YNem1LqYNlCl09oZBunbs7oWLms7 +xlMCNGpsYNYQ7Kiub6AGWKrD84TcYjRJ/Ft5oGSkzYepYuheNY55md6r9CHRnvpqOf+wN2TUn7xc +Ka2c0GCX3Oo0Vvq3tgsbo2s+tdwoa08Q0dALHAJSlYvK62hIP1eweHAHJ48lCF3YT7TQQWR4cRCw +fjxAEUdK0KQWq9AwbJ3kQCkMcUj4GeDB3WdQr4PHuX8321jDVjorT8nka3VOgCXP1OdksEmpxflA +48Rg3adEH0sUueoYeSFRYOF3hTCihFxus7ImmcLJJmR6y76z7mdtMTx1LyURA3A5eKDNEWuRiuhM +cVPcVDRet+f8SLSFUH+2C/ONRUlkstrzM2l7Zc8hAA3h76sD0gUgPLbaxAgz3+eb557Cu/dXmBXo +HZuxslpRvXN6Mb0OJLDgzv34JPPL1qb0wvw9nl/m5z5pTWDMv/4j8UcVc1BAZ2Nh3zGrYOpvPU+a +ie2DRgwi8Vl6Z0cRDdtFF8mpadnikwtHEkz3MtI/RPpkhrYUySU8SpcpHt3Q2BvGfN1/BzSitJUC +V+5FUawiTTJfVryiEs+HuIvNpX5slFnzfNw9SR4xvV4Ps1B0fD69VRp4GT2JwjGSEtv224smFzUJ +KX2DERTi7HjSrL4Ny94dKPR7eB58hVDJSijwUVPV8av25rywuwo8caSrpWY+QR4uYiIgcYiPjcNO +9uVyBWY8SyXTOXNErl9yR9JP47LzJk+7KaCGbEXskvYW+T3O3+8RUjNaUmCRQMAyKWByjwuuKUWN +593pW2DITuYLRyRHeQ+FMkih9/W0AvOpQespiHNmefnKUa9wNX7GMEbOTtJRTc210RHmNdiEEkfS +5o9ojqr7h3a8Wc55gryhvPmmJVMkHyxyBdAVt4MDnmtcSBxmI9mLJcJKhbNGOzGqjRBR41E7ZQpV +ssQkKF1lAnvrO+1dtWtKHWNrvofOi67NBBDhOuO5POAZjbE1rsjdtrJ4lM4tTxYrJGSIeUu/e3mt +ec4TBtJyQpZP5QS8RCpp/KTxRJ+kJzWsiSGeQVeuoxEPU5q1sSaPrseQkWlbi0AZeGhBGNmhBS3d +bp7txykyfrYnAjP1z7FORGg7FY0cZmTRrZD+FVvyrLoDtObcVAQZlJzKcGDGjuTrz1WjDx9mNxhn +OB0FdSQM/48ZsmE74b/5DAvyEhJmnpRgdK85+/2uHbAAS8IFs2p3DhoVkYK0tx3rTi+4IWLS/jGB +dc1yo14Lt8NSDshijqDdnxyvUfDbMQ+ft6/i6Eajl9dH3rb0ynQlqKezPEa9+as4KOP+2OUEBUGD +miJLZ82d2YL7EnmbjB4W/ROty+dfzkjz0tCl8wSlo6CWDCf2r1nQHgNV3aSIEARCyWcCE+dD3CpN +fVEC06rhM4lt5up4qMoICSWx2wLUkxwurN0KlnR9PcQp/9hfLU0uiUgyMbgOFaVFFxsAAUe+Optu +TTen3cccEyM872G2yKOY7ihU/HDnnWaQZpxGAiC+Qj1fMegdZUHb37C8TkmVOh6+pg4uSiE6pijT +zD0h1Tz4LeKPZHaCryqni/IOIeGaIDc2PpU6Ee+qFZt3tvegkw0g3C1MaZaKAycrIuEp08kP4nDQ +PtOp/5rDt7g58RzdIUIaO/0DHRsXi+ITjsVf1aZ1KKpVOaEz1l63YfZ3hQyePGY6Sh1121PHHEVC +5uKP7dwGqTZsrQFryD+RhSJteHLM00eJbHWLxfYzrhRWBmkomPzOZ8JJ+vGGB/89zT/94PIkB6hC +keRspDc32vzUDhKSZDtv8GsAaOTFRstb8mAi8IMYLma0FAR/YQzCTLnAYGejUsU71GeFLV/pvXDN +QxWc4cnT/82xtKu/PF2e3jLVK/fNCPOlQErVFhPnBumW60oyrd3x98cF4J3g6pzpt5y7yBhLfqHb +F+9CuwJ5AHDMhvwTEASiSfj8nZIO55NrIukq4UxbGUnYt45/azKupKf/UR8CfsDvOewjYP8wWCun +EhA02oCsKewSRJYvc/PVyRfDTnaVc9GiddkXWKCtd/6bZOBktYZ1VU1LNJsNR1E9lEOgvCMR/L9X +PYYtiI5532WEtBbqdtyhw2aA7WDFi2csV4Pv7KCOS9mk2dRWNRb5Jf3IIPzFYCt5kTXOO+Tygogu +ZUlA2YqdcjIOvnxBezW1z0XrKrgtRB6eTdTRk9VJdKB6wkx7C+HSBv7XdChddgKN5I2yM3rwRlpg +/7vVQCf3WuZRWU0ECncIy2TKNJ74yTcKMr+iZOuRUzpLG8vH+HeHcRl+YjZ8m9CzbPV1AMzOWntp +EIuS6MRCDa2wQMn6C0Piwoyp/8d6H3FP1QCu0PEkIJ98HMc3MrjJCojHSPglLml60iSPpijirGvW +ulVuzpEf6JXOl+m3FyBrLFll/EqDWtoVzm8OLQ/A0QJUGwok+mFQ81pZ1phWrPwPK9CF7wAg05z/ +x4bW5HkggRw02MP9DyOIG0BZTVRz1q1EEf9Mvv4HBmbv/sbdv2RSpJrrH7gG4dwp8umcHI0bVTgE +MKb2sjlpD0qQepXXXHDwZKrZ1rNnzaB6iR2TnTFhwCIB2SjAtnvmdnC7kpeCr5OBEjUN4Q7VoKzJ +QmbX7DrY0wbDF4jiO48K2yyvM7F0fEruYVYNvxMtJEY6fMfzX9sef86UT7NUr5x3bntV80kz8aG3 +g/1PMZmKzFFJ4kwCcafvles5PMamQorXj7HdtsMd3UCSgztyr6WI1EXsDeAAjSTNCMlKwQruCaom +5yp3NBRvW8JmP15wjUWq3zLaQctWFUuWJt24BHifCxTy2oiP2ml3J1SaU3+MDwub9iKZ0TTfZ1q9 +14EqKHZczqzAU7sUX3r1CACfAfqlB9A+CFW4rrf7yC/pmXuZQEV4Sz24kLHubRSpVQ49iJAZMOEF +UXvs4dm8ZnENHL4vdiqlIDvzLLIooQ2oFdGwLgGYrgtq7QFr19VC48BQZmSlrCse4fT2/83iHnHU +W7J3z4JJ1HBbfUIPeTWp66MXc+v3ILqJ4714d0Nh/Ry+hT58Io6Nd3N/ncB6NGrFU080uhqwXO1m +hyZifEsHMYIj62Ewz8jB0OUXKN8wdgM00y+9oJOb69Xa9W40uej4NFU2BcNVs6Wb1Dz6LRheJdFu +HorKXLwiGOWES3XhKeQXIWq9g1WsdkzR5IQPcfW0AsrM5iZibwUPHNit9y3Y1sLjJlopottthzDl +wRzBHF06hz4Ip8ATF6ZzYKp+ZcrwTLYOJXwwY3TNy6yw//MhWSvYc25gM/yaTJkFdaNy195eKwu9 +vM7/eLnhJfxW9/7QBH5UrqltXTKw0vP7rSSy5oDuKzZf+iBbwcOEURWAh4ixWyfC23IHW5A/LZ1W +X74Bknz3mpGFAyXvSXLgUvwWHSdeiz0DagZL2iwpIKkgXwDtWU8xcpYP8LqFc6Auj3JpeUN5iRHG +JDQvr6/Yj9WHetnKdnq1d9zlI2XQTC29OWMzhj3lnYfotIQGipcxDkqDjQ4SOuBlGAnBznrjeq2n +rtS3mQmpsDlf1TdoJV+73ejglZpVnElxcppzGK3J0Rd0bAGA2ykWNu66cDU3YRO3lM3QZoCHNSxv +twsSiukPAmBSTnSlnjsQQrowdPTiIHuoxDUyuJwc2l6EEOMeZnpmLXK67I8chcyHcQBSUYQ9pqWl +bLF3JYgVqAiRRoahVW2DZxQQQmnUWOna+qpiIN3x3jGKHxikKeulXDLT07Qs/4vRhLsBsiq6CjH8 +w7W3zQbLYUO40T8ckg== +`pragma protect end_protected diff --git a/src/hdl/fpga_hypernet_dir/impl/gwsynthesis/fpga_hypernet_dir_syn.rpt.html b/src/hdl/fpga_hypernet_dir/impl/gwsynthesis/fpga_hypernet_dir_syn.rpt.html new file mode 100644 index 0000000..9d6a4d6 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/impl/gwsynthesis/fpga_hypernet_dir_syn.rpt.html @@ -0,0 +1,1793 @@ + + + +synthesis Report + + + +
+
+ +
+
+

Synthesis Messages

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Report TitleGowinSynthesis Report
Design FileC:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v
+C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_rx.v
+C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_tx.v
+C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\blinky.vhd
+C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_clkdiv\gowin_clkdiv2.vhd
+C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_clkdiv\gowin_clkdiv4.vhd
+C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_clkdiv\gowin_clkdiv5.vhd
+C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_fifo\gowin_fifo24.vhd
+C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_rpll\gowin_rpll_123M.vhd
+C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\gowin_spdif_tx.vhd
+C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_synced_i2s_clocks.vhd
+C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_tx_channel_status.vhd
+C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\i2s_quad_receiver.vhd
+C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\i2s_quad_transmitter.vhd
+C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_demux.vhd
+C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_mux.vhd
+
GowinSynthesis Constraints File---
Tool VersionV1.9.10.03 Education (64-bit)
Part NumberGW1NR-LV9QN88PC6/I5
DeviceGW1NR-9
Device VersionC
Created TimeMon Oct 6 00:36:55 2025 +
Legal AnnouncementCopyright (C)2014-2024 Gowin Semiconductor Corporation. ALL rights reserved.
+

Synthesis Details

+ + + + + + + + + + + + + +
Top Level Moduletop
Synthesis ProcessRunning parser:
    CPU time = 0h 0m 0.359s, Elapsed time = 0h 0m 0.376s, Peak memory usage = 350.910MB
Running netlist conversion:
    CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0s, Peak memory usage = 0MB
Running device independent optimization:
    Optimizing Phase 0: CPU time = 0h 0m 0.093s, Elapsed time = 0h 0m 0.084s, Peak memory usage = 350.910MB
    Optimizing Phase 1: CPU time = 0h 0m 0.031s, Elapsed time = 0h 0m 0.029s, Peak memory usage = 350.910MB
    Optimizing Phase 2: CPU time = 0h 0m 0.046s, Elapsed time = 0h 0m 0.051s, Peak memory usage = 350.910MB
Running inference:
    Inferring Phase 0: CPU time = 0h 0m 0.015s, Elapsed time = 0h 0m 0.014s, Peak memory usage = 350.910MB
    Inferring Phase 1: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.002s, Peak memory usage = 350.910MB
    Inferring Phase 2: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.007s, Peak memory usage = 350.910MB
    Inferring Phase 3: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.004s, Peak memory usage = 350.910MB
Running technical mapping:
    Tech-Mapping Phase 0: CPU time = 0h 0m 0.062s, Elapsed time = 0h 0m 0.058s, Peak memory usage = 350.910MB
    Tech-Mapping Phase 1: CPU time = 0h 0m 0.015s, Elapsed time = 0h 0m 0.016s, Peak memory usage = 350.910MB
    Tech-Mapping Phase 2: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.009s, Peak memory usage = 350.910MB
    Tech-Mapping Phase 3: CPU time = 0h 0m 0.828s, Elapsed time = 0h 0m 0.834s, Peak memory usage = 350.910MB
    Tech-Mapping Phase 4: CPU time = 0h 0m 0.078s, Elapsed time = 0h 0m 0.085s, Peak memory usage = 350.910MB
Generate output files:
    CPU time = 0h 0m 0.109s, Elapsed time = 0h 0m 0.108s, Peak memory usage = 350.910MB
Total Time and Memory UsageCPU time = 0h 0m 1s, Elapsed time = 0h 0m 1s, Peak memory usage = 350.910MB
+

Resource

+

Resource Usage Summary

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ResourceUsage
I/O Port 31
I/O Buf 28
    IBUF11
    OBUF13
    TBUF4
Register 1326
    DFF26
    DFFE704
    DFFS5
    DFFSE5
    DFFR217
    DFFRE246
    DFFP5
    DFFC82
    DFFCE36
LUT 574
    LUT11
    LUT266
    LUT3267
    LUT4240
ALU 114
    ALU114
INV 20
    INV20
IOLOGIC 1
    ODDR1
BSRAM 1
    SDPB1
CLOCK 4
    CLKDIV3
    rPLL1
+

Resource Utilization Summary

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ResourceUsageUtilization
Logic708(594 LUT, 114 ALU) / 86409%
Register1326 / 669320%
  --Register as Latch0 / 66930%
  --Register as FF1326 / 669320%
BSRAM1 / 264%
+

Timing

+

Clock Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NO.Clock NameTypePeriodFrequency(MHz)RiseFallSourceMasterObject
1sys_clkBase37.03727.00.00018.519 sys_clk_ibuf/I
2dir_sclkBase20.00050.00.00010.000 dir_sclk_ibuf/I
3dir_bclkBase20.00050.00.00010.000 dir_bclk_ibuf/I
4main_tx_1_inst/gowin_fifo/fifo_inst/n9Base20.00050.00.00010.000 main_tx_1_inst/gowin_fifo/fifo_inst/n9_s2/F
5main_tx_1_inst/clocks/i2s_in_bclk_dBase20.00050.00.00010.000 main_tx_1_inst/clocks/bclk_s1/Q
6pll/rpll_inst/CLKOUT.default_gen_clkGenerated8.130123.00.0004.065sys_clk_ibuf/Isys_clkpll/rpll_inst/CLKOUT
7pll/rpll_inst/CLKOUTP.default_gen_clkGenerated8.130123.00.0004.065sys_clk_ibuf/Isys_clkpll/rpll_inst/CLKOUTP
8pll/rpll_inst/CLKOUTD.default_gen_clkGenerated16.26061.50.0008.130sys_clk_ibuf/Isys_clkpll/rpll_inst/CLKOUTD
9pll/rpll_inst/CLKOUTD3.default_gen_clkGenerated24.39041.00.00012.195sys_clk_ibuf/Isys_clkpll/rpll_inst/CLKOUTD3
10main_rx_1_inst/sclk_div/clkdiv_inst/CLKOUT.default_gen_clkGenerated80.00012.50.00040.000dir_sclk_ibuf/Idir_sclkmain_rx_1_inst/sclk_div/clkdiv_inst/CLKOUT
11clk5/clkdiv_inst/CLKOUT.default_gen_clkGenerated40.65024.60.00020.325pll/rpll_inst/CLKOUTpll/rpll_inst/CLKOUT.default_gen_clkclk5/clkdiv_inst/CLKOUT
12clk2/clkdiv_inst/CLKOUT.default_gen_clkGenerated81.30112.30.00040.650clk5/clkdiv_inst/CLKOUTclk5/clkdiv_inst/CLKOUT.default_gen_clkclk2/clkdiv_inst/CLKOUT
+

Max Frequency Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NO.Clock NameConstraintActual FmaxLogic LevelEntity
1dir_sclk50.000(MHz)90.307(MHz)5TOP
2dir_bclk50.000(MHz)198.072(MHz)3TOP
3main_tx_1_inst/gowin_fifo/fifo_inst/n950.000(MHz)549.954(MHz)1TOP
4main_tx_1_inst/clocks/i2s_in_bclk_d50.000(MHz)81.444(MHz)7TOP
5main_rx_1_inst/sclk_div/clkdiv_inst/CLKOUT.default_gen_clk12.500(MHz)93.313(MHz)3TOP
6clk2/clkdiv_inst/CLKOUT.default_gen_clk12.300(MHz)74.949(MHz)6TOP
+

Detail Timing Paths Information

+

Path 1

+Path Summary:
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack7.722
Data Arrival Time12.604
Data Required Time20.326
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq2_rptr_3_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/Almost_Full_s0
Launch Clkmain_tx_1_inst/clocks/i2s_in_bclk_d[R]
Latch Clkmain_tx_1_inst/clocks/i2s_in_bclk_d[R]
+Data Arrival Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
0.0000.000 main_tx_1_inst/clocks/i2s_in_bclk_d
0.0000.000tCLRR25main_tx_1_inst/clocks/bclk_s1/Q
0.7260.726tNETRR1main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq2_rptr_3_s0/CLK
1.1840.458tC2QRF4main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq2_rptr_3_s0/Q
2.1440.960tNETFF1main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rcount_w_1_s1/I1
3.2431.099tINSFF2main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rcount_w_1_s1/F
4.2030.960tNETFF1main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rcount_w_0_s0/I0
5.2351.032tINSFF1main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rcount_w_0_s0/F
6.1950.960tNETFF2main_tx_1_inst/gowin_fifo/fifo_inst/wcnt_sub_0_s/I1
7.2401.045tINSFF1main_tx_1_inst/gowin_fifo/fifo_inst/wcnt_sub_0_s/COUT
7.2400.000tNETFF2main_tx_1_inst/gowin_fifo/fifo_inst/wcnt_sub_1_s/CIN
7.8030.563tINSFF1main_tx_1_inst/gowin_fifo/fifo_inst/wcnt_sub_1_s/SUM
8.7630.960tNETFF1main_tx_1_inst/gowin_fifo/fifo_inst/awfull_val_s1/I2
9.5850.822tINSFF1main_tx_1_inst/gowin_fifo/fifo_inst/awfull_val_s1/F
10.5450.960tNETFF1main_tx_1_inst/gowin_fifo/fifo_inst/awfull_val_s2/I1
11.6441.099tINSFF1main_tx_1_inst/gowin_fifo/fifo_inst/awfull_val_s2/F
12.6040.960tNETFF1main_tx_1_inst/gowin_fifo/fifo_inst/Almost_Full_s0/D
+Data Required Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
20.0000.000 main_tx_1_inst/clocks/i2s_in_bclk_d
20.0000.000tCLRR25main_tx_1_inst/clocks/bclk_s1/Q
20.7260.726tNETRR1main_tx_1_inst/gowin_fifo/fifo_inst/Almost_Full_s0/CLK
20.326-0.400tSu 1main_tx_1_inst/gowin_fifo/fifo_inst/Almost_Full_s0
+Path Statistics: + + + + + + + + + + + + + + + + + + + +
Clock Skew:0.000
Setup Relationship:20.000
Logic Level:7
Arrival Clock Path Delay: cell: 0.000, 0.000%; route: 0.726, 100.000%
Arrival Data Path Delay: cell: 5.660, 47.650%; route: 5.760, 48.491%; tC2Q: 0.458, 3.859%
Required Clock Path Delay: cell: 0.000, 0.000%; route: 0.726, 100.000%
+
+

Path 2

+Path Summary:
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack8.927
Data Arrival Time11.399
Data Required Time20.326
Frommain_rx_1_inst/transmitter/sample_ch_4_l_buf_play_16_s0
Tomain_rx_1_inst/transmitter/sdout_4_s0
Launch Clkdir_sclk[R]
Latch Clkdir_sclk[R]
+Data Arrival Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
0.0000.000 dir_sclk
0.0000.000tCLRR1dir_sclk_ibuf/I
0.0000.000tINSRR371dir_sclk_ibuf/O
0.7260.726tNETRR1main_rx_1_inst/transmitter/sample_ch_4_l_buf_play_16_s0/CLK
1.1840.458tC2QRF1main_rx_1_inst/transmitter/sample_ch_4_l_buf_play_16_s0/Q
2.1440.960tNETFF1main_rx_1_inst/transmitter/n1648_s77/I1
3.2431.099tINSFF1main_rx_1_inst/transmitter/n1648_s77/F
4.2030.960tNETFF1main_rx_1_inst/transmitter/n1648_s69/I1
4.3520.149tINSFF1main_rx_1_inst/transmitter/n1648_s69/O
5.3120.960tNETFF1main_rx_1_inst/transmitter/n1648_s67/I1
5.4750.163tINSFF1main_rx_1_inst/transmitter/n1648_s67/O
6.4350.960tNETFF1main_rx_1_inst/transmitter/n1648_s63/I1
6.5980.163tINSFF1main_rx_1_inst/transmitter/n1648_s63/O
7.5580.960tNETFF1main_rx_1_inst/transmitter/n1652_s3/I1
8.6571.099tINSFF1main_rx_1_inst/transmitter/n1652_s3/F
9.6170.960tNETFF1main_rx_1_inst/transmitter/n1652_s0/I2
10.4390.822tINSFF1main_rx_1_inst/transmitter/n1652_s0/F
11.3990.960tNETFF1main_rx_1_inst/transmitter/sdout_4_s0/D
+Data Required Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
20.0000.000 dir_sclk
20.0000.000tCLRR1dir_sclk_ibuf/I
20.0000.000tINSRR371dir_sclk_ibuf/O
20.7260.726tNETRR1main_rx_1_inst/transmitter/sdout_4_s0/CLK
20.326-0.400tSu 1main_rx_1_inst/transmitter/sdout_4_s0
+Path Statistics: + + + + + + + + + + + + + + + + + + + +
Clock Skew:0.000
Setup Relationship:20.000
Logic Level:5
Arrival Clock Path Delay: cell: 0.000, 0.000%; route: 0.726, 100.000%
Arrival Data Path Delay: cell: 3.495, 32.745%; route: 6.720, 62.961%; tC2Q: 0.458, 4.294%
Required Clock Path Delay: cell: 0.000, 0.000%; route: 0.726, 100.000%
+
+

Path 3

+Path Summary:
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack8.927
Data Arrival Time11.399
Data Required Time20.326
Frommain_rx_1_inst/transmitter/sample_ch_3_l_buf_play_16_s0
Tomain_rx_1_inst/transmitter/sdout_3_s0
Launch Clkdir_sclk[R]
Latch Clkdir_sclk[R]
+Data Arrival Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
0.0000.000 dir_sclk
0.0000.000tCLRR1dir_sclk_ibuf/I
0.0000.000tINSRR371dir_sclk_ibuf/O
0.7260.726tNETRR1main_rx_1_inst/transmitter/sample_ch_3_l_buf_play_16_s0/CLK
1.1840.458tC2QRF1main_rx_1_inst/transmitter/sample_ch_3_l_buf_play_16_s0/Q
2.1440.960tNETFF1main_rx_1_inst/transmitter/n1642_s77/I1
3.2431.099tINSFF1main_rx_1_inst/transmitter/n1642_s77/F
4.2030.960tNETFF1main_rx_1_inst/transmitter/n1642_s69/I1
4.3520.149tINSFF1main_rx_1_inst/transmitter/n1642_s69/O
5.3120.960tNETFF1main_rx_1_inst/transmitter/n1642_s67/I1
5.4750.163tINSFF1main_rx_1_inst/transmitter/n1642_s67/O
6.4350.960tNETFF1main_rx_1_inst/transmitter/n1642_s63/I1
6.5980.163tINSFF1main_rx_1_inst/transmitter/n1642_s63/O
7.5580.960tNETFF1main_rx_1_inst/transmitter/n1651_s3/I1
8.6571.099tINSFF1main_rx_1_inst/transmitter/n1651_s3/F
9.6170.960tNETFF1main_rx_1_inst/transmitter/n1651_s0/I2
10.4390.822tINSFF1main_rx_1_inst/transmitter/n1651_s0/F
11.3990.960tNETFF1main_rx_1_inst/transmitter/sdout_3_s0/D
+Data Required Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
20.0000.000 dir_sclk
20.0000.000tCLRR1dir_sclk_ibuf/I
20.0000.000tINSRR371dir_sclk_ibuf/O
20.7260.726tNETRR1main_rx_1_inst/transmitter/sdout_3_s0/CLK
20.326-0.400tSu 1main_rx_1_inst/transmitter/sdout_3_s0
+Path Statistics: + + + + + + + + + + + + + + + + + + + +
Clock Skew:0.000
Setup Relationship:20.000
Logic Level:5
Arrival Clock Path Delay: cell: 0.000, 0.000%; route: 0.726, 100.000%
Arrival Data Path Delay: cell: 3.495, 32.745%; route: 6.720, 62.961%; tC2Q: 0.458, 4.294%
Required Clock Path Delay: cell: 0.000, 0.000%; route: 0.726, 100.000%
+
+

Path 4

+Path Summary:
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack8.927
Data Arrival Time11.399
Data Required Time20.326
Frommain_rx_1_inst/transmitter/sample_ch_2_l_buf_play_16_s0
Tomain_rx_1_inst/transmitter/sdout_2_s0
Launch Clkdir_sclk[R]
Latch Clkdir_sclk[R]
+Data Arrival Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
0.0000.000 dir_sclk
0.0000.000tCLRR1dir_sclk_ibuf/I
0.0000.000tINSRR371dir_sclk_ibuf/O
0.7260.726tNETRR1main_rx_1_inst/transmitter/sample_ch_2_l_buf_play_16_s0/CLK
1.1840.458tC2QRF1main_rx_1_inst/transmitter/sample_ch_2_l_buf_play_16_s0/Q
2.1440.960tNETFF1main_rx_1_inst/transmitter/n1636_s77/I1
3.2431.099tINSFF1main_rx_1_inst/transmitter/n1636_s77/F
4.2030.960tNETFF1main_rx_1_inst/transmitter/n1636_s69/I1
4.3520.149tINSFF1main_rx_1_inst/transmitter/n1636_s69/O
5.3120.960tNETFF1main_rx_1_inst/transmitter/n1636_s67/I1
5.4750.163tINSFF1main_rx_1_inst/transmitter/n1636_s67/O
6.4350.960tNETFF1main_rx_1_inst/transmitter/n1636_s63/I1
6.5980.163tINSFF1main_rx_1_inst/transmitter/n1636_s63/O
7.5580.960tNETFF1main_rx_1_inst/transmitter/n1650_s3/I1
8.6571.099tINSFF1main_rx_1_inst/transmitter/n1650_s3/F
9.6170.960tNETFF1main_rx_1_inst/transmitter/n1650_s0/I2
10.4390.822tINSFF1main_rx_1_inst/transmitter/n1650_s0/F
11.3990.960tNETFF1main_rx_1_inst/transmitter/sdout_2_s0/D
+Data Required Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
20.0000.000 dir_sclk
20.0000.000tCLRR1dir_sclk_ibuf/I
20.0000.000tINSRR371dir_sclk_ibuf/O
20.7260.726tNETRR1main_rx_1_inst/transmitter/sdout_2_s0/CLK
20.326-0.400tSu 1main_rx_1_inst/transmitter/sdout_2_s0
+Path Statistics: + + + + + + + + + + + + + + + + + + + +
Clock Skew:0.000
Setup Relationship:20.000
Logic Level:5
Arrival Clock Path Delay: cell: 0.000, 0.000%; route: 0.726, 100.000%
Arrival Data Path Delay: cell: 3.495, 32.745%; route: 6.720, 62.961%; tC2Q: 0.458, 4.294%
Required Clock Path Delay: cell: 0.000, 0.000%; route: 0.726, 100.000%
+
+

Path 5

+Path Summary:
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack8.927
Data Arrival Time11.399
Data Required Time20.326
Frommain_rx_1_inst/transmitter/sample_ch_1_l_buf_play_16_s0
Tomain_rx_1_inst/transmitter/sdout_1_s0
Launch Clkdir_sclk[R]
Latch Clkdir_sclk[R]
+Data Arrival Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
0.0000.000 dir_sclk
0.0000.000tCLRR1dir_sclk_ibuf/I
0.0000.000tINSRR371dir_sclk_ibuf/O
0.7260.726tNETRR1main_rx_1_inst/transmitter/sample_ch_1_l_buf_play_16_s0/CLK
1.1840.458tC2QRF1main_rx_1_inst/transmitter/sample_ch_1_l_buf_play_16_s0/Q
2.1440.960tNETFF1main_rx_1_inst/transmitter/n1630_s77/I1
3.2431.099tINSFF1main_rx_1_inst/transmitter/n1630_s77/F
4.2030.960tNETFF1main_rx_1_inst/transmitter/n1630_s69/I1
4.3520.149tINSFF1main_rx_1_inst/transmitter/n1630_s69/O
5.3120.960tNETFF1main_rx_1_inst/transmitter/n1630_s67/I1
5.4750.163tINSFF1main_rx_1_inst/transmitter/n1630_s67/O
6.4350.960tNETFF1main_rx_1_inst/transmitter/n1630_s63/I1
6.5980.163tINSFF1main_rx_1_inst/transmitter/n1630_s63/O
7.5580.960tNETFF1main_rx_1_inst/transmitter/n1649_s3/I1
8.6571.099tINSFF1main_rx_1_inst/transmitter/n1649_s3/F
9.6170.960tNETFF1main_rx_1_inst/transmitter/n1649_s0/I2
10.4390.822tINSFF1main_rx_1_inst/transmitter/n1649_s0/F
11.3990.960tNETFF1main_rx_1_inst/transmitter/sdout_1_s0/D
+Data Required Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
20.0000.000 dir_sclk
20.0000.000tCLRR1dir_sclk_ibuf/I
20.0000.000tINSRR371dir_sclk_ibuf/O
20.7260.726tNETRR1main_rx_1_inst/transmitter/sdout_1_s0/CLK
20.326-0.400tSu 1main_rx_1_inst/transmitter/sdout_1_s0
+Path Statistics: + + + + + + + + + + + + + + + + + + + +
Clock Skew:0.000
Setup Relationship:20.000
Logic Level:5
Arrival Clock Path Delay: cell: 0.000, 0.000%; route: 0.726, 100.000%
Arrival Data Path Delay: cell: 3.495, 32.745%; route: 6.720, 62.961%; tC2Q: 0.458, 4.294%
Required Clock Path Delay: cell: 0.000, 0.000%; route: 0.726, 100.000%
+
+
+
+ + diff --git a/src/hdl/fpga_hypernet_dir/impl/gwsynthesis/fpga_hypernet_dir_syn_resource.html b/src/hdl/fpga_hypernet_dir/impl/gwsynthesis/fpga_hypernet_dir_syn_resource.html new file mode 100644 index 0000000..051f9de --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/impl/gwsynthesis/fpga_hypernet_dir_syn_resource.html @@ -0,0 +1,196 @@ + + + +Hierarchy Module Resource + + + +
+
+

Hierarchy Module Resource

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
MODULE NAMEREG NUMBERALU NUMBERLUT NUMBERDSP NUMBERBSRAM NUMBERSSRAM NUMBERROM16 NUMBER
top (C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v)--1----
    |--pll + (C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v)-------
    |--clk5 + (C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v)-------
    |--clk2 + (C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v)-------
    |--tx_status + (C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v)242210----
    |--main_tx_1_inst + (C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v)1238127-1--
        |--channel_status + (C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_tx.v)12-27----
        |--clocks + (C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_tx.v)703180----
        |--receiver + (C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_tx.v)370-22----
        |--muxer + (C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_tx.v)29-105----
    |--rx_status + (C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v)242212----
    |--main_rx_1_inst + (C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v)-------
        |--demuxer + (C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_rx.v)234-9----
        |--sclk_div + (C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_rx.v)-------
        |--clocks + (C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_rx.v)703181----
        |--transmitter + (C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_rx.v)370-120----
+
+
+ + diff --git a/src/hdl/fpga_hypernet_dir/impl/gwsynthesis/fpga_hypernet_dir_syn_rsc.xml b/src/hdl/fpga_hypernet_dir/impl/gwsynthesis/fpga_hypernet_dir_syn_rsc.xml new file mode 100644 index 0000000..3cd32d0 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/impl/gwsynthesis/fpga_hypernet_dir_syn_rsc.xml @@ -0,0 +1,20 @@ + + + + + + + + + + + + + + + + + + + + diff --git a/src/hdl/fpga_hypernet_dir/impl/pnr/cmd.do b/src/hdl/fpga_hypernet_dir/impl/pnr/cmd.do new file mode 100644 index 0000000..41188d9 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/impl/pnr/cmd.do @@ -0,0 +1,15 @@ +-d C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\impl\gwsynthesis\fpga_hypernet_dir.vg +-p GW1NR-9C-QFN88P-6 +-pn GW1NR-LV9QN88PC6/I5 +-cst C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\fpga_hypernet_dir.cst +-cfg C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\impl\pnr\device.cfg +-sdc C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\fpga_hypernet_dir.sdc +-bit +-tr +-ph +-timing +-cst_error +-convert_sdp32_36_to_sdp16_18 +-correct_hold 1 +-route_maxfan 23 +-global_freq 50.000 diff --git a/src/hdl/fpga_hypernet_dir/impl/pnr/device.cfg b/src/hdl/fpga_hypernet_dir/impl/pnr/device.cfg new file mode 100644 index 0000000..3d1b6f7 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/impl/pnr/device.cfg @@ -0,0 +1,22 @@ +set JTAG regular_io = false +set SSPI regular_io = true +set MSPI regular_io = true +set READY regular_io = true +set DONE regular_io = true +set I2C regular_io = false +set RECONFIG_N regular_io = false +set CRC_check = true +set compress = false +set encryption = false +set security_bit_enable = true +set bsram_init_fuse_print = true +set background_programming = off +set secure_mode = false +set program_done_bypass = false +set wake_up = 0 +set format = binary +set power_on_reset_monitor = true +set multiboot_spi_flash_address = 0x00000000 +set vccx = 3.3 +set vcc = 1.2 +set unused_pin = default diff --git a/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.bin b/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.bin new file mode 100644 index 0000000..fea465b Binary files /dev/null and b/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.bin differ diff --git a/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.binx b/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.binx new file mode 100644 index 0000000..b16bd92 Binary files /dev/null and b/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.binx differ diff --git a/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.db b/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.db new file mode 100644 index 0000000..8fd6313 Binary files /dev/null and b/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.db differ diff --git a/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.fs b/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.fs new file mode 100644 index 0000000..51f97e5 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.fs @@ -0,0 +1,1260 @@ +//Copyright (C)2014-2024 Gowin Semiconductor Corporation. +//All rights reserved. +//File Title: Bitstream file +//Tool Version: V1.9.10.03 Education (64-bit) +//Device: GW1NR-9 +//Device Version: C +//Part Number: GW1NR-LV9QN88PC6/I5 +//Device-package: GW1NR-9C-QFN88P +//BackgroundProgramming: OFF +//CheckSum: 0x1C30 +//UserCode: 0x00001C30 +//LoadingRate: 2.500MHz +//CRCCheck: ON +//Compress: OFF +//Encryption: OFF +//SecurityBit: ON +//SecureMode: OFF +//JTAGAsRegularIO: OFF +//MultiBootSPIAddr: 0x00000000 +//Created Time: Mon Oct 6 00:36:59 2025 +1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111 +1010010111000011 +0000011000000000000000000000000000010001000000000100100000011011 +0001000000000000000000000000000000000000000000000000000000000000 +0101000100000000111111111111111111111111111111111111111111111111 +00001011000000000000000000000000 +1101001000000000111111111111111100000000000000000000000000000000 +00010010000000000000000000000000 +00111011100000000000010011001000 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000011000000010000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110100011001101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111101110110000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000001010110110010100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000001010100000000100000000000000000000000000000000000000000000000000000000000100000000000100000000011101000001010000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000001101100000000100100100011111110001001011000111111111111111111111111111111111111111111111111 +111100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000011001000000000001100000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000001100000000000000000000000000000000000000001100000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000011000001100000000000000000000000000000000000000000001100011000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000101111000101010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000001100100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001101011100000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000010101000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000000000000000100000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000100000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000001111101011001101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000100000000000000000000000000000000000000001101000111101101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000100000000010110110100011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000100000000100111010111001111111111111111111111111111111111111111111111111 +111100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000101000001111110110110001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000011000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000011011000000000000000000000000000000010000000000010010000000000000001110011001111101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000100000000000000000000000000000000000000000000000000000001000000110101111000011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000101000000000000000001010101110101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000100000000000000000000000000000000111100111100011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000001100000000000000001101110010100010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000111011001101111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000100000000000001001101111101110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110110101010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000001100000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000111100000011111000000001010110110110111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000100000000010010000000000000000001001111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000000001100111111100101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000100100000000000100000001110101000001001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000001000000000000000000000000000000000000000000000000001100000000000000000000000000000010001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101011100101110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000100110011010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111111110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100100010111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111111110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100100010111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000110101000011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000001000111111001011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000001000110101100111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000001000110101100111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000110101010111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000100000000000000000100000000000000000000000000000000000000000100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110001011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100111110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000100000000000000000100000000000000000000000000000000000000000100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011100110000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011010100100000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000110101000011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000110011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010011111001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000110101010111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010101001011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000100111111111111111111111111111111111111111111111111 +111100000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100100011010111111111111111111111111111111111111111111111111 +111100000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100011010110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000110010000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011101111000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000110101000011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000110101010111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110101100000101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111101000101100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100101100000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111101101111101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000110101010111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000110101011000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001000110101101011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000110101000011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000110101010111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110111011101011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100110111011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100110111011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111001110100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000110100011010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011001101001011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000110101010111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110111111110110000000000000000000000000000001100011000000000000000000000000000000000000000000000000000000000000000000011110111111110110000000000000000000000000000001100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000101111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011010000110100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000110000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000110000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001010000011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011001100010000000000000000000000000000001100011000000000000000000000000000000000000000000000000000000000000000000001100011001100010000000000000000000000000000001100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000001101010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100010001011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110111010100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100010000001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000110000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000000000000000010000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111000111000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000001000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001111001101110101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100110011110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001110011000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001110011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111110110001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101110111001010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000110010110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100101001111101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111001111110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010000010110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110010100001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000011010011100101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010100010010010100000000000000000001100011001100011000000001100111001100101111111011011111011011111011011111011000000001100111001110001111111011011111011011111011011111011000000001100111001100111111111011011111011011111011011111011000000001100111001100010111111011011111011011111011011111011000000011110110000110000011111011011111011011111011000000100000000000000010000100001000000000000000000101100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110001100011001100011000000000000000000000000000110111011110111101100011001100011001100011001100011001111001000000000000000000000001000000000000000001000000000001100011110111111000111100000000001100011000000000001100011000011011100111111000111101100011001100011001100011001100011001111011110111111110011100000110001100011001100011001110011001111001110011111100001100000000001100011001100011001100011001111011110111110110001100000000001100011001110011001100011001111011110110011110111001110011001100011000100000001110011000000000100010110101101100100001100100011000100000001000010001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000001100011001100101000000000000000001110111011000111101100011001100011001100011001100001000000010010100100100101000000000000000000001000011011111011000000011110111111000111100000110001100010100000000001100011000000000000000000000000000000000000000000000000000000000001100000010010100110010100100000000000000000000000010001100011000000000110101111100111101100011001100011001100011001100011001111011000000000000001111111011000000000000000000000110000000000000000000000000000000000000000000000001100011000000000001111000010100110100101100000000000110000001100011001100011000000010010101110011011000110000001100011011111011011111011000000010101111001100111111111011011111011011111011011111011000000001100110001100011111111011011111011011111011011111011100000000100111001100001111111011111111011011111011011111011000000010110100111010010000000000000000000001100011011111011000000011100111100000000000000000000000000011111011000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011110001010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010011000000000000000000000000000000000000000000010000100000001000000000000000000000000000000000000000000000000000100010001000000000000000000000000000000000000000000000010000101000001000000000000000000000000000000000000000000000000000100000001001000000000000000000000000000000000000000000011100001000000000000000000000000000000000000000000000000000000000001010000100000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000001000000100000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000001000000101000000100000000000000000000000000000000000000100011000100101000000100000000000000000000000000000000000000100001000000101000000100000000000000000000000000000000000000100000000001101000000100000000000000000000000000000000000010000001000000101000000100000000000000000010000000000000000010000000010000000010000010000000000000000010000000000100000000000000001000000001000000000000100000000010000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000100000000000000000000000000000001000000100000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000010010000010010000000000000000011000000000010100101000000000000000000000000000000000000000000000000000000000010000000000010010000010010000000000000000000000000000000000000000000000000000111000000100000000000000000000000000000000000000100000110000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010010010110000000000000000000000000000010100101000000001000010000000101000000000000000000000000000000000000000000010000100000001000000000000000000000000000000000000000000000000000001000001101000000000000000000000000000000000000100000000000101000000010000000100100000000000000000000000000000000000011000000010001000000000000000000010100001000000000000000010010010000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011110010010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001001000000000000000000000000000000100000000100000000000001010000010010000111000000111000000111000000111000000000000011010000100000000111000000111000000111000000111000000000000000010000010010000111000000111000000111000000111000000000000011010000010000000111000000111000000111000000111000000000010000010010000000000111000000111000000111000000000010000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000000000010000000110000000100000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000010100010000000100000000100000000000000000000000000000000000000000000000000100001000100000000000000000000000000000000000010010010000000110000000000000000100000000000000000001000000010010010000000000000000000000000000000000000000000000000000010010010000000110000000000000000000000000010000000000000000010010001000001001000001010000000000000000000000000000111000000000000000000000000010000000100000000100000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010000100100000100100000000000000000000000000000000100000000001000001000000101000000000000000000000000100000111000000000001000001000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001001000001000000000000000000000000000000000100000000010000010000000000100000000000000000000000000000000000001010000000000000000000000111000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000001010001000001000000001000000000001000000000000100000000000000000000100100110000000001000000000000100000111000000111000000000000001010000001010000111000000111000000111000000111000000000000010000100010000000111000000111000000111000000111000000000000010010000010000000111000000111000000111000000111000000000001000001001000000000000000000000000000000100000111000000000000000101000000000000000000000000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011001110100110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000110001000100000000010000000010100000010100000010100000010100000010100000000000010000000010100000010100000010100000010100000010100000000000010000000010011000010100000010100000010100000010100000000000010000000010000000010100000010100000010100000010100000000000000000000100000000010100000010100000010100000000000000000000000000000000000000000000000000000010001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011001100011000000000000000000000000000100011001100011001100011001100011001100011001100011001000001000000000000000000000001000000000000000001000000000000000001100011001000011000000000001100011000000000001100011001000001000010001000001001100011001100011001100011001100011001000001100011001100001100000010001100011001100011001100011001000000101001001100001000000000001100011001100011001100011001000001100011000100001000000000001100011001000011001100011001000001100010001100011001000011001100011000000000000000100000000000100010000100001000100000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011000100001000000000000000010001000100001000101100011001100011001100011010001000000000000000000010000000000000000000000000000001000100010100000000001100011001000011000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000110001000100000000100001001000011001100011001100011001100011001100011000001000000000000000001000010100000000000000000000010000000000000000000000000000000000000000000000000001100011000000000000001000000000000000000000000000010000000010001000100000000000000000000000000000000010000000010001000100010100000010100000000000000000000110100000010100000010100000010100000010100000000000010000000010000000010100000010100000010100000010100000000000000000000010100000010000000010100000010100000010100000000000100000001000000000000000000000000000000000000010100000000000001010000000000000000000000000000000010100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011000011000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100100000100000000011000000000000011000000001000100110001000000100101100010000010000000110001111000000001000100110001000000100001110010001111000000000001111000000001000100110001001000100101100010000010000000100001111000000001000100110001001000100001110010001111000010000001111000000001000100110001000111100001100010000010000000100001111000000000000000100000000000000001100000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000001000000000000110000000000000110100110000000000000000000000001000000111000110000000011100001000111001000110000000000000000000000010000000000000000000000000110000000000000000000000001000000101000110000000011000001000111000000000000000010000001000000111000110011000111100001000111100000000000000000000001000000111000110001000011100001000111000000010000000000000001000000111000110000000011100000000111000010000000000000000001000000111000000000000011100000000111000000011000000000000001000000111000000001000101100011000001100000001000111000000001000000111001000001000001100011000011100010000100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100110001001000000001100000000110001000000000110000000001000000110001001000100001000000000111001010000000110000000000000001010000000000000011110000000111001100010000111000000001000000110001010001100001001011001111000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000011000000000000010000000001000000110001100101100011011001000011010100000000110000000001000000010001000000000000000000001111000010000000100000010000000000110000000010001100100010000010000000010000110000000000000000100000000000000101100000000010000100000000011000000001000000110001001100100101100000000010000000000001111000000001000000110001000000100001110010000110000000110001111000000001000000110001000000100001110010000011100000000001111000000001000000110000001000100001110010000011100000000101001000000000000000110000000011000111100000001001000100001001001000000000000000110000000000000100100000001011000000110001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100110111001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000011000000000000000000000100000000001000000001000100000000000011000000000000000000010110000000010000000000000100000000000011000000000000000000000100000000001000000000000100000000000011000000000001000000010110000000010000000000001110000000000011000000000000000000000100000000001000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000000000001001000001000000000000010000000010100100001000000000000000000001000000000000000000000000000000000000000000000000000000001000000001000000000000000000000010100000000000000010000000001001000001000100000000000000010010000000000000000000000000001001000001000000000000010000000010100000001000000000000000001001000001000000000000000000000010100000000000000000000000001001000000000000000000010000000010100000001000000000000000001001000000000000000001000100000100100000000000011000000000001001000000001000010000000000010001000000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000001000000000110000000000000100000000010000000000000000000000000000000001000000000000000000000000000000000000000010000000000000000100000000000000010100000000001000000000000000000010000010010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000010101000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000100000000000010000000001001000000000000000000000000000000000100000000001000000000001110000000000000000000000000000000010110000000000000000001000100000000000000000000000000000000010110000000101100000000000100000000000000000000000000000000010110000000101000001000000100000000000000000000000000000000001000000000000000000100010000000000000000000000000000000000000000000000001000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110110010110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000001000000000000000000000000000000000000001000000000000000010001010000000000000000000000000000000000001000000001000000000001010000000000000000000001001000000000001000000000000000010000010000000000000000000001000000000000001000000001000100000000010000000000000000000000000000000000001000000000000000010001010000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001100000000000000000000000000000000000000100000000110000000000100000000000100000000000000000000000000000000000000000000001100000000000000000000000000000000000000100000000110000000000100000000000000000001100000000000000000100000001110000000000100100000000000000000000000000000000000100000000110000000000100000000000000000000000000000000000000100000000110000000000100010000000000000000000000000000000000000000000110000000000100000000000000000000000000000000000000000100000000001000000000000000000000000000000000000000000000000000000000001000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001100000000000000000000000100000000000000000000000000000000001101010000000000000000000100100100000010000000000000000000001000000000000010000000000100100000000011000000000000000000001100001000000000001010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000100000000000000000000000000000001100001001000000100010100000000000000000000000000000000000001000001000000000000000000001000100000000000001101100000000001100000000000000000000000000000001000000000000000000000000000100000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000010000000000000000001100000000000000001000000001000000010001010000000000000000001100000000000000001000000000000000000001010000000000000000001101000000000000001000000000000000000000010000000000000000001100000000000000100000000000000000000000100000000000000000001100000000000000000000000000000000000101001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010111110000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000010000000000000000000000000000000010001010000000100000000000000000000000000000001000000000000010001010000000100000000000000000000000000000001000000000000010001000000000100000000000000000000000000000110000000000000010001000000000100000000000000000000000000000000000000000000010001010000000000001000000000000000000000000001000000000000000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000010000000000001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000001100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010000000000000001000000000000001000000000000000100000000000010000001000000001000000000000000000000000000000000010100000010000000000000100000000000000001000000000000000000000000010000100000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000010000000000001000000000100000001000000000000000000100000000110000000000001000000000000000000000000000000000000000000000100000000100000000000000000000000000000100000000000000000000110000000000000000000000000000000000000000010000000000000000000000000000001000000010001000000000000000000000000000000000000000000000001000000010000000100000000000010000000000000000001000000000001000000010000000100000000000000000000000000000001000000000001000000000000000100000000000001000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000100100001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001100000000000000000001111000110100000111100001100000001111001100000000110000000011010011111000000111100001100000001111001100000000110000000011010000110100000111100001100000001111001100000011111000000001010000110100000111100001100000001111101100000000110000000001010000110100000111100001100000000110111100100101111000000000000000000000000000000000000000000000111100100100000000000000000010000000000000000000000000000000000000000000000000000000000000110000000001110010100000000000000000000000000000000000000000110000000001110111000000000000001110000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110010000000000000000000000000000000000011010000110000000001110011100000000000001110000000110000000000000000000000000001110011000000000000001110000000110000000000000000000000000001110001000100100000001110000000110000000000000000000000000001110010000000000000001110000000000000000000010000110000110001110011100000000000000000001101111001111000000000000000110111100110100000010011000000011100000001110000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111011000000000000001110000000110000000000011000110000000001110011100000000010111100011000110000000000000000000000000000000000000100001111000100100100110101100000011100000000110001110101101000000001000000100100000010110000000000010000000000100000000000000000000100000000000000000000000000010001111000100000000000001100100100111100000001111000000000110000000101110001110010000000101110000000100000000001010100010000011000000010000000000100000000001100000001100011011000100001100000001011000000000000001110000000110000000000000000000000000000000000000000001100011100111100000000100000000000000000111111100010100000000110011100000011001000000001111011111000000111100001100000001111010100000000110000000011010000110100000111100001100000001111001100000000110000000011010100110100000111000001100000001111110001001000110000000000111000000000000000000000000000001110101100101100110001111011011000000010011000000101100000001110001101111100110101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100011010001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000001001000100000000000010001000000010000100100000000000000000000000000000000000000010001000000010000100100000000000000000001101000100000000000010001000000010000100100000000000000000000000000100000000000010001000000010000100000000000000000000000001000100000000000010001100000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000010001010000000000000000000000000000000000000000001010000000010000101000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000000000000000000000000000000000001100001010000000010000100000000000000010000000001010000000000000000000000000010001000000000000000010000000001010000000000000000000000000010000000000000000000010000000000010000000000000000000000000010000100000000000000010000000000000000000000000001010000000010001010000000000000000000000000000001000000000000000000100000010000110000001000000000000010000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000000000000010000000001010000000000000101010000000010001000000000000000001000000011010000000000000000000000000000000000000000010000000100000000100000000000000100000000001010000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000010000100000000000001010000000000000000000000000000000000000000010000000000000000100100000000000100000000000000000000000000000000000010000000000000000000000100000000000000010000000001010000000000000000000000000000000000000000000010000010100000000000000000000000000001000000010000010000000000000010000000000000000001001000000000000000010001000000010000000010000000000000000000000000100000000000010001000000010000100100000000000000000000101000100000000000010001000000010000000010000000000000000000000000000000000000000000000000000000000000000000000010001000000000000001000000000010000000000010100101000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111000011101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000110010000000000100000000000000010000000000100101010000000000110010000000000100000000000000010000000000000000000000000000110010000000000100000000000011000000000010000000000000000000110010000000000100010000000000010000000000000100000000000000110000000000010000110000000000000100000000000000000000000000000000000000000000110000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000000000000000000000010010000001000000000000000000001000110100000000000000000000000000000010011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000100000000000000000000000000000000000000010000000100000000000000000001000000000000000000000000000110000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000010000100100000000001000000000000000000000010000000001010000000010000000000000000000000000000000000000000000000000000000000000000000100000000010010100110000000010000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000000000000000000000000000000000110000000000010000000000000001000000000000000001000000000000110010000000000100000000000000010000000000100100000000000000110010000000000100000000000000010000000000000000000000000010000010000000000100000100000000010000000000000000000000000000000000000000011001000100000100000001000000100000000000000000000000100000000011000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111001010101011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000010000000000001010000000000000001000000000100001000000000000010000000000001010000000000000001000000000000000000000000000010000000000001010000000000000010000000001000000000000000000010000000000001010100000000000001000000000000000000000000000010000000000000100000100100010010000000000000000000000000000000000000000000000000100100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000100000000000000000000000000000010000010001100000000000000000000000010000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001010000000000100100000000000000000000000000000000000000000000000000000010001000000100010000001000000000000000100000000000010000000000000000000000010000100000000000000010000000000100000000000000000000100000000000000000000000000010001010000100000000000001000001000100100000001010000000000000000000001001010000000000000001000000000000000000000000100000000010000000000000000100000000001000000000000001000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100100010000000001000000000000110000000011000000000000100010100000000000000000000000001010000000000010000000000001010000100000000001000000000100000000000000000010000000000001010000000000000001000000000000000000000000000010000000000001010000000000000001000000001000000000000000000000000000000000000001010100010011001000000100000000000000000000000010000000000000000100010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011101101011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000110100000011110011110000110111100011100000001111000001011100110100110011110011110001110111100011100000001111000000101100110100110001110011110000110111100011100000001111000000101100110100110011110011110010111111100011100000001111000000011100110100110001110000000001101111100011100000000110000100111100100000110000000000000000000000000000000000000000000000000011111000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000110010110000000001110001010010000000000000000000110000000000000000000000000001111011000000000000000000000000000000000000110000110000000000000000000000000000000000000000000000000000110000110000110001110101000000000000000000001100110000000000110000110000000000000100010010000000000000000000110000000000110000110000000001111101100000000000000000000000110000000000110000110000000001111001000000000000000000000000110000000010110011110000010111100111000000000011000100001000010001010011110001110000000011000111110000000010000000111100000000110000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000001110101100000000000100100000000110000000000100001100000010001111111000010000000000000011000110000011000000001110000000000000100100000000000000000000000110100000000110001100000001011000010100000001111000000100100000000110000000000000000000000000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000001111000110000110000010001110101100000000000000000000000110000011010010000000001111111100111110000001100100000110010010001111000000000000000101101010111110010000000000000010000110001000000000011110000000000100000000000000000000000000011111000000011110011110000000111100011100000000110000000111100110000000001110001110000110111100011100000001111100000001111110000110011110011110001110111100011100000001111000000011100100100110001110011110000101111100011100000001111000000011101100010110000000011110000011000000111100000000010001000011000110010011000000000000001111111100011100000011111000000000000110100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101110111000001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000100000000000001000001000000000010000010000001000000001000000100000000000001000001000010000010000010000001000000000000100100000000000001000001000000000010000010000001000000000000100100000000000001000001001000000010000010000001000000000000010100000000000001000000010010000010000010000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000001010000010000000010000000000000000000000000000001010000000000000000000000000010001000000000000000000000000000000000000001010001010000000000000000000000000000000000000000000000000001010001010000010010000001000000000000000000000001010000000001010001010000000000000000001000000000000000000001010000000001010001010000000000000000000000000000000000000001010000000001010000010000000010000000000000000000000000000001010000000000010000001000000010010000010000100000000000000000000000000000001000001000000000010000110000000000000000000010000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000010000000000000000000000000000001010000000000010000001000000010000100001000000000000001000001010100000000000000001000000000000000100000000000000000000000100000000001010000001001000000011010000000100100000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000001010001010000000010000000000000000000000000000001010001000001000000000001000000010000010000000000000000100001000100101000000000000001000000000101000100000000000001000001010000000000000000001000000000100000000000000000000000000000000000000000001000001000000000010000010000000000000000000010000000000000001000001000010000010000010000001000000000001001011000000000001000001000011000010000010000001000000000100000000000000000001000001010000000010000010000001000000000110000011000000000000000001010000000001000000000000000100000101000000100000000000000000100101000010000010000001010000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000011011001111110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000000000000101100101100000000110000000000000010000000000000000000000000101100101100010000110000000000000010000000100100000000000000000100101110001000110000000000000010000000100100000010001000101100101110000000110000000000000010000000000000000010000000000100000000010000110000000000010000000000100000000010001000000000000000000000000000000000000000000000000001001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000000000000000000100000000000000000000000000000000000100000100000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000101100001000100000000000000000000001000000000000010000101100001100000000000100000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000110000000000000000000000000000100000000000100000000000000000000000000000000000000000000001100000000000100000000000000000000000000000000000000000000000100000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000001000000000000000000000000000000100000000000000000000000000000000000100000000000010000110110000100000010000100001000100001000000000000000000000000100010001000000000000000000000000000010000000001010100000000000000000000000000000000000001010000000000101100101100000010000000000000010000000000000000010000000000000100000100000000110000000000000010010000000000100000000000101100101100010000110000000000000010000000000000000000001000000100101110000000110000000000000010000000000000000010000000000000101100000000001000000000000000000000000000010000000000000000000001000000110000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010111001111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000011000100000001010000001000000000100100000000000000000100000011000100000001010000000000000000000101000010000000000000000011000100000001010000000000000000000000000000000000000000000011000100000001010000001000100000010000000010000000000000000011000100000000100000100000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000010000000000000000010000110000000010000100000000010010000000000000000000000000010000100000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000010000000000000000000000000000000000100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000010000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000010000000001000010000000000000000000000000010000000000000000000101000010000100000000001000000000000000000000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000111000100000000100000000000100001000000000010000010000100000011000100000001010000001000000000000101000000000000000000000011000100000001010000000001100000000001000010000000000000000011000100000001010000000000100000000000000000000000000010000000100010000010000000000000000001000000000000000000000000000011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111101111111000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100011100000000000000000000000000000000000000011110001111111100011110110001111001100000000000000000000000011110001111111100011101110001111110000000000000000000000000011110001111011100011111111001111001100000000000000000000000011110001111111100011111111001111001100000000000000000000000011110000110000000100011111001111111100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000000000000000000000000110000000101111001100000000000001110000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000001110001000000000000000000000010010000000000000000010000000001110010100110000000001110000000000000000000000000000000000101110001100110000000000000000000000000000000000010110000000011100011100110000000000100000000000000000000000000110000000001111011100000000000000000000000000000000000000011110001111111100001111111000000001110001100000000000000000001100000011111100011100000000111000100101100000000110000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000100100110000000000000000000000000000000000000110001111011000110100110000000001110000000000000000000000000000000000101101011000110100000000000100100000001111000000011110001010101100111000000001100000000011100000001111000000000000000000000100000000000000000000000000000010000000000000000000000000011000111100010000000000000000000100011111000000000110001110001110011100110000000001010000000000000000000000011110000101000000100100000001101111100011000000001110000000000110001111000000000101011000110000000000000000000000000000000000000000111100111100000000000100100100000000010111000000011111000111111100111101110010111000000111100100101111000000011110001111011100011101110001111110000000000100000000000000011111001111110000111101111001111001100000000100100000000000011110001111111000010111101001111001100000010100000000000000000100000111111100100100110000000000001111000000001101000000011110000010000000001000110100010000001001100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101011101101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000000000000000000001010000000010000110000001000100100000000000000000000000000001010000000010000110001001000001000000000000000000000000000001010000000010000110000001000100100000000000000000000000000001010000000010000110000001000100100000000000000000000000000001000000000000000000000001101001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000001010000000000000010000000000000010000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000010000001000000000000000000000000000000000000000001000000000010000000111010000000010000000000000000000000000000000000000000000001001010000000000000000000000000000000000000000000000011000000011010000000000000000000000000000000000001010000000000100000010000000000000000000000000000000000000000001001000000010000000000000000010000000000000000000000000000001100000000010010010000010010000000000000000000001000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000001010000000000000000000000000000000000001010001001000011000001010000000010000000000000000000000000000000000000000000100000100000000000000000000000001010000000000001000000000000000010000000100000000101000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000100000000000001010000000000000111001010000000000000000000000000000000000000001010100000000000000000010000011000000010000000000000000001010100000000000000001000000100000000000000000000000000000000000000000000010000010000000000000000000000000010000000000000000100100000010000010001010010000000000010000100000000000000001010000000010000110001001000001000000000000000000000000000000010000001000000010000001000100100000000000000000000000000001010000000010100100000001101100100000000000000000000000000010100000000010000000000000000000000000010000001010000000000001000001000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101110001110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000101100100000110000001000010010000000000000000000000000000000101100100000110000000000010010010000000000000000000000000000101100100000000000011000010010000000000000000000000000000000101100100000110000011000000011000000000000000000000000000000101110000000000001011000000010010010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010000000000000000000000000000000000000000001010000000001000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101101001000110010011000000000000000000100000000000000000000000100000000110000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000000000000100110000000000000000000000000000000000100000000000101100010011000100000000000100000000010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000010000000000000001000000000000000000000000000000000000000000000000000000101100000000000100000000011000000100000000000001001000001100000001001000000010000000000001000000000000000000000000000000000000000000110001000000000000010010100000000000000000000000101000000000110100000000000000000000000000000001000000000000101100100000000000000001010010010000000010000000000000000000101000100010000100000000000011000000000000000000000000000000101100100010000000001000000010000000000001000000000000000000000010000000110100000001100000000000100000000001000000000000101100000000000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000100000000000000000000000000000000000000000000001010000010000101010000100000000000000000000000000000000000001010000010000101010000100000000000000000000000000000000000001010000110000100010000100000000000000000000000000000000000001010000010000100010100000000000000000000000000000000000000000100000000000000010000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000100000000000000000100000000000000000000000000000000000000000100000000000000000000000000000000000000000000000001000000010010000010000000000001000000000000000000000000000000010000010000100000000010000000110010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000001000000011000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000000010000000000000000100001000000100000000000000000000000000000000000000000000000000000100000000000000000000000000000010000000000000000000000000010000001100010000000000000000001000000001000000000000001100000100000000000000000000000000000000000000000000000000000000000000001000000000000000010010000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000000010001100000000000000000000000000100000000000001000000010000010100101100000000000000100110000001010000000000000001010000110000101000000100000000000000000000000000000001000001010000000100101010100000000000000000000000000000000000000001010000010000001000000000000000000001000000000000000000000000010000010001000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111010101011111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110010000000000011111110111111001000000000000001001111111111110010000000000011111110111111001000000000000001001111111111110010000000000011111110111111001000000000000001001111111111110010000000000011111111111111001000000000000001001111111111110010000000000011101111111111001000000000000001001111111111111110000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000111100000000100000000000000000000000000000000000000000000000111100000000100000010000000011111111000000001000000000000000000000000000000000010000000011110000000000000000001000000000111100000000100000000000000000001111000000001000000000110011111100000000100000010000000011111111000000001000000000000000111100000000100000010000000000001111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000011111111111100000000100000000000100001111111010100000000000000000000110010010000000000000000001111111111001100000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000011110000000000000000001010010011111100000000000000000000000011110111110100000000000000000000000000000000000000000000000000001100000000000000000001001000111100000010000000000100110010100010111000100000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001111111100100000000010101111111100000010000000000100000011111111000000100000000011111111110000000010000000000100101101110010001000001000000000111111111111000000100000000100000011110000000000100000001000000000000000000000000000010000000000001111000000000000000000000000110011000000000000000001001111111111110010000000000011111111111111001000000000000001001111111111110010000000000011111111111111001000000000000001001111111111110010000000001011111111111111001000000000000001001111111010110110000000000011111111000000001000100000000000111111111010101000000000000000000000011111110000100000000000000011111111111100000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110001000011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110010001000000011111111111111001000100000010001001111111111110010001000000011111111111111001000100000010001001111111111110010001000001011111111111111001000100000010001001111111111110010001000000001111111111111001000100000010001001111111111110010001000000011111111111111001000100000010001001111111111111110001000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000111100000000100000000000000000000000000000000000000000000000111100000000100000010000000011111111000000001000000000000000000000000000000000010000000011110000000000000000000000000000111100000000100000000000000000001111000000001000000000001111111100000000100000010000000011111111000000001000000000000000111100000000100000010000000000001111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000001111111111100000000100000010000110101111111010100001000000000000000111110010000100000010000101101111010010100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000111100000010100000000100000011110000000000101000000000110011111100000010100000010100000011110111110100101000000000000000000000000000000000010100000000000011111100101000000001111011111100000010100000010100110011001010101000101000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010100101000000010101111111100000010100000010100000011111111000000101000000001110111000000010010100000010100001111110110011000001000000000001100001100000000100000010100000011110000000000101000000000000000000000000000000000010000000000001010010100001000000000000000110010010000100000010001001111111111110010001000000010111111111111001000100000010001001111111111110010001000000001111111111111001000100000010001001111111111110010001000000001111111111111001000100000010001001111111010110110001000000001111111000000001000100000010000011111111010100100001000000000000000111111110000100000010000110000111111111000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010001100100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110010010000000011111111111111001001000000001001001111111111110010010000000001111111111111001001000000001001001111111111110010010000000011111111111111001001000000001001001111111111110010010000000011111111111111001001000000001001001111111111110010010000000011111111111111001001000000001001001111111010110110010000000000000000000000000000000000000000000000000101010100011000000000000000000000000000000000000000000000000000000000000000000000000000111100000001000000000000000000000000000000000000000000000000111100000001000000001000000000001111000000010000000000000000000000000000000000001000000000000000000000000000000000000000000000000001000000000000000000000000000000010000000000110011111100000001000000001000000011111111000000010000000000000000000000000001000000001000000011111111000000010000000000000000000000000001000000001000000011111111000000010000001000000000000000000001000000001000000000001111000000010000000000000000111100000001000000001000101011111010111100000000000000000000111100000001000000001000000001011111101000010000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000111111111111000000010000000000000000111100000001000000001000000011111111000000000000001000000000000000000000000000001000010110101001100100010000001000000000010110100001000000001000001011101010101000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111000010000000000000000111100000001000000001000000011111111000000010000001000010001110011110001000000001000011101111010000000010000000000011101001000100001000000001000000011111111000000010000000000000000000000000000000000001000010101011010100100011000000000000000111110110001100000001001001111111111110010010000000011011111111111001001000000001001001111111111110010010000000001111111111111001001000000001001001111111111110010010000000001111111111111001001000000001001001111111111110010010000000000000000111111111001000000011000101001011010100100011000000000000000000000000001000000011000100101011001100100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001111000110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110010000000000011111110111111001000000000000001001111111111110010000000000011111111111111001000000000000001001111111111110010000000000011111110111111001000000000000001001111111111110010000000000011111110111111001000000000000001001111111111110010000000000011111110111111001000000000000001001111111010110110000000000000000000000000000000000000000000000000000101010100000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000001000000000111100000000000000000000000000001111000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000001111111100000000000000000000000011111111000000000000001000000000000000000000000000000000000011111111000000000000000000000000000000000000000000000000000011111111000000000000001000000000000000000000000000000000000000001111000000000000001000000000111100000000000000000000101001011010111100000000001000000000111111110000000000000000000001011111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111000000000000000000000000111100000000000000000000000011111111000000000000000000000000000000000000000000000000010101011010101000000000001000000000110111100000000000000000111000100000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100000000000000000000111100000000000000000000000011111111000000000000000000100111100011100000000000000000011101111111010100000000000000011101111011100000000000000000000011111111000000000000000000000000000000000000000000000000111111111010101000000000000000000000111111110000000000000001001111111111110010000000000011111111111111001000000000000001001111111111110010000000000011111111111111001000000000000001001111111111110010000000000011111111111111001000000000000001001111111111110010000000000000000000111111111000000000000000010101011010101000000000000000000000000000000000000000000000010101011001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001100100110001011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000011110111100110111100000000000000000000100000001100011000000000110111111110111100000000000000001100000000001100011000000000110111011110111101100011001100011011111011001100011000000010010100110010100000000000000000000000000000001100111000000000000000000000001000000000001100011001100001000000110001111000100110111110111101100011001100011001100011001110011001111000110000000000000000000000000000000000000000000000000000000011110110111110001100000000001100011001100011001100011001111000000000000000000000000000000000000000000000000000000000000000110111101110111101100011001100011001100011001100011001111011110110100110111101100011001100011001100011001100011001111000000000011001001100000000000000000000000000000000000000000001110001011010111101100011000000000001100011001100011001111011110111011110001001100011000000000001100011001100011001111011110001111110111101100011001100011000110000001100011001111000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111011100111100000000000000000001100011001100011000000000000000000000111100010000000000000000000000000000000000000001000111111110001101110011001110011000000000000000000000000011000001000010111001100011001100011011111011001000011000000011110111111100011011111011000000000000000000001100011000000001010010100100000100000000001100011001100001001100001000000010100101001010110101100011001100011001100011001100011001100000000000011001000000000000000000000000000000000000000000000010111011011110111101100011001100011001100011001100011001111011000111101110111101100011001100011001100011001100011001001000100000101100110011111011000000000001100011000000000000000000110000100000000111111011011111011000000000000110000000000011110110101110110000000000011111011000000000001100011000000011110111111110111100000000001100011011111011011110011000000011110111111110001101100011101100011100000000011111011000000000110100000000000011111011000000000000000001000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000001101111101101101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000100000000000000000010000000000000000000000000000000101000000100000000000000100100000000000000000000000010000101000010100100000000000000000000000000000100101000000000010010000010000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100010000000101000000100000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000001000000101000000100000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000100000100100000000000000000000000000000000000010000001000000100000000100000000000000000000000000000000000010000000000000000001000000000000000000000000000000000000000000000000000000001000010000000000000000000000000000000000000000100001000000001000000000000000000000000000000000000000000000100001000000101001101010000100000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000001101001100010000001010000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000000110111001100000000000000000000000000000000000000000000000000000011010000000100000000000000000010100100000000000000000010010000000100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100100000000000000000000000000000000000000001001000000100000000100000000000000000000000000000000000000100000000010010000000000000000000000000010100101000000000000000010000010000000000100000000000000000000000000000000000000000011000000100000001000000000000000000000000000000000000000000001001000000010010000000000000000000000000000001000000000000001000100101000000100000100100000100100000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110100000111110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000100110000100100000000000000000000000000000000100000000010000000110000000100000000000000000000000000000000000000000000100010001000001000000000000000100000111000000000000000000001000001001000001000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000001010010000000000110000000100000000000000000000000000001010000010100000100000000000000000000000000000000000000000000000000000000010000000110000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000010000000110000000100000000000000000000000000000000000010100010000000110000000100000000000000000000000000000000000010010000000000000000100000000000000000000000000000000000000000000010000100000100010000000000000000000000000000000000000010100010000100110000000000000000000000000000000000000000000010100010000000010000010000000000000000000000100000000000000010010000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000100000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000100110000000010000000010000000000000000000000000000000000000000010000000100000000000000000000101000000000000000000010000000100000000000111000000000000000000000000000100000000001000000000000000000000000000000000000000000000000100000000000000101000100000100000000000000000000000100000000100000001000000000000000000000000000000000000000000000000000000000000010000000010000000100000000000000000000000000000000000001001000000000110000000100000000000000000000000000000000000000010000000000000000001000111000000000000000000000000000000000000010000000000000000000111000000111000000000000001010000000000000100000110000010000000000000111000000000000000000100000000001001010001000101000000000000000100000111000000111000000000010000000110000100000000000000000000000000000000111000000000010000001000000000000111000000000000000000100000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000001000010111101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010001000110000000100000000000000000000000000010001000100000000100011001100011000000000000000000000000000001100011000000000000000001100010001100011001100010000010100010000000000000000000000000000000000000000000000000000000000010001000000000000000000000000001000000000001100011001100001000000010001000000000010001100011001100011001100011001100011001000011001000000100000000000000000000000000000000000000000000000000000000001100010001100001000000000001100011001100011001100011001000000000000000000000000000000000000000000000000000000000000000000100011001100010001100011001100011001100011001100011001000001100010000100011001100011001100011001100011001100011001000000000000000010000000000000000000000000000000000000000000000001100000001000100101100011000000000001100011001100011001000001100010001100001001100011000000000001100011001100011001000001100001001100000001000000111000011000100000001100011001000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100011001100011000000000000000000001100011001100011000000000000000000000000100000000000000000000000000000000000000000000010000011000000001000001001000011000000000000000000000000000000000000000011001100011001100011000110100001000011000000010000000000100011000010100000000000000000000010001000100000000001000000000000000000000001100011000000000010001000000000000000000000000000001100011001100011010001000110001000100001000000000000010000000000000000000000000000000000000000000000000100001101100010001100011001100011001100011001100011000001001000011000101011001100011001100011001100011001100011000000010000000000001010000010100000000000000000000000000000000000000000000000000000000010100000010100000000000000000000000000000001000111000000000000000000010100000000000010001000100000010010100010001000100000000010001000100010100001000100000000010001000110001000010001000110001000100000000000010100000000000000000000000000000010100000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111001001100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000110000111100000000001111000000000000000100000000000000000000000000011000000000000000000000001000100110000000000000001000010001001010111110001100000000000000000100000000011000000000000000011000110000000011000000001000000111000110000000011100111100110000000000000000000000001000000111000010000000111100000000111000000110000000011011100001000000000000100100011110000000111100000000000000000000001000000111000010000000011000000000111000000001000000011000100001000000000000100100011111001000001100000000000000000000001000000111000010000000011001001000111000000000100000000000001000000111000010000000011101001000111000000001100000011011100000000000000000100100001110000000001100000000000000000000001000000111000010011010011100000000110100000010000000000000001000000111000110001000011000000000111100000100000000000000001000000111000110001100011000111010111100000010000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000001101001000111001100001000110000000000000000000000000111100000001001000000000000000000000000000001000000000001001000000000001111000110010000111100000000000001000011000001001000000001100001000111000010000001111000000001000000110001000001000000110000000000011110000000110000000001000000110001000100100001101001001011001010100100110000000001000000110001110000000001100000000100000000000100110011011000001000000000000100000011111111000110100000000000000000000001000000110001110001100001100000000011000000010000110000000001000000110001010000000001101001000011001100001100110000000001000000110001001001000001000000000000010000111101111000000001000000000001001000000000000001000100000000000000000000000001000000110001000001000000000000000101111001001101110000000001000000110000000100100011000100010010000000000101111000000001000000110001001100100110100000001101000000001100110000000001000000000001001100000011111111000111111110101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011110001110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000000000100000000000000000000000000000000000000000000010100000000000000000000000011000000000000000000000100000000000010000001000000000000000000000000000000100100000000000000000000000000010000000000000001001000001000000000000010000100010000000000000000000000000001001000010000000000000000000000010100000001000000000011000000000000000000001001000000000000100000000000000000000000000001001000010000000000000000000000010100000000000000000000100000100000000000000001000000000001000000000000000000000000000001001000010000000000000000010000010100000000100000000000000001001000010000000000000010000000010100000100100000000010100000000000000000000001000000000001000000000000000000000000000001001000010000000010000010000000110000000001000000000000000001001000001000000000000000000010010000000000000000000000000001001000001000010000000000000010010000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000110000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001000000000001000111000000000000000000010000000000000000000000010000000100000000000100000000000000000000000000000000100000000000000011100000000000000000000000000000000000001000000000000000001000100000100000000000000000000000000010000000000000000000000000000000100000010010000000000000000000000000010011000000101000000000000000000000000000000000000010000000000010000000010100000001000000000000000000000000001000000000000010000000010100100000100000000000000000000000000001000000000100000000000000010101101110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000100000100000000000000000000000000000000000000000000000001000000000100100000000000000000000000000001000000000000000000000000100100000000000000000000000000001000000010001000000100000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111100011100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001100000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001000010000001000000000000000000000000000000000000000000000110000000000000000000000000000000000100000000110000000000100000000000000000000000000000000000000000000000110000000000100000000000100000000010100000000000000000001000010010000000001000000000000000000000000000000000000000000000110000000000100000000100000000000001100000100000000000001010010010100000000000000000000000000000000000000000000000000000110000100000100000000000000000000000000000000000000000000000110000001000100000000000000000000001100000100000000000010000000010000000000000000000000000000000000000000000000000000000110000000000100000000000000000000000000000000000000100100000110000000000100100000010000000000000000000000000000100100000110000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000100000100000000100010000000000000000000000000000000000000000100000000000000000000000000000000000000000001010000000000000001000100000000001000000000000000000001000001010000000000010000000100010000000001010000000000000000001100001100000000000000000000010000000000000000000000000000001100001001000000010010100000010000000100000000000000000000001100101000000000010000000001000000000000000000000000000100000000000010000000100100000001000000000000000000000000000000001100101000000100000000000000000000000000000000000000000000001100001000000000000010000000000000000000000000000000000000001101000100000000000000000000000000010000010000000000000000000001010000000000000000000100000000000000000000000000000000001100001000000000000000000100100000100010100000000000000000001100000001010000100000000000000000000010010000000000000000001101010001000001000000000100000000000010000000000000000000000010000000100000100010100001010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000010000000000000000010000000000000000001000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000001000000000000000001000000000000000000000010000010000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000100000000000000000000010000010001000000000000000000000000000000000000000000000000000000000000000000000000000010100000100000000000000000000000011000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000010101000100000000000000000100000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000001000001000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000110010000000000000000000000000000001000000000000000001000000000010000000000000000001000000000001000000000000000000001000000000000000000000000110000000000001000000000000000001000000000000000000000000000110000000000001000000000000000001000000000000000000000000000110001001000100100000000000000000010001000100000000000000000000000000000001000000000000011000000000000000000000000000000110000000000001000000000000000000010000010000000000100001000110000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000001000000000000000000000000000000001000000000000000000000000000000000000000000001000000000000001000000000000000000010000000000000000000000001000000000000001000000000000000000000000000000000000000000001000000000000001000000000000000000100010000101000101100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011010010001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011000000010000000000000000000010010000000000100000000000000000011000000110000000001111111000100001111111100110100010001100000000000000001111000000000000000011011000001011000000001111000000000000000000001110001000000000000001110000000000000000000000000110000000001110001101100000000001110000000110000000001111000000000011100000001110010001100000001111100000000011000000000000000000001110001000000000000101010000000110000000011000000000001111001000001100000001100000000101110010001111000000000110000000001111001100000000000001110000000000000000000000001111000000011100111101000000000001100000000110000000000000000000000011000000001100000010010000000100100000000100000011000010000000011000000100000000000011100000000110000000011000000110000010000000101100000001111011110000000110000000000011000110001111001111111100010001100001000000100110000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000001110000011111001100011000010000000010000000000000000000110100100100100000011111011011100110101111001110111100100001111000000111100100001111000010000110100000001111111100000000000101100100000100000011000010101111001111000000100100000010110011000111100000001111000000000010000000001111011000010000100011100111100110000010000010000110000000001110111000000011111001100101100110000000000111100000001100000000001100010000010100100100100000001100000001000110000000000100101100000000000001110000010110000000000000000010000000001110110100000000000001100000000110000000011111101110001111000000101001101001011001100111111111011110011111001110001001111100011000010011111000001111100000001100011000000000000000111100011001101010011011000010000000000000000000001101010010111100001011001000000010000110100110001101000000000110101110111100101100100000010000000011000100001111011111000010001101000000010000000000100000000111000010001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001010001010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000100000000000000000000001001010000000010001000000010010000001000000011000000000000000000000100000000000000000000001000000001000000000100000000000000000000000010000001000000000000010000000000000000000000000001010000000010000000100011000000010000000001010000000000010000000100000000000000000000000010000000000010000001001000000000000000000010000000000000000000000000000001010000000000010000000001010000000000000000000000000000001000000001100000000001010000000010000000000000000000010000000000000000000000000001000000000010010010010001000000010000000001010000000000000000000010000000000000000000000000000000000100000000000000000100100000000000010000100000000000011000000001010000000000110001010000000000000000100000001101011000000001010000000001000101010100000010000100100000000000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000101000000000000000000000000000000000000000010000000010000000010101000010000010000110000010001001000000001010000010100001000001000000000010000000010000000000110000000000010001000000000000100100100000000000000000000000001000101000000010001000000000100000000010000100000100000000001101000001010000001001000000110000000010001000000000001010100100000000010000000000000000000000100000000010000000000000000000000100000000100010000001010000000010000000000000000000010000000000110000000000000001000000000010001010000000000000010000000001010000000000110000001010000000000000000000001000100101001000000000000000101000101100000000011000001000001100000001000100000000000000000000000000000000010100000000001000000010000010000000000000000000000000000000010000000000000000001000000010000100000000000000100000000000010000000000000000000000100000000010001001000000100010100000000100000000000000000000100001000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010011000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000000000000000000000000000000100000000000000000000100000000001001010000000000000001000000000000000001000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000010000000101000000010000000000000000000000000000000000000000000000000100000000000010000000000000000000000010000000000010000000000100000011000000000010000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000001000000001100000000010000000000000000000000000000000000001000000000000000001001000000000010000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000011000001000000000000100000000000000000000000010000010000100000000010010000010000000000101000000100100000001000000000000000000101001000000000000000000000000011000000000000010000001000000000001000000000000000100000000010000000000000001000100000000001000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000100000000010000000000100001000000000000000000000010000001000000000000000010000100000000000010000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000001000000000000000000000000000000000100000000000100000101000000000000001001101000000000000000001000000110000000000000010000000100000000001000010010000000000000000110000100000000000000000000000000000000000000000000000000000110000001000000000000000000010000001000000000000000000101000110001100000000000000001000000000101000000100000000000100000000000000000000000000000001100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111010111001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000001000010100100010000000001000000000000001010000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000001000000010000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010001000000000000000000000000010010010000000000000000000000000001000000000000000000000000000000000000000000001000000000010000010000000000000000010000000000000000000000000000000000000001000000000000000000000000010000000001000000000000000000010000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000010000000001000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000010000000000000000000000100100000000000000000000000000000000100000000000000000000000001000000100010000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000000010000100000000000100000000001000000000000000000000000000000000000000000000000100110000000000000000000000000000000000000010000000000000000100010000000000000000000000000000000000000000100010000001000000000000000000000000000000000000000001010010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000001100110000000000000010000000100000000100010000001000000001001000000000000010010000000000000000000000000000001000000000000000000000000010000001000000000010000000000000000000000000001000000000000010010000000000000000000100010010001000000000000000000001000011000001000000100000000000000000001000000100000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000000100000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000010000000000000000000000000011110000000111100011000000000011000000000000000000000000000000110000000000000000000000000000000000000000000000000000110011110001100111100000100000000100001010001111001001001000000011110000000000000000000000000000000000000000000001111000110010010000000001110001000000000000000000000000110000000000110000110000000000101111100000000000000000000000110000000000000000000000110000000100100000001110000000000000000001101000110000110000000001110011100000000000000000000000110000000000000000000000110000000100100000010011000000101100000000011000110000110000000001110001010010000000000000000000110000000000110000110000000001110111100000000000000000000000010000000000000000000000110011000011000000000111000000101101100001111000000010110000001001110101100000000000000000001000110010010000000000110000010001110111100000001111000000011000110000011000110000110010011000000011100000010010000100110100000001100000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000111100011000000001100000000000000110000000001100000000000100000000000000000000110000000111100000001100000110000100000110000000111100000001100000000101100000001111000110001111000011111100111100010000011011000010011001000011000010000100000010011000011000100000000000001001000000011110000100011110000000000000101100000000000000000000000110000000000110011110000000000000100100000000000000000011000110100000000000000000000100010000001000000011111000000110101101100110000110000110000000001110101100000000000000000011000110000000000010001010000000011100101101101100000000000000001111100000001101000000001111000000111000000001111000000100100110101100011110000000011111000000001100000010011000001111101101001111011110011110001000111100011000000000000001010100100000000100001100011110000010111100111110010001110101000001000110000010001100011110000000011000011100000000100000000000000010000000000010000010000111000000011100000001100000100101100010000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000001010100010010100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000001000000000010000010000010000000000000000000000000000000001010000000000000000000000000000000000000000000000000001010000001000010000010000000000000000000000000000000100000000000000001000000000000000000000000000000000000000000100000001010001000000000010000001000000000000000000000001010000000001010001010000000010000000110000000000000000000001010000000000000000000000000000000000000000000100000000000000000100100001010001010000000010000001110000000000000000000001010000000000000000000000000000000000000000001000000000000000000100000001010001010000000010000001001000000000000000000001010000000001010001010000000010000101000000000000000000000001000000000000000000000000000001000000010000001001000000000000011010011000000001010010000010000000000000000000000000000001010000000000000001010000000000000100000000001101000000001011010100000000010001010001000000001001000000000000010000110000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000010000010000000000000000000001010000000000001000000000000000000000000000000000000000100000000000010001010000000000101000000010010000000100000000000000000010000000010001010001001000011001000000100000001000100000000001000000000000000000000000010000010010000000000000000000000000000000010000001000000000000001000000000000000000000000000000000001010000001000000000000000100000000000000001000000100000000000000000000000000001000000000000001100000000110000000000000001010001010000000000000000100000000000000000000010110000000001000001001000000011000000000000000000000000000001000000000000000000000001001000001001000000001001000000010000100000000000001000000001000000000001000000001000000001010000000100010000001000001000000000010000010000000000000000010000000000000000001000001000000000010000010100000001000000000000000000000000001000001000000000010000010000000100000000000000000000000000000001000001001000001011000000000010010000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000001101101000110101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000000110000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101101000000110000000000000000000000000101000001000000000000101100000000000000000000000000000000000000000001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000001000000100000000000010000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000000000000000110100000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000001000001000000000000000000000010100000000010000000000100000000000000000000000000000000000000100000000000000000000000000000000001000000000000010000000000000000000000000000000000000000000000010000000000000000000100000000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000010000000000000000000000000000000000000000000000000000000010000000000000100000000010000000010000000000000000000000000000010000000100000000000100000001000000000000000110001000001000000000000000001000000001000000000000100001000000000000000000000000000000000000001000000000000101100000000000010000000000000000000000000010000000000000000101100000000000001000000000000000000000000000000000000000000000000000000000000100000001000000000100000000010000000000000000000000000000001000000000000000000000000000000000000000000000000000001000100100000000000000000000000000000000000000100000000010000000000000000001000000000100000000010010000101100000000100000000000000000000000000000100010000000010000101100101100100000110000000000000000000000100000000000000000000100101100001000110110001000001000010000000100001100001000000100101100000000000000000000000000000000000000001000000000000000000010000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000010010111101111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000100000000001000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010000000000000000001000000000010000000000000000000000000000000001010010000000000000000000000000000000000000000000010000000100001010000000000000000000000000010000000100000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000001000000000000000000000000001000000000000001000000000000000000100000000000000000000000000000000000000000000000000110000000000000001010000000010001000000000000000000000011000000000000010010000000000000000000000010000000000000000010000100000000000000000000000000001000000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000100000000000000000000000100000000000000000000000000000000000000000010000000000000000000000001000010000000000000000000010000000000000000000000000000000000000001000000000000000000000000000000000000000000000100000000000000010000001000000000000000000000000000000000000100000000000001000000000000000000000000000000010000000000000000000000010000100000000000000000000000000000001000000000000000000000010000100000001000000010000000000000000000000000000000000000011000100000000000000000000000000000000000100000000000000000000000000000000000000000011010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001100001010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000011110000000111100011000000000000000000000000000000000000000000010000000001110001000000000000000000000000100000000000000011110001111111100111100000000111001110001100100001110000000000000000000111100111000000000000000000000000000000011000000010100000000001000100101100000000000000000000000000000000000010100000000001110111000110000000001110000000000000000000011000000001111000001011001100001100000000001100000000110000000000100000000101000111100110000000000000000000000000000000011001101000001000000011001111000100000000001100000000110000000010110000000001110111000000000000001110000000000000000000000000010000000001110101010010000000001110000000000000000000011001111011111010100101100000001100000000001110000000110000000000110001111001101011100110000000001110000000000000000000000010100000111001110001100110000011001110000001101000000000000001101011110001110001000110000111001111011000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000001110001101101000000000000110000000000000000000000000000110000000000000000001110000000111100000000110000000000010001111100100111100000001111001110100100100001111000000011110001111001110101100110000000011100010000000000000000000011111000111111100011100000001100010000001000000000110000000000000000000011000000100110000000000000011000000011110000000000000001111011000111100110000000001110000000000000111000011000010001111001000001100000000011000000110100000010111000000000110001111001100101100110100000101110000000100000000000000000110000000001110010100110000000001110000000000000000000000000000001110100100111100110001110011101101100000011110000000000100101111011000101100100001100111101001101100000011000000011110000011111100011000000001111000000000000000000011000000001100001111011000111101100000011100100001000000000100000000011110001111100100011000110001110001100000000100000010000000000100001110001000111000100001110001101011100100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111100001001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000010000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000001001001000010000010000100100010000000000010000000000000000000000000000010000010000000000000000000000000001001000000000010000000000000010000011000000000000000000000000000000000000010000000010000101000010000000010000000000000000000000000000000010001000001000000001000100000000011000000000101000000000010000000000000000111010000000000000000000000000000000000000000010000000001000000000000000000000011000000000101000000000010000000010001000000000000000010000000000000000000000000001000000000000000001000000000000010000000000000000000000000000000001100001100010000000000000000000011000000000101000000001010100000010000000111010000000010000000000000000000000000000010100010010000000101010010000010000000000010000000000000000000000000010000001001010100000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000010000000000000000000000010000000000000000000000000000000000000000000000000000001000000000000001000000000000100000000000011010000100000010000000000010100000000000000001001000010000001001000000000111000100000000000000000000000000100000000010000010000000000001000000000000000000000000000000000000000010010001010000000000000101000000000100000000000000001001000010000010010000000010000000000000001000000010000000100001000000011000000100000000000100000000001000000000001000001001000000000001000000000010000000000000000000000000001000000000010001010001010000000010000000000000000000000000000000000000010001001000000000001001000000000000000000000000000000100000000010001000000000000001000000100001001001000000000001100000000010000010000001001000000000000000100000000000000001100000001000000010001100000000100001000000000000000000000001100000000000000010000000001100100000000000000000000000000010000100000001001000000000000100001000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011100000110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000101100000000110000000000000000000000000000000000000000000000001000000000001000000000000000000000000000000000000000000000101101000000110110000000000000000000000000000001001000000000000000000000110100000000000000000000000000000000000000000000100000000001000001000000000000000000000000000000000000000000100000000000000100000001000000000000000000000000000000000000000011000000000000010000000100000000000000000000000000000000000000000010000001100000000000000000000000000000000000000000000000000000001000000001000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000100001000000000000000000000000000000000000000001000010000001000000000001000000000000001000000000000000000000001000000000000000000000000000000000000000000000000000000100000000000000000000000000001000000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000010000000000000000000000000000000000000000000010000000000000000000001000000000000100000010000000000000001001000000100000000000000100000000000000000001000000000000101101000000000001000000100000000000000000000000000000000000101000000000110010000000001000000001000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001000000000110000000000000000000000000000000000000000000000000010100000000000000000000000000110000000000000000000010000001000000000100100000000000000100000010000000000000000010000000000000000000000000000000000000000000000000000000000000000001000010000000000000001000000000001000000000100000000000000001000000000100000000101000010010000000000000000000000000101000000000110000000000001000000000000000000000000000000000000100100000000110000000000000000100000000000000000000000000101101000000110000000000001000000000000000000000000000000000000000011000000001000000101001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110001000111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000001000000010000100000000010000001010000000000001000000000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000010101000000000000000000001000000001000000000000000000000000000000100000000000000010010000000000000000000000000000000000000000000000000100000000000100000000000000000000000000000000010100001000000000000000010000000001000000000000000000000000000000000001010010000000000000000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000000001001000000000000000000000011000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000100000000000000000011000000000100010000000000000000000000011000001000000010000100010000000100010000001010000000000000001100000000001000000000000000000000000000000000000000000001000011000010000100000001000000000000000000110000000000000000000000000010000000000000000000000000000000000000000000000000001000000010000100100000000000000000000000110000000001000010100000000000000000000010000000000000000000000001000000000000001000000110100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000100011100001000100011000000000100000000000000000000011010001000000000001000000010000001000000000000000001000000010000010000100000001000000000000000000010000000000000000100010010000000101000000100000000000000000100000000000000000100010000000000101100001000000000000001000010000000000000000000000000010000000000001000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000100000101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001110000111100000000000000000000000000000000000000000000000000001111000000001000000010101010111100000000100000000100000000000100000100001000000000000000000000000000000000000000000000001111000000000000001000000000111100000000100000010000000011110000000000001000000000000000111100000000100000010000000011111111000000001000000000110101101011000000100000010000001100111111000000001000000000000000111100000000100000010000000011111111000000001000001011110101110010100000100000010000001100111010101000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000011110101110010100000100000010000001100111111000000001000000011111101000000000000100000010000000011111111000000001000000001111111110110000000100000010000000011111111000000001000000001000111111100000000100000010000111110111111000000001000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111000000000000000000000000000111011000100000010001101110001111111110001000001001011001111100000000000000010000000000001100110000001000000000110011111100000000000000000000110000111111000000000000000001111011000000000010000000000100111110101101011100100000000000000000111100000010000000000100111110101111101000100000001011001100111100000010000000000100000000001111110000100000000000110011111111000000100000010000000011111100111100001000000011111111111100000010000000000100000011111111000000100000000000000000111100000010000000000100000011111111000000100000001000111111011111110000000000000000111111111111111100000000000011111111110011000000000000010000111111111111111100001000000000000000110011000000000000000000111100001111000000000000000011111111010000010000100000000000000011111010010100000000001000000000110011000000000000000000101001011111000000000000000011111110111111110000000000010000111111111111110000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101011110010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000010000100001110000111100001000000000000000000000000000000000000000000000001111000000001000000000000000111100000000100000010000111111110000000000001000000000000000000000000000000000000000000000001110000100001000001000000000111100000000100000010000000011110000000000001000000000000000111100000000100000010000000011111111000000001000000000110101101011000000100000010000010101011010101000001000000000000000111100000000100000010000000011111111000000001000000000000101110010100000100000010000010101011111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000101110010100000100000010000000011111100110000001000000011001101000000000000100000010000000011111111000000001000000000101111111111110000100000010000000011111111000000001000000000000000111100000000100000010000111100011111000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100111100001111000000101000000000000000001011101000100000010001011101001111111110001000000010100110111100000010100000010000000000001100100100001000000010010011111100000010100000010100011010011111000000101000000000111011000000000010100000010100111111111111111100101000001000000000111100000010100000010100111111111111010100101000000000110011111100000010100000010100000000001110110100101000000001010101001100000000100000010000001100111100000000001000000011001100111100000010100000010100000011111111000000101000000000000000111100000010100000010100000011111111000000101000000000111111111111110000100000010000011111111111101000001000000011011111110010010000100000010000011111111111111000001000000000000000110000110000100000010000111100001110000100001000000001011111000000000000100000010000100001111010010100001000000000000000110010010000100000010000101001011110000100001000000011111111111111100000100000010000011111111111110000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101011100010100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000111100000001000000001000011111111000010000011000000000000000000000000000000000000000000000001111110000011000000000000000000000000001000000001000000000001111000000010000000000000000111100000001000000001000000011111111000000010000000001010011000011000001000000001000001100111100110000010000000000000000000000000001000000001000000011111111000000010000000000000011000010100001000000001000000011111010101000010000000000000000111100000001000000001000000000001111000000010000000000000000111100000001000000001000000011111111000000010000000001010000110000000001000000001000010101011111000000010000000000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000000011111111111100000001000000001000000011111101100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100110011111000000010000000010101001111111111001000000001001011101000010111010010000000011110111111100000001000000001000110010010011110000010000000000000000111100000001000000001000000011110101101000010000001000000000000000010001000000001000000000001111110100010000000000000000000000000001000000001000000011111111111100010000000000000000111100000001000000001000000011111110110100010000000000001010101011000001000000001000000100010000101000010000001000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000000011111111000000010001100000011000100101011010100100011000000001110111000000010001100000001000111111111110111000010000001000110001000000000001000000001000000000000000000000011000000000000000000000000001100000011000100101011010010100011000000000000000000000010001100000011000100101010000000000011000000001111111000000010001100000001000011111111111111100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110011010000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010111100000000000000000000111111111000100000000000000000000000000000000000000000000000000000001111110000000000001000000000000000000000000000000000000000001111000000000000001000000000111100000000000000000000000011111111000000000000001001010011111111000000000000000000000011111010101000000000001000000000000000000000000000000000000011111111000000000000001011110011111110100000000000000000010101011100110000000000001000000000111100000000000000000000000000001111000000000000001000000000111100000000000000000000000011111111000000000000000001011111110011110000000000000000001100111010101000000000001000000000111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000001001010101111100000000000000000000000011111111111100000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000010101011111000000000000000010101001111111111000000000000001101110000001110110000000000011111111111100000000000000000000100110011100001100000000000000000000111100000000000000000000000011110101101000000000001000000000111110100000000000000000000000000101010100000000000000000000000000000000000000000000000011110111111100000000000000000000111100000000000000000000000011111110111000000000000001011111101011000000000000000000110111011111101000000000000000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000000011111110111111100000000000000000010101011010101000000000000011111111111111100000000000000000111100001110111000000000000011110101000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101011010101000000000000000000000111111100000000000000000100101010000000000000000000011111111111111100000000000000000111111110111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100001101000001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000110000000110000000000110000000000000000011001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001000011001000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000100000000000000000000000000000000000001111000110000000000111100000000000000000000000000000000000001111000100010111010011100000000000000000000000000000000000001101000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001111101100011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000000000000000000000000000000000000000001010000000000000000100000000000000000000000000000000000001011010000010001100010000000000000000000000000000000000000000110000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100010111011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000100000000100000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000001001000000000000000000000000000000000000000100000000000010000100000000000000000000000000000000000000000010000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101111100010011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000000000000100000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000110000000000000000001000000000000000000000000000000000000000000000000000100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111001001100101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000100001111110010011101111000110000110011100010000000000000001000000011011000111110000000001100110111100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011010010101000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111000000000001100000000000000011000000000000000000010000001000100000010100000001000000000110000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111001000111101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000100000000100001000001010100001000100000000000000000000000000001000000000000100000000000110000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000010111000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000001000000001010000010000000100000001000000000000000000000000000000010000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110110111100001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011011000000000000000000111100000001111000000000011110000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000001100001100000000000000000000100100000000000001100000000000001100001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100100000000100000000011111010010001110000001111100000001100000001111100100001110000000000000000000001010110100000001111011000000000100100000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000001100000101110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001000000000001000000000000000001000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000000101000000100000000000000000000110000000000001000000000000000000000001000000000010011000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000001100100111000100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000100000000000010000000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000100010000000000000000000000110000000000000010000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000110000000000000000000000001001000100000000011000000011000000000000000000010100000000000000000000000000010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001010101010000111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000100100000001010000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000001000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000011000000000000000000000000000000000000010000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101101111010111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000111100000001111111100000000000001100000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000001100000000011000000000000000000000000000000000000000010010010010000000000000000010010000000000000000000000000000000000000000000000000000011000000001100100100000001100000000000000001101000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000010010000000001000000000000000000000000000000000000000001100000000000000000000011010010001100100100000001100000000001100011010000000000000011000000001100100100000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000011000000000000000000000100010110000000100100000000000000000000000000000100000000000100000000000000000100000000000000000000100000000000000000000000000100000000000000000000000000000000000000000000000000001001000100000000000000000000000000000000000000000100000000000000000100000111100100011000100000110001000000000100001111000000000000000101001000001100100100110001000000000100001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000100000100000000001000000000000000000001000000000000000000000000000000000000000000000000100000000011000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001100000000000000001101010100100110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000010000000010000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000001000000000010000000000000000000000000000000000000001000001000000000000000000001000000000000000000000000000000000000000000000000000000100000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000001000000000000000000100000000000000000000000000001000000000001001001000000000000100000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010100000000101000000000000000000000000010010010000000000000010000000000000000000000001100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000000001000110011111000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000100000110000000000000100000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000100000000000000000000000000000000000000000000000000000000000000000100000110000000000100000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000110000000000100000000000001000000000000000000000000000100000110000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000110000010000000001000000000000000000101000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000001011011001110110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000100100000001010000010000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000000000000000100100001000000000000000000000000001000000000000000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000001000001100000000001000000000000000000000000000001000000000001000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000100000010000000000000000000000000000000001000000010011000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000001000001000000000000000000000000000000010000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000011101010110010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000111111110000000011000000011110000000000000000000000000000000000000000000000000000101100000000011000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000100100000000000000000000000000000011000100110010000000100100111101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000100100000000000000000000000000010010000000000000001100001111100000001100000000000001000001100000000100000000001101000110000000111100000000110001001011100000001111000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000011000000000000000000000100011000101000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000001000000000010000000001000000000000000000000000000000000000000000000000000000000000001000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000001000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000001000000000000000000011000000000000000000000000000000000001010000000000101100001010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000001011000011111101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000101100000000000000000101100000000000000000000000000000000000000000000000000001100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000100000101000000000110001000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000010000000000000000000000000000000100000000000000000000100100000100000000000000000100000000000000000000000000000000000001000001001000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000001001110110011001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000100100000000000000010000000000000000000000000000000000000000000000000000000000000100000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000100000000000000000000000000000000000010100000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000100000000000000000000001010000001000000000000000000000000000000001000000000000001100000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001111000001010011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100101001111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000100000000001111001000001101110000000100100000000011000000000000100000001010000000000000000010100100011111111110001001010000001000000001000001100000010000000000110000000001001000000111101100000100100000100000000000000000000000101100000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010111111111101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000111011000011101000011110000000010000000010000000000000000010000000000000100000100000010110000000111110000001010000111111000001111000011110000000011000000011010010100000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000010110000000001001001111111000000000100000000100001100000000000000000001000100001000100010110110100110011001011011010001100100001010100000000000100000001100001100000001010000111111111100100100100000000000000000000000000000110000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000110010110000000000000000000000000000000000000000000000100000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011111111110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110111111110111100000000000000000000000000001100011000011011110111111110111100000000001100011001100011001100011001111011110111111110101100000000001100011001100011001100011001111011110111111110111101100011001100011001100011001100011001111000110011111110111101100001001100011001100011001100101001111011110111111110111101100011001100011001100111001100101001111011110011111110111100000000001100011001100011001100011001111011110111111110011101100011001100011001100011001100011001111011110111111110111101100011001100011001100001001100001001111011110111111110101001100011001100011001100011001100011001011000000000000000000000000000000000000000000000000000000000000010110011011110111001100011001100011001100011001100011001111001000110111010011100000000001100011001100011001100011001111010110111111110001100000000001100011001100011001100011000011000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000110000000000000110000000000111000000000000000000001100011000000010000000001000010000000001101100011001100011000000000000000000000000001010000011000010011111111000000000011111011000000000000000011110101111110111001100011001100011001100011001100011100000010010100110010100100000000001100011001100011011100011000000011110010011110011000000000001100011011111011001100011000000010111011011110011111111011011111011011111011011111011000000011101111011110001111111011011111011011111011011111011000000001110111011100111111111011011111011011111011011111011100000011100111011110101111111011011111011011111011011111011000000011000101100000001111111011011111011011111011000110001100000011010111110111010011111011001100011000000000001100010000000011110101111110111100000000000000000000000000001100011000000010000000000110000000000000011111011001100011000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001111100101100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000101000000100000000000000000000000000000000000000100001000000101000000100000000000000000000000000000000000010000001000000101000000100000000000000000000000000000000000010000001000000101000000100000000000000000000000000000000000000100000000000101000000100000000000000000000000000000000000010000001000000101000000100000000000000000000000000000000000010000001000001101000000100000000000000000000000000000000000000100001000100101000000100000000000000000000000000000000000010000001000000101000000100000000000000000000000000000000000010000001000100101000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000001000001001000000000000000000000000000000000000000000000100000001000001000001100000000000000000000000000000000000010000001000000101001000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000001000000101100000000000000000000000000000000000000010000000000010010000010010000000000000000000000000000010010101000000010110000010110001000000000000000000000000000000000000000000010000001001001000000000000000000000000000000000000000000000000000100001001000000000000000000000000000000000000000000000000100100001001000000000000000000000000000000000000010000000011000100001001000000000000000000000000000000000000000000000001100000100000100100000000000000000000000000010000100100000000110101110000000000000000000000000000000000010100001000000000010000100110011000000000000000000000000000010100101000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111011101101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000110000000100000000000000000000000000000000000001000010000000110000000100000000000000000000000000000000000000010010000000110000000100000000000000000000000000000000000010100010000000110000000100000000000000000000000000000000000010010010000000010000000100000000000000000000000000000000000110100010000000110000000100000000000000000000000000100010000110100010000000010000000100000000000000000000000000000000000010100010000000110000000000000000000000000000000000000000000010010010000000110000000100000000000000000000000000000000000010100010000000110000000100000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000010000100010000000100000000000000000000000000000000000010100000000000110000000000000000000000000000000000000000000010100010000000110000100000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000001000000000000010000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000110010000000000000111000000000000000000010000010010000000100000000000000000000000100000000000000000001000001001000001000000000000000000000000100000000000000000001000000001000000000000000000000000000111000000000100000000000100000010010000000111000000111000000111000001001000000000000011010010010000000111000000111000000111000000111000000000010011010000001010000111000000111000000111000000111100000000000001010010010010000111000000111000000111000000111000000000000000000100000000000111000000111000000111000010000000000000000100001000100000000111000000000100000000000000000000000000010000101001000001000000000000000000000000000000000000000000000000000010000000000000000000111000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100101100111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011001100011000000000000000000000000000001100011000000001100011001100011000000000001100011001100011001100011001001001100011001100001000000000001100011001100011001100011001000001100011001100011001100011001100011001100011001100011001000000100011001100011001100001001100011001100011001100001001000001100011001100011001100011001100011001100011001000001001000001100001001100011000000000001100011001100011001100011001000001100010001100011001100011001100011001100011001100011001000001100011001100011001100011001100011001100001001100001001000001100010001100001001100011001100011001100011001100011000000000000000000000000000000000000000000000000000000000000000000000100000001100011001100011001100011001100011001100011001000001000010001000001000000000001100011001100011001100011001000000100011001100000000000000001100011001100011001100011001000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000100000000000000100000000000010000000000000000000001100011000000010000000001000010000000000001100011001100011000000000000000000000000000001000001000010000010110000000000000010100000000000000000001100001000100011001100011001100011010001000101100110000000000000000000000000000000000001100011010001000101000000000000000000010000000001000000000001100011000010100010001000100000000000001000010100100010100000010100000010100000110100000000000001000000010100000010100000010100000010100000010100000000000000000000110100000010100000010100000010100000010000000000000010000000010100000010100000010100000010100000010100000000000000001000000000000010100000010100000010100000000000000000000000000000000010000010100010001000100000000000000000000000011000000000100001000000000000000000000000000000000000000000000000000000100000000000000000010100010001000100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100011001110110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000011000000000000010000000001000000111000010000000011000000000111000000001000100000000001000000111000110000000001100001000111000000011000000000000001000000111000110011010011110001000111000000000000000000000001000000111000010010010011100000000111000000010100000000000001000000111000110000000010100000000111000000000000000000000001000000111000110000000011100000000111000000010000000000000001000000111000010010010011101001000111000000011000000000000001000000111000110000000011101001000111000000000000000000000001000000110000110000000001100000000111000100000000110000111100000000000000000000000000111000000000000000000000000000000001000000111000010000000011100000000111000000001100000000000001000000111000110000000010100000000111001000000000000000000001000000101000110101100011001101100111000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001000011100000000000001000000000011000000001000010000001001000000000000000000110000000100001100000000001000000110001000000000001001111100011111000000000110000000001000000110001000000000000100000000010000000100000110000000001000000110001110111100100100010000011000000000000110000000001000000110001110100100000100000000111101000100000111000000001000000110001001000100011110010000111100000010001111000000001000000110001001000100101110010001111000000110001111000000001000000110001001000100101110010000111101000100001111000000001000000110001001001100001110010000010000000110001111000000001000000110001001111100001110010000010000000001101111000000001000000110001001001100000000010001111100110010100011000000000000000100000000000000000110110000010000000100000011000000001000000100001001000000001000111001011101100000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100111100101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000010100000000001000000000000001000100010000000000000000000000010100000000000010000000000001001000001000000000000010000000000100000001000000000000000001001000001000000000100010000000010100000000000000000000000001001000010000000000000010000000010100000001100000000000000001001000001000000000000010000000000100000000000000000000000001001000001000000000000010000000010100000000000000000000000001001000010000000000000010100000010100000100000000000000000001001000001000000000000110100000000100000000000000000000000001000110001000000000001010000000000110000000001010001011000000000000000000000001000000000000000000000000000000000000000001001000010000000000000010000000010100000100100000000000000001001000001000000000000010000000000100000000000000000000000001000000001000000010001000000000000100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000001010000000000000100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001001001001100000000000000000000000000000000000000000000000000000010000000000000000000000000000000000001000100000000000000000001000000000000000000000000000000000000010000000000000000000110100000000000100000000000000000000000001000000011010000000110100000001001110000000000000000000000000000000100100000000010000000001000001000000000000000000000000000000100100000000110100000000000100000000000000000000000000000000010110000000001000000001000001000000000000000000000001000000100100000000001000001100001011000000000000000000000001000000000000000101000000000000110000000000000000000000000000000000100001000000001000000000000100000000000000000000000001000000000001000001000010100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111001111011101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000100000000100000000000000000000000000000100000000000000000001100000000100000000000000000000000000000100000000110000000000100000000000000000000000000000000000000000000000110000000000100000000000000000000000000000000000000100000000001000000001100000000000000000000000000000000000000100000000110000000000100000000000000000000000000000000000000000000000110000100000100000000000000000000000000000000000000100000000000100100001100000000000000000000000000000000000000100000000000000000001100000000000000000010110100000100000000000000000000010100000000000000000000000000000000000000000000000000000110000000000100000000000000000000000000000000000000100000000001000000001100000000000000000000000000000000000000100001000001000010101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000001000001010000000000000000000100000000000100001000000000000000001100001000000000000010000000100000000000000000000000000000001100001000000000010000000000000000010000000000000000000000001100101000001000001000000000100000000000000000000000000000001100101000000000010000000001000000000100000000000000000000001101000000000000101000000001000000000000010000000000000000001100001000000000001000000001000000010000001000000000000000001101001000000000001000000001000000010000010000000000000000001100001100000000001000000000000000010000001000000000000000001100000000000000001000000000000000000000001000000000000000001110000000000000000000000010010010000000000000000000000000000100000000000000010000010000000000001000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000111101001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000000000000000000000000010000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000001000000000000001000000000000000000000000000010000000000000000001000000000001000000000000000000000001000000000000000000000110000000000001000000000000000000000000000000000000000000000110000000000001000000000000000000000000000000000000000000000110000000000001000000000000000000000000000000000000001000000110000000000001000000000000000100000000000000000000000000000000000000000001000000000001000100000000000000000000000000000110000000000001000000000000000100000000000000000000001000000110000000000001000000000001000100000000000000000000000000000110000000000001000000000001000000000100000000000000000000000000000000000001000000000000010100000000000000000100000010001000000000000000000000000000000000000000000000000000000000001000000000000001000000000000000001000000001110000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011101010111010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010001000000000000001110000000110000000000000000010000000001110010000000000000001110000000110000000000000000100000000001010101100010000000001110000000010000000000000000110000000001111101100000000000001110000000000000000000000000110000000101110111100000000000001110000001101000000000000000000000000001010001000000000000001110000000110000000000000000110000000001010110100000000000001110000000110000000000000000110000000001111111100010000000101110000000010000000000000000110000000101110110100000000000001110000000010000000010000010010000101000000001100000000011000000100110010001100000000000110000000011100111100010000000001100000000110000000000010011111000000001110010011111100000001110000000110000000000011000000001100001110101100000000000001110111000000000111000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000100011000000000000000000000110000000001110101100000000000000000000000000000000011010011110001110000001101000000000011111100101100110001110000000000110000000001110111100000000000001100100000010000000000000000000000000001110001000000000000001100111100000000100000000000010000000001110011000000000110001100000000110000011001111000110100000101100001100000001111011100000001011000000011010000110100000111100001100010001111001100000011001000000011011011110000000111100001100000001111111100000000110000000001010000110100000111100001101110001111011100000000110000000011010000110000000111100001100000000110001100100100110100000000010000110101110011000000000110001110001000101100100011111000000000000001111000000000000000011111000000111100100001100010000000000001110111100111100000001100001100111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110101111001101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001010000000000000000000000000010001000000000000000010000000001010000000000000000010000000000000010000000000000010000000001000000000000000001010000000010000000000000000000010000000000000000000000000001010000000000000101000000000000010000000000010000000000000000000000000000000000000000000000000000000001010000000000000001010000000000000100100000000000010000000001010000000000000000010000000000000000110000000000000000000001000000000000000001010000000000001000000000000000010000000001000000000000000000000010000000000000000000100001000000010000000000000000000001010000000111000001110000000000110000000001010000000001000000000000000010000100000100000000010000000001010000000011000000000000100010000001000000000000010000000010000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000101000000000000000000001010000000010000000000000000000000000000000000000000000100000001000000000000000000000001000001000000000000000000000000001010000000010001000000000000000100100000001000000000000000000000000000010000000000000000000100000000010000000000000000000000000000010000000010000000000100100000001010100000001000000100000000000000001000000010000100110000000000000000000101000100000000000010001001000010000100100000000000000000011101001011000000000010001000000010000101100000000000000000001000000100000000000010001000001010000001100000000000000000000000000000000000000010001100000000000000000000000100000000000000000100000000000010000001010000100100000000100000010010000000000000010000000000000000000001010000000100000000000010000000000000000000000010100000000000010100100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010010110000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000100000000000000000000000000000000000010000010000000000000000000000000000000000000010000110000000000000000100000000000000000000000100000010000000000000000000000000000000000000000000000000000000000000000000001000100000000000000001000000000000000000000000000000000000000000001000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000001000101000010101000000100000000000000110000000100010001000000000000000000000000000110100000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000010000000000000000000000001000000000000000000100110010000000000100000000000000010000000000000100000000000000110010000000000100000000000001000000000000000000100000000000110010000000000100010000000010000000000001000000000000000000110010000000000100000000000000010000000000100110000000000000110000000000010000100000000000000000000000000000000010010000000000000000001000000001100000000000010000000000000010100000000000000000000010000000011000000000010000010000000010101000110000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100010010011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000001001000010000000000000000000000000000000000000000000000000000000000100000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000100000000001000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000001000001000000000000000000000000010010100000000000000000000000000000000010010000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000001000010000000000000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110000000000000100000000000000000000000000000000000000000000100000000000000000000000000000000000000001010000000000000000000000000100000100100010001011000000000000000000000000000000000000000000000000000010000000000000000000000000000000000010000000000000100000010010000001000000000000010000000000000010000000000100000000000000000000000000100000000000000000000000000000001010000000000000000000000000000000000000000000010000000000001010000000000000000000000000000000000000000000010000000000001010000000000000010000000000000000000000000000010000001100001010010000000000001000000000100000010000000000010000000000000100000100100010000000000001000000000010000000010000000000000010000000000001000000000000000000000000100000000000000000000000000000010000001000000000000000000000000000010110010000000000000000110010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111000101111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000110000010000000000100111100000000000000000000000110000000000110001110000000001110101100000000000000000000000110000000001111000010000000000100101100000000000001000000000010000000000110001111100000001110011000100100000000000000000110000000000010000010000000000101011001101100000001000000000010000000000110001110000000001110111000000000000000000000000110000000000110000010000000001110011100100000000000000000000110000000000010000110000000001100101100000000000011000000000110000000000110000110000000001110111100000000000000000000010110000000000000000100000110001000101110000010011000000011100000010011000110000110000000001110111100000000000000000000000110000000000110010100000010001110011100000000000100100010010110010010000110000110000010000000111100000001111000000111100110010010000000000000000000000000000000000000000000000000010000000000000000000010000000000000000000000000000000000000001101000000000000000110001111000100111100000000000000000000000110000000000110000000000000001110011000000000000001000000000000000000000000000000001010111100011100100000110000000011100110010110000010000110000011000101111000000000000000000001000110100000000110011110000000001110001100000001100000000000000110100000000110011110000000111100111100000000000000000000000110100000011110011110001110111100011100010001111000001011111110001110011110011110001110111100011100000001111000000101100110101110011110011110010111111100011100000001111000000111111110100101011110011110000110111100011101001001111000000001100110100110011110000000001101111100011100100000110000000111100100001110011110011110000010111100111000100001110000001011000010001110000000011110000000000000001101101001111000000000000100001111010010000000000111000000111100000000000001010101100110100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110001000101000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000001010001000000000010000100000000000000000000000001010000000001010001001000000010000000100000000000000000000001010000000001000101000000000010000001000000000000000000000001000000000001010001000000000010000000010000000000000000000001000000000001000001000000000010000100000000000000000000000001000000000001010001001000000010000101000000000000000000000001010000000001000001000000000010000010010000000000000000000001010000000001000001000000000010000000000000000000000010000001010000000001010001010000000010000100000000000000000000000000010000000000000000000000000000000010000000001000000000000010000010000001010001010000000010000000110000000000000000000001010000000001010000010000000010000000010000000000000000100000010000000001010001010000000000000000010000100011000001000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000010000000000000000000001010000000001010000000000000010001000000000000000000000000000000000000000000000000000000000010000110010000001000000000010000000000001000001010010000010000100000000000000000000000000100000000001010000001000000010000000000000000000000000000000100000000000010000001000000000010010010000000000000000000000100000000000011000001000010000010000110100001000000001000000101000100000001000001000010000010000010000001000000000000100100000010000001000001001000000010000010000001000000000000110001010000000001000001000100000010000010000001000000000010000100000000000001000000010010000010000010000000000000000100100000000100000001000001000000000010000010010000100000000100001000000000000000000001000000000000000000010010000000000000000010010000000000000000100000000000000010000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000111010001000000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000100000000000001000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000010000000000100000000100000000000000000000000000000000000000000000000000000000001000000000000000000000001010000000000000010000000001100000000001000000000000000000100000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000000000000000000000000100000001010000000000000000000000001000000000100000000010000001000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000001001000110000000000000000000000000010010010000000000000000000000000000000000000000000000000000100000000000000000000101100000000000010100000001000000000000000000000000000010000101100000000110001000000000000000000000000000000000000101000101100010000110000000000000010000000000000100001000000101000101100010000110000000000000010000000100100000000010000101100101110000000110000000000000010000000100001000010000000101100101100000000110000000000000010000000000100000000000000101100000000010000110000000000010000000000100000000001001000101100101100000000110100000000001000000000000000000010010000000000101100000000000000000000010100000000000000000010100000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110100010000010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000010000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000000000010000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000100000000000000110000000000000000001000000000000000000000000000000000000010000000000000000000000000000000000000000000000000010000000011000000000000001000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000001000000000000000000010001010000100100110000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000010000100000000001000001000100000000000000000000000000100000000000010000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000100000010000100000001010000000100001000000100001000000000000100000011000100000001010000000000000000000100000000000000000000000011000100000001010000000000100100000000000000000000010000000011000100000001010000000000000000110000000000000000000000000011000100001000100000000000101000000000000000000000000010000010000100000000100000000000000000000010000000000000000000000000100100000000100000000000000000000100000000000000100010000000100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001101010011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110011000000000000000000000000000000000000000000110000000001110110100110000000000000000000000000000000000000100000000001010010100110000000000000000000000000000000000000110000000011100010100110000000001100000000000000000000000000110000000101110011110010000000001110000000000000000000000000110000000101110101100000000000000100000000000000000000000000100000000001010110100110000000000000000000000000000000000000110000000001110101100110000000001110000000000000000000000000100000000001110011100110000000000100000000000000000000000000110000000001110111000110000000001110000000000000000000000100010000000100100101100000001111000000001100000000110000000000110000000001110011110110000000001110000010010000000000000000110001111011100101100110000000100100000000000000000000000000000000010001110001100000001100100100100100000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100011100100000000000000000000000000000000000000110000000000000000001101100000001110000000000000000000000011110000010001000001111110001110100100101100000000110000000000110001111001110001000110000000000100000010010001100000000000110000000101100111100010000000000000000100100001001000000010110000000101100011100110000000000001011000000000000000000011110001111111100111110110001111110100000000100000000000000011110001111111100011111111001111001100000001100000000000000011110001111011100011101111001111111100000000000000000000000011110001111111100011111110001111001100000000000000000000000011110000110000000100000110101111001100000000100000000000000011110001111111100011000100001100000100101100000000001000000000000000000111100111100000000000000000001100000010111000000000000000011000000001001101101111001000001101101101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101011100000001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000001010000000010001000101010000000000000000000000000000000000000010000000000001010001010000000000000000000000000000000000001010000000011001000001010000000010000000000000000000000000001010000000000000000010000000000010000000000000000000000000001010000000000000000100000000000010000000000000000000000000000010000000000001000001010000000000000000000000000000000000000010000000000000000001010000000010000000000000000000000000000010000000010000011010010000000010000000000000000000000000000010000000010000100001010000000010000000000000000000000001000000000000000000001000000001010000000011000000000101000000001010000000010000100100110000000010000000000100000000000000001010001000011000010001010000000000100000000000000000000000000000000000010000001000000000100010000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000001010000000000000000000000000000010000000000000000000000000000001000001000000010000101000000000000000000000000001000000001010010000010000000001010000000010000000001000000000000000001010000000000000111001000000000000000000000000100000000000000000000000000000000011000000000000000100000000000000000000000001010000000010000010000001000010010000000000000000000000000001010000000010000110100010000100100000000001000000000000000001010000000010000110000010000100110000000000000000000000000001010000000010000110001001000100100000000000000000000000000001000000000000000000100001000000000000000000000000000000000001100000000010000010010000000000100000000000100000000000000000000000000010000110000000000000000011000000001000000000000000100000000000001000000100000100000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000110010111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000000000000000000000000000000000000000000000000000000100010000100000000000000000000000000000000000000000000000000100100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010100000000000000100110000000000010000000000000000000000000000000000000000000000000101000000000000000000001000000000000000000000001001000000001100000000000010000000000000000000000000000000000000000000000000000001000010000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000000000000100100001000010000000000000000000000000000000001000000000000100000000000000000000001000000100000000000000000000000110001000000000000000000010000000001000000000010101000000100110000010000000000000000000000000000000000000000101100100000110001000100010010010000000010000000000000000000101100100000110000001000010100000000000000000000000000000000101100100000000000000000110100000100000000000000000000000000101100100000110000000100010010000000000000000000000000000000101110000000000001000000000011001000000000000000000000000000101110100000110000000000001000000000011100000000000000000000000000000000110100000000000000000000000000000000000000000000000000000000000000000000010100000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111101010000110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000001000010000100000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000001000010000000000000000000000000000000000000000010000000000000010010000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000010000000000000010000000000000000000000000000000000000000000010000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000100000001000000000000000000000000010000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000001100000100110010000000001000000000000001100000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000001000000000000000000000000000000001000100000000000000000000000000000000000000000000001010000010100101100000100000000000000000000000000000000000001010000010000101000000100000000000001000000000000000000000001010000110000100010000100000000000000000000000000000000000001010000010000101100000100000000000000000000000000000000000000100000000000000000100000100000000001000000000000000000000000010000010000100000001000000000000000000000000000000000000000000000010000100000000000000000000000000100000000000000000000010000000000000000000010000001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000111011110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000001000000000111100000000100000000000000011111111000000001000001000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000001000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000000000000011111111000000000000001001011111111110100000100000010000001100111100110000001000000000000000111100000000100000010000000011111111000000001000000011110101111100000000100000010000000011111111000000001000001011001111111100000000100000010000000100111111000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001111101000000000000000000000111100000000000000000000000011110000000000000000000011111111111111110010000000000100010111110101111100100000000011110000111100000010000000000100000011111111000000100000001000000000000000000010000000000100000011111111101000100000000000000000111100000010000000000100111111111111110010000000000011111110111111001000000000000001001111111111110010000000000011111110111111001000000000000001001111111111110010000000001011111111111111001000000000000001001111111111110010000000000011111110111111001000000000000001001111111111110010000000000011101111111111001000000000000001001111111111111110000000000001111111100110010000000000010000000001011111000000000000001000000000000000000000000000010000111111111111000000000000000000000000110011000000000000000000000000001111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111101101100100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000001010000000010100000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000011110011111100000000100000010000000011111111000000001000000011011101111100000000100000010000000100001111000000001000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111101000101000000000000000111100000010100000010100000011110000000000000000000001111111111111100010100000010100110101111111111100101000001011111111111100000010100000010100000011111111000000101000000000000000000000000010100000010100000011111111111100101000000000000000111100000010100000010100000001011111110010001000000011111111111111001000100000010001001111111111110010001000000011111111111111001000100000010001001111111111110010001000000001111111111111001000100000010001001111111111110010001000000011111111111111001000100000010001001111111111110010001000000011111111111111001000100000010001001111111111111110001000000000000000100110010000100000010000010000011110000100001000001000000000000000000000000000010000011111111110000100001000000000000000110010010000100000000000000000001111101000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110100011000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001000000000000000000001000000001000000011111111000000010000000000000000000000000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000000001111000000010000000000000000111100000001000000001000000000001111000000010000000000000000000000000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000001000000000111100000001000000001000000011111111000000010000001000000000111100000001000000001000000011111111000000010000000000110000101000000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000000000000000000000000001000000001000000011111111000000010000000000000000111001000001000000001000001101011111000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000010000000000000000111100000001000000001000000000000000000000000000001001010101000100000001000000001000101101111111111100010000000000000000111100000001000000001000000011110000000000010000000000000000000000000001000000001000010011111111111100010000000000000000000000000001000000001000000011111111110010010000001001110011111111001001000000001001001111111111110010010000000011111111111111001001000000001001001111111111110010010000000011111111111111001001000000001001001111111111110010010000000011111111111111001001000000001001001111111111110010010000000001111111111111001001000000001001001111111010110110010000000000000000111111110001100000011000000001111110111000001000000000000000000000000000000000001000011111111111111000011000000011111100101010100001100000000000000000001001100100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010011111100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000011111111000000000000000000000000000000000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000000001111000000000000001000000000111100000000000000000000000000001111000000000000000000000000000000000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000001000111111101011110000000000000000010101011010101000000000001000000000111100000000000000000000000011111111000000000000000000000000000000000000000000000000000011111111000000000000001000000000111111110000000000000000111111111111000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000111100000000000000000000000000000000000000000000001011111111111001000000000000000000011101111001111100000000000000000000111100000000000000000000000011111010101000000000000000000000000000000000000000000000010010101010111100000000000000000000000000000000000000000000000011111111110010000000000001110111111111001000000000000001001111111111110010000000000011111110111111001000000000000001001111111111110010000000000011111110111111001000000000000001001111111111110010000000000011111110111111001000000000000001001111111111110010000000000011111111111111001000000000000001001111111010110110000000000000000000000000000000000000000000000000001110111000000000000000000000000000000000000000000000111111111111111100000000000011111100111111110000000000000000000000001010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000110001100000010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000011110111111110111100000000001100011001100011001100011001111011110111110110111100100011001100011001100011001100011001111011100111101110111101100011001100011001100011001100011001111011110111101110001101100111001100011001100011001100011001111011110110001110011101100011001100011001100011001100011001111011110111001110111101100111001100001001100011001100111001111011110110001110111101100011001100011001100011001100011001111011110111101110111101100011001100011001100011001100011001111011110011111110111001100011001100011010100011001100011001110001110111011000111101100011001100011001100011001100011001111011110110111110011001100011001100011001100011001100011001111011110011111110011101100011001100011001100011001100011001111000000000000000000000000000000000000000000000000000000000000011110011111110111101100011001100011001100011001100011001111001110001111101010100000100000000100001100011001100011000000000000110000000001100000000000000000000000000000000000000000011110010001110011100000000000000100000100011001100011000000000000001000000000000000000000100000001100011000000000000000011110111111110111110000000001000011000000000001000011000000011110111111110111100000000000000000000000000001100011000010010000000000100100101100011011111011001100011000000000000011001100110101000011111111011011111111011111011000000000000011000000111101110111111111011001100011000000000011111011001000010110011111001001100000000001100011011111011011111011001001011110111100110111100000000000000000000000000001100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000010000100100010100100000000011100011000000010001100011001100000000000000000000000000000000000000000000000000000000000000011110111111110111100000000000000000001100011001100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110110101110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000001000000101000000100000000000000000000000000000000000000001001000000101000000100000000000000000000000000000000000000011011000000100000000100000000000000000000000000000000000010000001100000100000000100000000000000000000000000000000000010000001000000000000001100000000000000000000000000000000000000100001000000000100000100000000000000000000000000000000000010000001000000000100100100000000000000000000000000000000000010000001000100100000000100000000000000000000000000000000000010000001000000111000000000000000000000000001000000000000000000000010000000001000000100000000000000000000000000000000000000100001000000101000001000000000000000000000000000000000000010000001000101101000000100000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000001000001101001000000000000000000000000000000000000000010000000000000110101000000000000000000000000000000000000000000000000000001000001100000000000000000000000000000000000000000000001000001000000001100000000000000000000000000000000000000000000000100000000000000000000010000000000000000000000000000000001000000101000000101000000000000000000000000000000000000000001000000101000000100000000000000000000000000000000000000000000010000000001000000000000000000000010100101000000000010000000000001100001100000000000000000001000000000000000000000001000001001010000101100000000000000000000000000000000000000000011001100000101100000000000000000000000000000000000000000100011000000100000000100000000000000000000000000010100101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000010010000000010000000000000010000000000001000000000000010000000000000000000000000000000000000000000000000000000000000001001000001000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111011010111100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000110000000100000000000000000000000000000000000010001010000000110000000100000000000000000000000000000000000000001000000000110000000100000000000000000000000000000000000010100010000000110000000000001010000000000000000000000000000010100010000000110000000000000000000000000000000000000000000010010010000000110000000100000000100000000000000000000000000110100010000000110000000100000000000000000000000000000000000010100010000000110000000100000000000000000000000000000000000010100010000000010000100100000000000000000000000000000000000000100010000000100000000100000000000000000000000000000000000010010010000000110000100000000000000000000000000000000000000010100010000000010000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000010000000010001100100000000000000000000000000000000000010100010000000000000000000000000100000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000010000000010000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000110000000100000000000000000000000000000000000000000010000000110000000100000000000000000000000000000000000000000000000000000000000100000000000111000000000000000000000000000000000010000000000000111000000110010000111000000000000001000000000100100100010000111000000000100000000000000111000000010000100000000000000000000000000000100000111000000111000000010001000100110000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000001000000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000010000100110000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111101001001101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011001100011000000000001100011001100011001100011000010001100011000100011000100011001100011001100011001100011001000001000011000101011001100011001100011001100011001100011001000000100011001100001001100001001100011001100011001100011001000001100010001100001001100011001100011001100011001100011001000001100011000100011001100011001100001001100011001100011001000001100010000100010001100011001100011001100011001100011001000001100010001100011001100011001100011001100011001100011001000001100011001000010001100011001100011000100011001100011001001000001011001000011001100011001100011001100011001100011001000001100010001100000001100011001100011001100011001100011001000001100000001100001101100011001100011001100011001100011001000000000000000000000000000000000000000000000000000000000000000001100001001100000001100011001100011001100011001100011001000001100001000000010000000000000000000001100011001100011000000000000000000000000000000000000000000000000000000000000000000001100000001100001000000000000000000000100011001100011000000000000000000000000000000000000000000001100011000000000000000011000011001100011000000000001000011000000000001000011000000001100011001100011000000000000000000000000000001100011001000000000000010000000001100011000010100000000000000000000001000011000000001000010000010100000010100000010100000000000000000000000000000001000000010100010001000100000000000010100000000000000000100000000000000000010001000100010100000010100000000000001000110000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110011000000000010001000100000000000000000000000000000000000000000000000000000000000000000001100010001100011000000000000000000001100011001100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101011111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000110000110000000101100110100111000010000000110000000001000000110001110000000001100111100011000000010000110000000001000000111000110000000111110000000111000000010000000000000001000000111000110011010011100000000111000000010000000000000001000000111000110001000011100001000111001000000000000000000001000000111000110000000001111001000111000110000000000000000001000000111000110000000001101000000111001000100000000000000001000000111000110000000001100000000111000010010000000000000001000000110000110010000011100000000111000000000000110000000001000000111000110011010011101001000111000010010000000000000001000000111001100001000011100001000111000000010000000000000001000000111000110010010011100110000111000010001000000011011000001000000000000000000011110000000001100000001000000010000001000000111000110011100001101011000111000000010000000000000000000011000000000000000001100010000011000000110001111000000000000000000000000111100000100001000001011000000100000000000000000011101000000011000000100000000011001000100001110000000000000001000000000000000011100000000000001000000000011000000001000000110001000000000000000110100011000000000000110000000000000000100000000001000000000000000011000000001100010000000001000000110001000000000000100010000011001000010100110000000001000000110001110011100000000010000001101100110100110000000001000000110001010000000001000011001011100110100100110000000001000000110000011000000001000001000011101000000001111000000000000000100000000000000000000000000011000000001100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000001000000110000000000000001000010000011000000010001110000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000100000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000010000000000000000000000000000000000011100001100111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110001000000000001000000100010100000000001010000000000000000110000011000000001010000100010100000000001010000000000001001000001000000000010000000000010100000000000000000000000001001000001000000010000110000000010100000001000000000000000001001000001000000000000010000000010100000000000000000000000001001000001000000000101010000000010110000000000000000000000001001000001000000000000010000000010100000000000000000000000001001000001000000000001010000000010100000000000000000000000001000110001000100000000010000000000100000000001010000000000001001000001000000000000010000000010100000001000000000000000000001000000001000000001010000000010100000000000000000000000001001000001000000000000111001000000100000000000000000010000000000000000000000000010000000000100000000000000000010000000001001000001000100000000110000000000100000000000000000000000000010000000000000000000110001000010100000000000001000000000000000000000000000000000000010000000000011000000000000000000000010000000000000000000010000000010100100000001000000000000000010000000000000000000010000000000000100000000001000000000000000000000000000000000000001000001100000000000000000000000000000000000000000000000000000000010100000000100000000000000000000000000000000000000000000000000000001001000000000000000000000000000010100000000000000000100100100001100000000000000000000000001000000000000100000000101000000000100000000000000000000000001000000000000000000000101000000000000001000000000000000000000000000000000000000000000000000000101001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000000000000000000000000000000000001000010001110101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100010000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000100000000100100000000100000000000000000000000000000000000000100000000000100000000100000000000000000000000000000000000000100100000110000000000100000000000000000000000000000000000000100000000000000010000100010000000000000000000000000000000000100000000000000001000100000000000100000000000000000000000000100000000000000000000100010000000000000000000000000000000000100000000101000000001100000000000000000000000000000000000000100000001110000100000100010000000000000000000000000000000000100100000001000000000100000000000000000000000000000000000000100000000000100000001100000100000000000000000000010100000000000000000010000000000000000000000000000001100000000000000000100000001000001010001100000000000000000000000000000001000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000100000000000000000000000000001000000000000000000000000000000000000010010001000000000000000000000000000000100100000000000000000000001000000000000000000001100001000000000000000000000000000000000000000000000000000000100000000001000000000000000000000000000000000000000000000001100001000000000000000000000000000000000000000000000000000001100101000001000001000000000000000000100000000000000000000001100001000000000001000000000010000000100000000000000000000001110000000000000000000000000000000000010001000000000000000000100000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000001000000000100000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000110000100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000010000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000010000000000000010000000000000000000000000000000000000000000000000000000000001000000010101000100000000000000000000011000000000010000000100000000000000000000000000000000000100000000010000000000000010000000000000000000000000000000000000000000000000000000000000100110000000000000000000000000000000100000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000001000010000000000001000000000000000000100000100011000000001000000110000000000001000000000000000100000000000000000010000000000110000000000001000000000000000000000000001000000010000000000110000000000001000000000000000000010000001000001000001000001000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000001000000000000000000000000000000000100000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001111001101101101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000001110001000000000000001010000000110000000000000000110000000001110111110000000000001010000000110000000000000000110000000010100010100000000000011100000000110000000000000000110000000001110111000010000000001110000000010000000000000000110000000001111111100000000000001110000000110000000000000000110000000001110001100000000000001110000000110000000000000000110000000001110111000000000000001110000000110000000000000000110000000001110011110010000000001010000000110000000000000000110000000001010110100000000000000100000000110000000000000000110000000001111111100000000000101110000000110000000000000000110000000101111111100010000000101110000000110000000000010000110000000001010110110010000000001110000000110000000011010000000001111000000001000000001101000000011000000000111000000000110000000001110111100000000000001110000000110000000000111000010000000000000000000000000000001110000000110000000011011000000000110011000001100000000110000000101100000001110000111000100000000000000000000000000000000000000000110000000000000000000000000001000000000000000000011110000000000000000000000000000001111011100001000000000000000000000100000001111000000000000000000000000000000000000000000000000000000000000001011010010000000111100110100000000101011110100100110001101001010010010000110111100010100000001111001100101100110001110000111000110111111111100000100000001100001010000000110011111000000000000000011111100011100000000000101100111100110101111000000000000000000000000000000000000000000000111100000001100000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000001111001110011000000000000000000001000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100010011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000001010000000000000001010000000010001010000000000000000000000001010000000000000001010000000010010100000000000000011000000001010000000000000001010000000010000101000000000000010000000001000000000000000001010000000010000100000000000000010000000001010000000000000001010000000010000000000000000000010000000001010000000000000001010000000010001000000000000000010000000001010000000000000000010000000010000101000000000000000000000001010000000000000001010000000000000100100000000000010000000001010000000000000001010000000000001010000000000000000000000001010000000000000001010000000000000010010000000000010000000001000000000000000001010000000000000100100000000000010000000001010000000000101000000010000000000000000000010100000001001000000010000000000001010000000010001000100000000000010000000001010000000000000000000000000000000000000000000000010000000000010000000000010100000000101001000000000000000000000000001000000000001000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000010010000000000000000000000000000100000011000001000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000001000100000000000000011010000000001000001100000000000001000000000000000000011000010000010000100000000000001000000000000000000000100001010000010010000000000010000000000000000001000000000000000100001000011000100000000000010000001010100100001000000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000010000000000000000000100000010001000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011011010010011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000010000001000000000000000100000010000000000000000000000000000000000001001001000000000000000000000000000000000000000000101000001000000000001000000000000000000000001000000000000000000000001000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000010000000010000000000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000010000001010000000000110100000000000000000000000000010001000010000001010000000000110010000000000100000000000000000101000000000000000000010000110000000000000010000000000000001001000000000000000000000000110000000000000000000100000000000001000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010110010101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010000000000001000000000000000000000000000000000000001000000000100000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000001000000000000000000000000000010000000000000000000000000000000000000000010000000000000000000000000000000000000000010000000000000000000000000010000010000000000100000000100010000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000001010000000000000000000000000001000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000000100010001001000001000000000000000000010000000000100010100010100010100011000000001000000000000000010000000000000000000000000000001001000000000000000000000000010000100000000000010000100010000001000000000000000000000000000000000000000000000000100110000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000010000000000000000000010000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000111011001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000110000000000000100100000000000000000000001011000000000110000110000000001110011100000000000000000000001111000000000010000010000000001111011100000000000000000000000110000000000010000110000000101110111100000000000000000000000110000000000110000110000000011100011100000000000001100000000110000000000110000100000000001110011101101100000000000000000110000000000110000110000000000100011100000000000000000000000110000000000110000110000000001100111100000000000000100000000110000000000110000110000000001100111100000000000000000000000110000000000110000110000000001110011110000000000100000000000110000000000110000110000000001110001100000000000000000000001111000000000110000110000010001110111000000000000000100001001110000011000000000000000000000001011000000001100000000101100000001111000110000110000110011100110101101100000001000101100110001111000000000110000100001110101100010000000000000011100110010010000000000000000011000001111100000000110000101011100000000001000000000110000000001110111100000000000000000000000010000000000000000000000000100100000000000000000001000000000110000000000110000110000000001110011000000000000000000000000000001111000000000110000000000000000000000000000000000000000000000000011110000000001100000001101100100000001000000101100110000111011110000000000110111100100100000001110000000001110110001111011110011110000000111100011101101001100011100001001100001111010110011111010010111100011001110000011000000101100100000001000000011110000000000000100100000001100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110011110000000001110011000000000000000000000000100001111000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000101110000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010001010000000000000000000000000000000000000001000000000001010001010000000010001010000000000000000000000001000000000001000001000000000010001000000000000000000000000001010000000001000001010000000010000101000000000000000000000001010000000001010001010000000010010110000000000000000000000001010000000001010000000000000010000110000000000000000000000001010000000001010001010000000010000010010000000000000000000001010000000001000001010000000010000100000000000000000000000001010000000001000001010000000010000100000000000000000000000001010000000001010001010000000010000100000000000000000000000001010000000001010001010000000010000000000000000000000000000001000000000001010001000000001010000100000000000000000000000001001001000000000000000000000000001000000000000000000000010000000010000001010001010000100010011000000000000000100000011001010010000000000000010000010010000000000100000000000001000001010000000000000000000001000000000000110000000000000001000000000100000000000001010000000010000000010000000000000000000001000000000000000000000000000010000000000000000000000000000001010000000001010001010000000010000100000000000000000000000000000100000000000001000000000000000000000000000000000000000000000000000000001000000000000000000000000000001000000000000000000010000000001000000000001000010000000000000000000000000000000010000000001000001000000000010010010000000010000010001000011010000000000000000000000000010000010111100000000000000000000001000000000000001000000000000010000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000001000000010001001000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000011110001001001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000100000000000000000100000000000000000000000000000000000000000100000000000000000110000000000000000000000000000000000000000000000000000000000010000100000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000100000000000000000011000000000000000000000000000000000000000000000000000010000001100000000000101000000000000000000000000000000000000000000000100000000000101000000000000000000000000011100000000000000000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000101100000000100000000110000000100000000000100100001000000000101100000000000000110000000000000101000000000101001100100000101100101000000000110000000000000010000000000000000000011000101100101000000000110000000000000000000000000010000000000000000000101100000000000100000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011101111001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000010000000100000000000010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000100000000000000100000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000001010000000000000000000000001000000000000000000000000000000000000100000000000000000000001000010000000000000000000000000000000000000000001000000000000000100000000000000000000100010001000010000000000000000000000000100000000000000010000000000000000000000000000000000011000000000000000000000000000100000000000000000000100000101000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000001000000001000011000000000000000001000010001010000001000000001000000000010001000000001000000000000010000100001000000010100000000000100000000000001000000000000010000100000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101110010000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010111100110000000000000000000000000000000000001111000000010001111100100000000001110000000000000000000000000110000000010100110100110000000000100000000000000000000000000110000000101110001100110000000001110000000000000000000000000110000000001110101100110000000011100000000000000000000000000110000000101110111100100000000001110000000000000000000000000110000000001110101100110000000001110000000000000000000000000110000000001000111100110000000001110000000000000000000000001111000000001100101100110000000001110000000000000000000000010110000000101110001100110000000001110000000000000000000000000110000000010100101000110000000001100000000000000000000000010110001111001110101110110000000001110000000000000000000011000010001111000100100000000001100000000111110010001111000000000110000000001110101100110000000001110000000100000000000000000110001111001110101100110001110000000001100010000110000000000000000110000000011100000001111000000010100000011111000000000110000111001110011100100000000000000000001100000000000000000000000000001000000100100100000000000000000100000000000000000110000000001110101100000000000000000000000000001100000000001101100000001110011000000000000000000000001100000000000000000000001111000100010111110101101001100000100010000100000000011110000111000001111100110000010001100111100000010111000000010010000011100100011010010001111011100001100000000110000000011110000111111100011000110101011011000011100010001100000000000000000000111100011000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000101100100100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000100001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100011100101010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001010000000000000000000000000000000000001000000000000010110000010000000010000000000000000000000000001010000000010011000101010000000010000000000000000000000000000010000000000000000001010000000010000000000000000000000000001010000000010000000101010000000010010000000000000000000000001010000000000000011010010000000010000000000000000000000000001010000000000000000001010000000010000000000000000000000000000010000000000000101001010000000010000000000000000000000000001000000000010000000001010000000010000000000000000000000000000010000000000000010001010000000010000000000000000000000000001010000000001000001001010000000010000000000000000000000000001010001001010000000100110000000010000000000000000000000000000000001001000000000000000000010000000100001000010000000000001010000000010000001001010000000010000000000000000000000000000110100000000100000001010000000000000011000000000101000000000000000000000001010000000100000000000010010000100000000000001010100000010001000100010000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000001010000000010000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000001000000000000000000100000000001000000101001100110000000001000000100000000000001100000000001000000000000000000000100000000001000000000000000100000000000000011000100001101100000000000000000000000000001100100000010000010100100000101000010010100000000000000000000000000000010000010000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001001100100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000010000010100000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000010000001000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000100000010000000000000000000000000000000000000000000000000000100000011100000000000000000000000000000000000000001010000000010001000000000000000000000000000000000000000000000000000000000000100000000000000100000000000000000000000000000100001000000000100100100000000000000000000000000000000000000000000100000000001000000000010000000001101000000101000000000000000000000000100000000000000000000000010000000000000000000000000010000000001000000010010000000000000000000000000000000000010000000001000000000001000000000000000000000010000000000000010000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000010100000000000000000000000000000000000000000000000000000000100000000000000000000100000000000000100000010000000010000000000000000000000101100000000000000000000100000000000001000000000000000000000101000000000110000001000001000000000000000000010001000000000101100000000110000000000000010000000000000000001000000000000000000000000110000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011001111111100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000000000000000000000000010000000000000010000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000010000000000000000010000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000010100010100000000100000000000000000000001000000000100000000000000000000000000000100000000000000000000000000000000000000000000000100010000000100100000000100000000000000010000000000000000000000100000000000000000001010000000000000000000001000000000000000010000000000100000000000000000000000000000000000000000000000000000011000000000000000000000000000001000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000100010100000001000000000000000000000000000000000000000000000000011000001000010010010000100010100100000100000000000000000000010000000000100000001000000001010000000000000000000000000000010000010000100000000010000000000100000001000000000000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111110100011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000100000000000000000001111000000000000001000000000111100000000000000000000000011111111000000000000001000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000001000000000111100000000100000010000000011111111000000001000001000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000000000000011111111000000000000000000000000111100000000100000010000000011111111000000001000001000000000111100000000000000010000000011111111000000001000000010111111111100000000100000010000000011111111000000001000000010111011111001000000100000010000000011111010101000001000001001011111111100000000100000010000000011111111000000001000000010011001000000000000100000000000000011111111000000000000000001101001111111111000100000010001111100111100111110001000000011001100000000001000100000000000000011111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000111100000010000000000100000011111111000000100000000000000000000000000000000000000000000000001111111100100000001011101111110011000010000000000100011111110011111100100000000011111110100110010010000000000100111111111101111100100000000011111111110111010010000000000100111100001111000000100000000011111111110010010000000000000000100001111010010100000000001000000000000000000000000000010000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000100000000000000011110101010100100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110111000110101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000100000010000000000001111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000010101110111100000000100000010000000011111111000000001000000000010001111001000000100000010000010101011100110000001000000000001010111100000000100000010000000011111111000000001000000001100110000000000000100000010100000011111111000000101000001010010110111111111000100000010001001111000011110010001000001011001100000000001000100000010100000011111111111100100000000000000000000000000000000000000000000000000000000000101000000000000000111100000010100000010100000011111111000000101000001000000000000000000000000000000000000000001111111100101000000011111111110000110010100000010100011111110011111100101000000011111111111111110010100000010100111011111111111100101000000001110111101110110010100000010100111110100000111100101000000001011111110111010000100000010000100001111111010100001000000000000000000000000000000000010000000000000000111100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000100000010000000011110101010100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101110111001011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000011111111000000010000001000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000001000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000001000000000111100000001000000001000000011111111000000010000000000100010010001000001000000001000010101011111000000010000000000000101111100000001000000001000000011111111000000010000000011110000001100110001000000001000000011111111000000010000000001111111000000001001000000001001001111000011110010010000000000000000001111111001000000001000000011111111000000000000001000000000000000000000000000000000000000001111000000010000001000000000000000000001000000001000000000001111000000010000000000000000000000000000000000000000000000000000000000010000000001110111111100000001000000001000101001011111111100010000000001111111000111110001000000001000010001001001111100010000001000000000001101110001000000001000111010101100110000010000000000000000111111110001000000001000101010100101010100010000000000000000000000000000000000001000111111110000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000000001110111111011100000000000000000001100111100110000000000000010101111111100000000000000000000000011111111000000000000000000001111110011000000000000000000000011111111000000000000001011111111000000001000000000000001111100111100111110000000000000000000001111111000000000000000000011111111000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000001010101000000000000000000000000000000000000000000000000000000000000000000000000011111111111100000000000000000000010101011010100100000000000011111111111100010000000000000000111111111010111100000000000000000000110011010000000000000000110101011111111100000000000000000000111100110000000000000000010101010101010100000000000000000000000000000000000000000000111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000010110100011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000111100110111111111111000000000011111011000000000000000001010101110011101110100011000000000001100011011111011000000001010100110101100001100011001100011000000000011111011000000000110111111110111100000000001100011000000010001100011001111000110111111110111100000000001100011001100011001100011001110011110111101110111101100011001100011001100011001100011001111000110000000000000001100011001100011001100001000000000001111011000111111110111100000000001100011001100011101100011001111011110111011110111101100111001100011001100001001100011001111000110011111110111101100011001100011001100011001100011001111011110111010110001110100011001100011001100011001100101001111011100110011110111101100011001100011010100011001100011001111000000000011001000000000000000000000000000000000000000000000011110011111110110001100011001100011001100011101100011001111011110111000110011001100011001100011010100011001100011001111011110111001110111101100011001100011001100011001100011001111011110011101110111101100011001100011001100011001100111001111010001101011011110001000000000000000011111011001100011000000001100001001100011011000000000000000011000000001100011000000000000000000000000000010000000000000000000000000110000000000000000000000000001000000000000000000000000000000000000001100010100010000000001001010011001100011000100011000000000000000000000000000000000000000000000000000001000111000000000000001000110101101010100101100011000000000011111011001100011000000011110101011000111100000000011101011000000000001000011000100011000110000000000000000000000000000000000000000000000000000010011000101010111000000000000000000011111011001100011001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110010010100000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110010000101100000000000000000000000000000000000000000000100100100000100101000000000000000010100101000000000000000000001000000000000000000000000000000000000000000000000000000000000000101000000100000000000000000000000001000000000000001000000000101000000100000000000000000000000000000000000000000001000000100100000100000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000010000001000000101000000100000000000000000000000010000000000000100001000000001000000100000000000000001000000000000000000000100000000000101000000100000000000000000000000000000000000010000001000000001000000101000000000000000000000000000000000010000001000000001100000100000000000000000001000000000000000010000000000000000000000000000000000000000000000000000000000000000001000001101100000000000000000000000000000100100000000010000001000000000000100000000000000000000001000000000000000010000001000000000000000100000000000000000000000000000000000010000011000001100000000100000000000000000000000000000000000010000000000000000100000000000000000000000000000000010100101000000010100100010100101001000000000000000001000000010100101000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000011000001000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100100001000000000000000000000000000000010100101000000001000000001000000100000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000001000000000100000000000000000000000000000010100101000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010011110010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000100111001000000000000111000000000000000000000100010001000001000000100000000000000000000000111000000000000100010000000010000000100000000100000000000000111000000000010000000110000000100000000000000000000000000000000000010010010000000110000000100000000000000000000000000000000000010100010000000110000000100000000000000000000000000000000000011100010000000000000000000000000000000000000000000000000000010010000000000110000000100000000000000000000000000000000000011100010000100110000000100001001000000000000000000000000000010010010000000010000000100000000000000000000000000000000000010100010000100110000000000000000000000000000000000000000000110100000000000110000000100000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000010000000010000000100000000000000000000000000000000000010100010000100110000000000000000000000000000000000000000000000100010000000110000000100000000000000000000000000000000000010100010000000010000000100000000000000000000000000000001000110100000000010000100001000000000000000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000001000000000000000000000000000100000000000000000000000000000000000000000100000000000000000000001000000000000000000000100000000000000000000000000000000000000000000000000000000000000100000000000000001000010000100010000000100000000000000111000000000000000000010000100100000000100000000000001000000000000000000000010000000001010000000000000000000000000000000000000000000000000000000100000000100001000000000000000000000111000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100010010011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000000000000010100000000000000010100000000000000000000000000000000000010000000100000000000000000000010100000000000001000000100000010001000110001000100000000000010100000000000100011001100011000000000001100011000000000001100011001000000100011001100011000000000001100011001100011001100011001000001100011000100011001100011001100011001100011001100011000000000000000000000000001100011001100011001100001000000000001000001000011001100011000000000001100011001100011001100011000000001100010001100011001100001001100001001100001001100011001000000100011001100011001100011001100011001100011001100011001000001100010000100001000100011001100011001100011001100001001000001100010000100011001100011001100011000100011001100011001000000000000000010000000000000000000000000000000000000000000000001100001000100010001100011001100011001100010001100011001000001100010000100010001100011001100011000100011001100011001001001100011000101011001100011001100011001100011001100011001000001000001001100011001100011001100011001100011001100001001000000000001000000000101000000000000000000010100000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000011001100011010000000100000000000000000000000000000000000000000000000000001000011000000000000000000100000001000000010001000100000000000010100000000000000000011000000001000011000000000010010100000000000001000011000000000010000000000000000000000000000000000000000000000000000000000000000001000000100000000000000000000010100000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100110001001000100000001001001011011111000001111000000001000100110001001000000011110110100010000000101101111000000001000100110000001000000111100000000011110000000001111000000001000000110000110000000011000111100111000000000000010000000001000000110000110011010000101001000111000000010000010000000001000000110001110000100001100001000111000000010000010000000001000000111001010011000011101111000110000100000000100000000001000000110000110000000001101001000111000000010000010000000001000000111000110001000011100110100111010000000000000000000001000000111000110011110110110000000111000000111000000000000001000000111001010111110011100000000111000110010000000000000001000000111001110000000111100100000111110000001000000011100100001000000000000000000000111001000111100000000000000000000001000000111001100100100001100000000111000000011000000000000001000000111001010000000011101111100111000000000100100000000001000000111000110001100001100000000111000010110000000000000001000000111000110011010001100000000111000000000000000000000000000011000000000000000101100000001011001100001101111000000000000001000000000100000011000000000010000000000000011000000000000000000000000000000000000000000000000110000000000000000000000000010000000000000000000000000000000100000000000000000001000001000000110000100001100000000000000000111000001000000000000000100000000000000001100000000000000000000000000000000001000000110001000000000001000000000010000100001100110000000001000000110011111010000111100001001011000000000001111011111100000000010000000101000000000000000000000000010001100000000000000000110000000001000000110110000111111100000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100110010111111111111111111111111111111111111111111111111 +111100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000001000000000000000000001000100000001110000000000011000000000001000000101000000000001000000100100100000000000011000000000000000000000000000000101101000000000001000000000001001010001000000000000000000000010100000000001000000000000001001010001000000000000010010000010100000000001000000000000000001010000011000000001010000000010100000000001000000000000000000100010001100000000011100000000000000000000010000000000001001010001000000000001010100000000100000000001000000000000001001000001000000000000010000100010101000000000000000000000001001000001000000010010000000000010100000001000000000000000000001000010001010010000010000000010110000000000000000000000000001000010001000000000101000010000001000100000000000100100000000000000000000001000000000000101000000000000000000000000000001000000001000000000010000000000100000000000000000000000000000100010001000000000010000000010100000000100010000000000001001000001000000000001010000000010100000001000000000000000001001000001000000000001010000000010100000000000000000000000000010000000000000000000100000000001000001100000001000000000000010000000000000000000000000000001000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000000000101000100000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000100000000001010000100100000000000000000000000010000100000000000000000010000000000000100011010100000000000000000000000000000000000000000000000000000000000000000000000000000000100010001001001000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000101011100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000100000000010000000000010000000000000000000010000000000001000000000000000000001010010000000000000000000010000000000100100000000000000000000010001000000000000000000000100000000110000000100100000000000000000000000000000000000000100000000000000100000100000000000000000000000000000000000000100000010000000000000100000000000000000000000000000000000000000000001001000100011100000000000000000000000000000000000000100000000000000100001100000000000000000000000000000000000000100100000110000000000100000000000000000000000000000000000000100000000001000000000100000000100100000000000000000000000000000010000101000000000100010000000000000010100000000000000000100000000000100000001100100000000000000001101100000000000000000000000000010100000001100000000000000000000000000000000000100001000000000000001100000000100000000000000000000000000000000000000000100010000100000000000000000000000000000000000000100000000000000000000100010000000100000000000000000000000000100000000000000000000100000000000000000000000000000001000000000000000000000000000000000000000000001000000000000000000000000010000100100000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000010000000000000000000100000000000000000000000100000000000000000000000000000000000000000000000000000000001100001000000000000000000000000000000000000000000000000000001101001000000000100000000000000000000000010010101100000100001000000010001000000000000000000000000010100000000000000000001100000000000000000000000010000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000111001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000100000000000001000000000100000000000000000000000010001000000000000000100100000000000000000001000000000000000010001000000000000000000000000000000000000001000000000000000000000000000000000000000100000000000000000000000000000000000000000000000010000000000000000000000000000100000000000010000000000000000000000000000001000000000000000100000000000010000000000000000000000010000000000000000100000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000000000000000000000000000000000000010000000000000010000000000000000000000000000000000000000000000000000010100000000100000000000000000000000001000000000000000000000000000010000000000000000001000010000000000000000000010000000000000010000000000000000000000000010110000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010000000000000000000000001000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000110000000000001000000000000000001000000001000000000000000000110001001000100000000000000000000000000000000000000000000100000000000000000000000000000000000000100000001000100101000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000011110111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011001111000011000000111000010001111111100101100000001001011011011110000000000001101100000011111111100100100100001111000000010010001100111101001001101101011001000010100110100000000000000000000000001011001000000000000000000000000000000000000000000000000000010001001000000000000001110000000110000000000000000110000000101110101111000000000001110000000110000000000000001010000000001110101100000000000001110000000010000000000000000000000000001010011000000000000001110000000110000000000000010100000000001110011100000000000001110000000110000000000000000110000000100100001100000000000001010000000110000000000000000110000000101010011101010000000001010000000110000000001111101011000000101110101000000000000001110000000110000000011010000000001111100100001100000000011000000111100000001100000000000110000000001110101101101100000001110000000110000000000000000010000000001110010101100100000011100000000110000000000000000110000000001110101000100000000001110000000110000000000000000110000000001110111100000000000001110000000010000000001011000010000111000100100100000010011001000101100000000100011111000000001111000000110000000001100001000100000000001100000000011100100000011000111100000000000001101011000000000000000000000100000000001000000000000000000001000000000110000000000000000110000000001110101100000000000111100100100000000000000000000000000000000000000000000000000001110000000000000000011011000100101110000000011100000000011111100111100100001110000000000010010110011100000000010000100000100100000110001101011111000000001111001000001100000011111000000001100110100110011011000100001000001010100000000011110001100111100000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011100001100110111111111111111111111111111111111111111111111111 +111100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000001000000001000000000100000001000001000000100000000000000001000000000000000000000100010001000000000000001000000000000000000100000010000000000100000000001000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000001010000000000000001010000000000000000100001000000010000000001010000000000000001001000000010000011000000000000010000000001000000000000000000000000000000000001010000000000000000000001010000000000000000010000000010000010010000000000010000000001010000000000000001010000000000000000000000000000000000000001010000000000000001010000000000000101000001000000000000000001010000000000001001000000000000000001000000000000010000000001010000000000110000000010010000000000000000001000000001000100000000000000000001010000000010000000100000000000010000000001010000000000000001000000000010001010000001000000011000000001010000000000000001010000000010000000000000000000010000000001010000000000000001010000000010000101000000000000010000000001000000000000001001000001000000000000100000010000100000000000000000000000000000000001000000000000010000000010000000000000000000000000000000001000000100010000010000000000000001000000000000000000000000000000000000000000000000000000000000000001010000000000000001010000000010000000000000000000101100000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000001001100000100000101100100000010000100000000001000000000000010000001000000100010000000000000100000001101000000001000000000000000000001010000000000000100000000000000000010000000000000000000000000000000101001000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111000001011000111111111111111111111111111111111111111111111111 +111100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000100000000011000110000000000000001000000100001000000000000000000000000001000110000000010000001001000000001000001000000110000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001000100000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000100000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000010000100000100000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000001000010001000000000000000001000000000000000001010000000010010000000000000000000010000000100000000010010000000001000000000000000000000000000000000010000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000010000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001001000000000000000000000010000000000000000011000000000000000000000000000000000000000000000000000010001000000000000000000011000001000000000000010000001000000000000000000100000000001000000000001000000001000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110110001100110111111111111111111111111111111111111111111111111 +111100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000100000000010000010000100000100100010000000000000100001010000000000000100010000000000000100100010000001000000000000010000000000010000000000000100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000001000000010000000000000000000000000000000001000000000000010001001000000000010000000000010010000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000001010000001000000010000000000100000000000010000000000000010000000000000000000000000000000000000000100110000000000000010000000000000000000000000000000000010000000000000000000010000000000000000000000000000000000000000000010010000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000000000000000010000000110010000000000000000000000100000001010000000000000000000000000010001001000000000000000100000000010001000000000000000000001000000010001000100000000000000000000000000000000001000010100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101110111111111111111111111111111111111111111111111111111111 +111100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111100011100010001111000000100100110000011000010001100000000000100101000000010011000100000001101000000011110001110000000111100011000010000100000000000000010000110000110000110000000011100011000000000000000000000000000000000000110001111000000001110101000000000000000000000000110000000000110000010000000000000000100000000000000000000000110000000000010000000000000001100011100000000000000000000010110000000001111000110000000001100011100000000000000000000000010000000000110000110000000001110101100000000000000100000000110000000000110001111100000011101111100000000000000000000000110000000000110000110000000001100111100000000000000000000000110000000000110000110001010001110111101100000000000100001100110000000000100000000000110000000011001111101111100000000110010000010000010000110000000001110001110010000000000000000000110000000001111000110000000001100011100000000000000000000000110000000000110010010000000001100111000000000000100100000000010000000000010000110000000001100010100100100000000000000000110000000000000011110001100111101001000000001111000000011100110100100000000011110001100000000111000000000111000000111100100001001000000000010001111000000111100000000000000000000001101100000000000010000000000000000100100000000000001000000000000000000000100000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000110000000000000010010000000111100011000000000111000000000010110000000011110000110001100101100011100100010010000001101100100000111000000001100000110000000001100000011111000000001100000001111000000011110000000011100011100000000111000000111101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100011100101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000010100100000000000000000000001000000000000001000000000100000000000010000000100000000000000000000001000001000000000010000010000000000000000000000000000000001010001010000000011001001000000000000000000000000000000000001010001000000000010000000000000000000000000000001010000000001010001000000000000000010000000000000000000000001010000000001000000000000000010000000010000000000000000000000110000000001000001010000000010001010000000000000000000000001000000000001000001010000000010000000000000000000000000000001000000000001010001000000000011000100000000000000000000000001010000000001010001010000000010001000000000000000000000000001000000000001000001010000000010000100100001000000000000000001010000000000000000000000000000000001010000001011000000000000000000000001000001010000000010000000000000000000000000000001010000000001000101010000000010000011010000000000000000000001010000000001010000000000000010001000000000000000000000000001000000000001000001000000000010001000000000000000000000000001010000000000000000001000010000010000000000100000000000100000100000000000000000001000000000001000000000100000000001000000010100000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000010000010000001000000000000000000000000000001001000000000000000000010000000000000000010000000010000000000000001000000000000000000000001010000000000000000001000000000000001000000000010000010000001011000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100011101010010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000110000000000011000000000110000010000000000001000000100000000001100000000000000000000000000000100000000101100001100000000110000000001000000000000000000001000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000001000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000001000000000000000000000010000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000100000000101000000100010000000000000010000100000000000010000000000000001000000000000010000010000100000001010000000000000000000000000000001000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000100000000000000010000000000000000000000000000000100000000000010000000000000000000000000000000000000000000101100100000110000000000001000000000000100000000000000000000101110010000001000000000010000000000000000000001000000000000000001000000000000000000000000000000000000000000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000101000000000110000000000000000000000000001000000000000101100000100010001110000010000000000000000100000000100001000000000000000000000001000000000000010000001000000000000011000000000101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100011011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000011000100000000100000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000100000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000001000000000000000010000000000000000000000000000000000000000000001000000000000000000110000000000000000100000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000010000000110000000000000000000001000000000000000000000000000000000000100000000000000001000000000000000000000000000100000000000000000000000000000000001000000010000000000000000000000000001000000100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000100000000000000000100000000000001000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000001010000001000000000000001000000000000000000000000000010000000010000000010000000000000000000000010001010000000100110000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000100100000000000001100000000000000000000100000000001000100000000000000000000000000000001000000001000010001000000001000000000000000000001000000100000000000000000000000100011000100000000000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101110100001100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110000011000100001000010011110001100001100010000110000000000000001110111100111000110001111011001111100100000101000000011110000011100100111001110101110111100000100000001011000000000110000000001110001000000000000000000000000000000000000000000100000000001110101000110000000000000000000000000000000000000010000000001110111100110000000000100000010000000000000000011111000000010100000100110000000001110000000000000000000000000110000000001110111000100000000000000000000000000000000000000100000000001010111100110000000001110000000000000000000000001111000000001110001110110000000001110000000000000000000000010110000000111100011100110000000011100000000100000000000000010110000000001110101110110000000001110000000000000000000011110110000000011100100110010001110000000001100000000110000000000110000000001110111000110000000001110000000000000000000000001110000000001111101100110000000001110000000100100000000000000110000000001111011100110000000001110000000000000000000000000110000000001110111100110000000001110000000100000000000000011110000111011100111100010000111000000111100010000110000000000000000111111100100100000011111000001111100010000100000000000000000000100100000000000000000000000000000000010011000000001100000000011000000000110000000000000000000000000000000000000000000000000000100000000000000101110000000000000000000000000000000000000000100100000000000000000000000000000000000000011110001111111100011000000000010001100011100000000000000000011110001111001110011111110001110000000101100000000111000011100100011111010000001100110100110000000111100000001111000000011110000011111100011000100000011001010011100100100110000000001001000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101110100100010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000010000000001000000000000000001000000000000000000000000000010000010000010000010000001001000000000001000000000000001100000000000000010101000000001000000000000100001000000001010000000010000001000000000000000000000000000000000000000000010000000010000001001010000000000000000000000000000000000000000000000010000000011010000000010000000000000000000000000000000000000000010010001010000000010000000000000000000000000001010000000000000101000010000000000000000000000000000000000000010000000000000011011010000000010000000000000000000000000001000000000000000000000010000000010000000000000000000000000000010000000001001000001010000000011000000000000000000000000000010000000010000000000010000000010000000000000000000000000100000000000000010000100000000100000000011000000000101000000001000000000010001000001010000000010000000000000000000000000001011000000010000000001010000000010000000000000000000000000001010000000010000100001010000000010000000000000000000000000001010000000010001000101010000000010000000000000000000000000000001001100000110000011000010010000000000010000000101000000000000001000001000000100000001010000000000010000000000000000000000000000000000000000000000000000000000000000100000000000000011000000100010000001010000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000100000000000000000000000000000000000000000001001000000010000010000000000100101001000000000000000000000001100000000001010000101000001000000001000000010100000011000000001010000010000000100000000000000000010000100000000000000001001001000010000010010001001000001011000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101010111111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000000000000100000001000001000000000000010001000000000000001001000110100000000001000000000000010000000000000000000101100000000110001000000001001110000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000100000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000001000000000010000010000000000000000000000000000000000000000001001000000000000001101000000000000000000000000000000000001111010000000000000000000110000101000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000010000000000000000000101100000000000001000000000000000000110000001000000000000000000000000000110100000000000010000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000000000000000000000000000000000000000000100000000000000000000000000000000000000000000101110010000110000000000000001000000000000000000000000000000101110100000010000101000001000000000001000000000001000010100000100010000001000000000000000000001000000000001000000000000101100000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010001000000100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001000100001000000010000000000000000000000000000010000101010001010000011000010000100000000000000000000010000000000100000001000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000100000000000001000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000100000000000000000000000000000000000000100000000011000000100000000000010000000000001000000000000000000000000000000001000100000000000000000000000000000000010100000000000010100000010000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000100000011000100000000100000000000100000000000000000000000110000000010000000000000000000000100010010100000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010000100000000000000001000000000000000000000000000000010000000000001000001000000001000000000000000000001000000000000000000001000000010001000000001010000010001000000000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100011010001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111011111110000000000000000000011111111111100000000000000000000111111110000000000000000111111111111000000000000000000000000110011000000000000000000101001011111000000000000000000000000111100000000100000010000000011111111000000000000001000000000111100000000100000010000000011111111000000000000001000000000111100000000000000010000000000001111000000000000000000000000111100000000000000000000000011110000000000001000000000000000111100000000100000010000000011111111000000000000001000000000111100000000100000010000000011111111000000001000001000000000111100000000100000010000000011111111000000001000000000000000111100000000000000010000000011111111000000001000000000110011111100000000000000010000000011111111000000001000000000110101111110100000100000010000001100111100110000001000001000000000111100000000000000010000000011111111000000001000000000000000111100000000000000000000000011111111000000001000000000000000111100000000100000010000000011111111000000001000001000000000111100000000100000010000000011111111000000001000000000000000011001100000100000000000111100001101001000000000000011001100011010010000100000010000101111101111111100000000001000000000000000000000000000000000000000001111111100001000000000000000000000000000000000010000000000000000000000000000000000000000111100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000111111110010000000000100000011110000111100100000000000000000110011000000000000000000000011111111000000000000000011111111111111110000100000001000111111111111111100001000000000000000001100110000100000000000000011111111000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101111001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001111111111111110000100000010000100001111111111000001000000000000000111111000000100000010000011111111100001100001000000000000000110010010000100000010000101001011110000100001000000000000000111100000000100000010000000011111111000000001000001000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000000001111000000001000000000000000111100000000100000010000000011110000000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000001111111100000000100000010000000011111111000000001000000000110101000010100000100000010000000011111010101000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000100110010000100000010100111111111101001000101000001000110011110000110000100000010000011111011111110100101000000000000000000000000000000000000000000000000101010100001000000000000000000000000000000000010000000000000000000000000000000000000000111100000000100000000000000000000000000000101000001000000000000000000000000000000000000000000000000000001000000000000000111111100010100000010100100001110000111100101000000011111111100110010000100000010000100001111111000000001000000011111111111111110000100000010010111111111111111100001000000000000000110011000000100000010000100001111110000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111110111111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111000000110001100000011000011111111001100100011000000000000000000000110001100000011000100101011010100100011000000000000000010101010001100000011000100110011111111000011000000000000000000000000001000000001000000000000000000000010000001000000000000000000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000000000000000000000000001000000001000000011111111000000010000001000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000001000110011111100000001000000001000000011111111000000010000001000000011101000000001000000001000001100111010101000010000000000000000111100000001000000001000000011111111000000010000001000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000001000000000111100000001000000001000000011111111000000010000000011111111111111010001000000001000010101011000000000010000000011000011011011000001000000001000011111111100001100010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000001000000011110000000000000000000000000000111100000001000000000000000000001010010100010000000000000000000000000000000000000000000000001111000000010000001000000000111011110001000000001000011101111001100100010000000011111111010101010001000000001000101001011111111000010000001011111111111111110001000000001000110111011111111100010000000000000000010100000001000000001000011111111001100100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000011011110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001100111111000000000000000000111111111010101000000000000000000000111111000000000000000000010101011010101000000000001000000000101010100000000000000000001100111111111100000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000000000000000000000000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000001000001111111100000000000000000000000011111111000000000000001011110011101011110000000000000000010101011111000000000000001000000000111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000000001000000111111110000000000000000101010101000000000000000000011000011001111000000000000000000100000001001001100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110000000000000000000000000000111100000000000000000000000000001010010100000000000000000000000000000000000000000000000000001111000000000000001000000000111011110000000000000000111111111001100100000000000001011111010101010000000000000000101001011111111100000000000011111111111111110000000000000000111111001111111100000000000000000000010100000000000000000000111111111010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001111001001101101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000011110000000110110000000110000000110000000000000000000000000011010110011110011011111111000000000000000000001000001000000011110011111110010111111011011111011000000000011110111000000000000001000000000001100011001100011000000000000000000001100011000111110100011100000000001100011001100011001100011001111011110111011110110101100011001100011001100011001100011001111011110111111110111101100111001100011000100011001100011001111011110111101110011101100111001100011001100111001100111001111001110111111010111101100111001100111001100011001100011001111001110001111110111111100011011100011001100111001100111001111011110001101110101101100011001100011001100111011101011001111011110111111110001101100011000100011001100011001100011001111010110111101110111101100011001100011011100011001100011001101001110111111010111101100011001100011001100011001100011001111011100011111110111000000000001100011001100011001100011001110011010111101110111101100011001100011001100011001100011001111011100111101110111100000110001100011001100011001100011001111000110111111110111101100011000000001100000000001100111000011011000111111110110000000000001100001000000010001100111000111001110111011110110101100011001100101001100101001100101001111011110111011100111111111001000000000001100011001100011001001011110111111110001111111001000000000001100011001100011001011011110001111110111100110011000000000001100011001100011100110011110111011110110101100011001100011001100011101100011001111001110110111000011110101011001100011001100011001100011001111010010010110010101111111011000000000000000001000100111000101001110111111110111001100011001100011010000000001100011001101010010100110010111100000000001100011001100011001100011000100011010001111110110111111011011111011000000000001100010101101000000000000000000000000000000000001100000000000000001101000011110111111110111001100011000000001101100011101100010000101011110111111110111000000000001100011001100011001100011001011000110011001110111001100010001100011001100011001100011001111000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010011100010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000000000000000000000000001000000000000000000000000000010001000010101000000000000000000000000000000100100000000011000000101000000100000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000001000000101000000100000000000000000000000000000000000010000001000001001000000100000000000000000000000000000000000010000001000000101000100100000000000000000000000000000000000011000001000000100000001100000000000000000000000000000000000010000000100000101000000100000000000000000000000001000000000010000000100000101000000101100000000010000000000000000000000000100011000000100000000100000000000000000000000000000100000010000001000000101000100100000000000000000000100000000000000000001001000000100000000100000000000000000001100000000000000000100000000000101100000100000000000000000000000000000000000000100001000000101000000000000000000000000000000000000000000000000001000000100000000100000000000000000000000000000000000010000011000000100000000100000000000000000000000000000000000010000000000000101000000100000000000000000100000000000000000010000001000000101000000000000000000000000000000001000000001000100000000000001000001100000000000000000000000000000000000010000001000100001000000100000000000000000000000001000000000000001001000000101001000000000000000000000000000000000000000010000001000000101001000000000000000000000000000000000000010000000001000001001000000100000000000000000000000010000000000000100000000000101000000100000000000000000000000000000000000000100000010010000011000000000000000000000000000000000000000010000000000000101000000000000000000000000001000000000000000010000000010010000011000000000000000000000000000000000000000000000001000000101100000100000000000000000000000000000000000100001000000000000000000000000000000000100100000000000000100100000001000000101000000000000000000001000000000000100000000000001001000000101000100000000000000000000000000100000000000010000000000000000000100000000000000000000000000000010000000010000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001001011000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000010000000100001001000000000100000000000000000000000001000010001000000000111001000000000000000000000000000000000010000100010000000000111000000111000000000000000110000100000000000000000000000000000000000000000000000000000000000010100000000000100000000000000000000000000000000000000000000010100010000100110000000100000000000000000000000000000000000010010010000000110000000100000010000000000000000000000000000010001010000000110000000000000010000000000000001000100000010010010010000000110000000100001001000001001000000000000000000010100010000000010000000100000000000000000000001000100001000110010010000000010000000100000000000000000000001000100000000001010010000000110000000000000000000000000000000000000000000001100010000000110000000100000000000000000000000000000000000010100010000000110000000100000000000000000000000000000000000000100000000000010000100100000000000000000000000000000000000010001010000000110000000100000000000000000000000000000000000001100000000000110000000100001001000000000000000000000000000001100010000000110000000100000000000000000000000000000001001001000000000000110000000100000000000000000000000000000000000100000010000000110000000100000000000000000100000000100000000101100010000000100000000100110000000000000000000000000000000000001010000010010000000000110000000000000000000000000000000001001010000000010000000100111000000000000000000000000000000001000010000000110000000100000000000000000000000000000000000001010010000000100000000000000000000000000000000000000000000001010001000000001000101000111000000000000000000000000001101000000010000000110000000100000000000000000000000000000000000000010001000001001000101000000000000000000000000100000000100000000010000000010000000100111000000111000000000000000000000010010000000000000000000000000000000000000000000000000000000000010010000000110000100100000000000000100000000000000000000000000010000000110000000100000000000000000000000000000000000001001010000000010000000100000000000000000000000000000000000001100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110100111001010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000010000000100000000000000000000000000000000000000000001000000001100000000010100000000000000000000000000000000000000001010010001010000010100000010100000000000001000110000000000000001000000000001100011001100011000000000000000000000000001000011010000011000000000001100011001100011001100011001000001100000001100010001100011001100011001100011001100011001000001100011001100010001100011001100011000100011001100011000000001100011001100001001100011001100011001100001001100001101000000100011001000011001100001001100001001100001001100011001000000100001001100011000100011000101011001100001001100001001000001000001001100001001100011001100011001100001000100011000001001100011001100000001100011000100011000100011001100011000001000100011001100011001100011001100011000100011001100011000000001100011000000011001100011001100011001100011001100011001001011000011001100010000000000001100011001100011001100011001000001000011001100011001100011001100011001100011001100011000001001000011001100011000000000001100011001100011001100011000001000100011001100011001100011000000100000000000001100001000000001000011001100010000000000001100001000000000001100001001001000101011001100000001100011001100001001100001001100001000001001100010011000011000010100000000000001100001001100011000000001100011001100001000010100000000000001100011001100011000000001100001001100011000000100000000000001100011001100011000001001100001001100010001100011001100011001100110001100011000001001100010001000011000100011001100011001100011001100011000001000000000100000000000010100000000000000000001010000000000001000101011001100011001100011001100011000000000001100011000001000000000000000000100000000001100011010001000110001000100001001000001000100010000010100000010100000000000001100010000000000000000000000000000000000000000000000000000000000000000000001100011001100010001100011000000000001100011001100010000001001100011001100010000000000001100011001100010001100011000000000100011000101010001100010001100011001100011001000011000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010111111011111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000000001111001110110000100000000001000100100001001101100011001100000011111110001100011000000001000100110001001000000100110010000111111000101000110000000001000000010000110011100001100010000111100000000000000010000001000000111001110011000000100110100111100000000000000000000001000000111000110000000011101000000111000000000000100000000001000000110001110100100001100100010111000000100000110000000001000000110001110000000011101001000101000100110000110000000001000000111000110000000010100000000111001000000000000000000001000000111000110001000011100001000111000000010000000000000001000000110001110110110011100000000011001100000000110000000001000000110001110000000001110000000011001000010000110000000001000000110000110000000000100000000111000100000000010000000001000000110000110000000010100000000111000000000000110000000001000000110011110011000001100110000011010100000000110000000001000000110001110000000010100000000011000100000000110000000001000000110001000000000001100001000011010010000000110000000001000000100000010000000000000000000011000000110000000000000001000000110000100000000001000000000010100000000000010000000001000000110000010000000001000000000011000000010000000010010001000000110001010011010001100000000011000000000000000000010001000000110001010011010000100010001011100000000000000010010001000000110001110010000001100000000011000000000000000000000001000000110000100001000001100010000011011100010000000000000001000000110000100000000000000000000011000000010000000010000001000000110001110011010000000001000111000000000000010000000001000000110000100000000000000000000011000000000000010000000001000000110011110101100000100000000010010000001000011000000001000000110000001001100001000001001011000100110001000000000000000000010000000000000011000000000000000000011000000000000001000000110000100001000001100000000011000000010000000000000001000000110000110000000000100001000011000000000000000000000001000000110000010000000000100000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001010100101111111111111111111111111111111111111111111111111 +111100001000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000010000000000000000000000000011000000000000000000000001000010000000010010001001000000000011000000000000000000010000000000100001100000000000000000000001001000001000100000000100000010000000000000000000010000000000001000010101100010000010000110000000000000000000000000000001000100001000000000000010000000010100000000000010000000000000000110001001000000001010000000000100000000001010000000000000000110000011000000000010000000000100000001001010000000000001001000001000000000000010000000000100000000000000000000000001001000001000000000000010000000010100000000000000000000000000000110010001000000001010000000010100100000001010000000000000000110010001000000101010000000010100000001001010000000000001001010001000000000000010000000010100000000001000000000000001000110001000000000000010000000010100000000001010000000000000000110010001100000001011000100010111000000001010000000000000000110010001000000000010000000010100000000001010000000000000000110000001000000001010000000010101000000001010000000000000000000000010000000000000000000010100000001000000000000000000000000000000000000001000000001010000000000001000000000000000000000000010000000000100000000010100000000000000000000000000000000001001000000000010000000010100000000000000000010000000000000001001000000000010000000101000000000000000010000000000000000000011100000000010000000001100000000000000000000000000000000000000000000000110000000010101000001000000000000000000000000000000000000000000000000010100000000000000010000000000000000000011000000000000000000000000000000001000000000000000000000000000000000000000000000010100000000001000000000000000000000010000001010000000000000000001000100000001000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000010100000000000000000000000000000000010000000000000010000000010100000000000000000000000000000000000010000000000010000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111000101101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000110000000000000000000000001010110000010000100000000010000000000000000000000000000000001010000000000001000001001000000110010000000000000000000000000100100000000001000001100100000000000000001100000000000000000000000000000000000001100100000000000000000000000000000000000100000000001000100000100000000000000000000000000000000000000100010000000000000000001000000000100000000000000000000000000000000000100100100000100000000000100000000000000000000000000100000000001000000001100000000000000000000000000000000000000100100000110000000000100000000000000000000000000000000000000100010000001000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000100000000000000000000000000000000000000100000000010000000000100000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000000000100000000000000000000001100000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000001100000000001100000000000000000000000000000000000000000001100000000000001100000000000000000000000000000000000000000001101100000000001100000000000100000000000000000000000000000000000000000000001100000100000000000000010000000000000000000000000000000000001100100000000000000000000000000000000000000000001100000000001100000000000000000000000010100000000000000000000000000000001100100000000000000000000000000000000000000000000000000000001100000010000000000000000000000000000001000000000000000000001101000100000000000000100000000010000100010000000000000000001000000000000101000000000000000000100000000000000000000000001100000100000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001111001111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000011000000100000000000000000000001000000001000010000000010001011000001000000000000000000010001000000000000000000000001000000000000001000000000000000000000000000000100100000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000010000000000000000100000000000000000000100000000000000000000010000000000000100000000000000001000000010000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000001000000000000010000000000000010000000000000000000100000000000000000010000000000000000000010000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000010000000000000000000010000000000000000000000000000001000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000000001000000000000000000000000000000000000000010000000000100100001000000000000010000010000000000000000000000000000000000000001000000000000010000000000100000000000000000000000000000100001000000000100000000000000000000000000000000000000000000000001000000000100000000000000000000000011000000000000000000000001000000000000000000000000000000000000000000100000000000000001000000000100010000000001000000100000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000010000000000000100000010000000000000000000000000000001000000000000000101000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000001000000000100000000000000000000000000000010000000000000000001000000000000000000000000001000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111000010100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000001110000100011000010000110000100011000000001011011011111111001111000000101100000001101000001111100000000111011011000110001101101100011100000000011011010001000110101100000000000110000000001110101100000000000000000001100110000000001010000000000000101010011000000000000001110000001110000000000000000110000000001110111100000000000000100000000110000000000000000110000000001110011100000000000001110000000010000000000000000110000000001110111100000000000001110000000110000000000000000110000000001110111100000000000001110000001111000000000000010100000000001110111101000000000001110000000000000000000000000110000000001110101100000000000001110000010110000000000000010100000000001110101100000000000001110000000110000000000000000110000000001110111100000000000001110000000110000000000000000110000000001111011100000000000001110000000010000000000000001100000000001110011000000000000001110000000110000000000000000110000000001111111101101000000001110000010110000000000000000000000000000100011000000000000001110000000010000000000000000110000000000000000100000000000000000000000000000000000000000000001111001110010010000000100100000100000000001111000000000110000000001111001100010000000101010000000110000000011011011111000111100000100100000000000101010000000110000000000011100110100010000000101110010000000001110000000110000000010011000110111011011000100000000000000000100000000110000000000000000110000000101010010100100000000100000000000110000000000000000010000000001101001101101000000001110000000100000000010000000110101101000000110100000001111000000011100110001111000000000010000000001110111000000000000000100000000100000000011000000000001100011100011100000000110011101111100000000000001010000110101111111101111100100000100011000110100000011111000000000000000000011000000000000000000000000000000110000000000000000110000000000000100100100000000000100000000110000000000000000000000000000100010000000000000001110000000000000000000000000110000000000101101100000000000001110000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001011001101111111111111111111111111111111111111111111111111 +111100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001001000000000100010000100000000010000000010100000100000000000000100000001100000000010010000001000000000100100010100000000000010000100001000010000000100000000000000001010000000010000010000000000000000000001001010000000000001000000000000000000000010000000000010000000001001000000000000001010000000010000000010000000000010000000001010000000000000001010000000010000101000000000000010000000001000000000000000001010000000010000101100000000000010000000001010000000000000001010000000010001001000000000000010000000001000000000000000000010000000010000101100001000000010000000000000000000000000001010000000010000000000000000000000000000000010000000000000000010000000010000000000000000000010000000001010000000000000001010000000010001000100000000000010000000001010000000000000001010000000010001000100000000000010000000001000000000000000000001000000010000000010000000000010000000001010000000000000001010000000010000000010000000000010000000000010000000000000000000000000010001000000000000000010000000001000000000000000001010000000000000000100000000000000000000000000000000000000000000100000010001000000000000100000000000000000100000000000000010000000010000000000000000000000000000001010000000001010100000001000000000000000000000000000000000001010000000010000000000000000000000010000000000000010000000001010000000011000000100010000001000000000000000000010000000000010000000000000000010000000000001010000000000000000000000001010000000000000001000000000010000000000000000000010000000000010000000000000000100100000000000100100000100100000000000011010100000000000001000000000010001000000000000000000000000000010000000000000000000000000011001000000000000000001000100000000000000000001000100100010000010100000000000000001000000110000001000000000000000000000001000000000000000000000000000001010000000000000001010000000000000000100000000000010000000001010000000000000000000000000010001000000000000000010000000000000000000000000001010000000010000000000000000000010000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101111000110001111111111111111111111111111111111111111111111111 +111100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000100000000000000001000000000000000100000001001000010011000001000000000100000000000010000000100000000000001010010100000100100000111000000000000000000000000000000001000000000000000000000000000001100000000000000000010000000000000000000100000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000000001000000000000000000000000000000000000000000000000000000000010000000000000000000000001010000000000000000100000000000000000100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000100000000100000000000000001010000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000001000000000010000000000000001000000000000000000000000000000000010000000010000000000000000000000100001000000000010000100000000000000010000000000000000000000000000010000000000001000001000000000000000000000000000000000010000000000100100000001000000000000000000000000000000000000000000010000000010000000000000000000010000000000000000000000000000000000000100000000010000000000000000000000000000000000010000000001000000000001000000000011000000000100000001000000000000000000000000000100000000000000000010000000000000000000010000000000010000000010000000000000000000000000000000000000000000000000011000110100010000010000000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111001111101111111111111111111111111111111111111111111111111 +111100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000110000000000000000000000100000000000000000000000000000000010001010000000010000000000000000000000000000000001000000000000000000000011000000000000000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000001010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000100000000000000000000000000000000000000000001000010000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000010000001010000000000010000000000001000000000000000000000000000000000000000000001010000101000000100000000000000000000000000000000000000000000000010000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000001000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000100000000000000000000000000000000100000000000011000000000000000000000000000100000000000000000000000000000000000000100000000001000010000100000110000000110100010000000000000010000000000000000010001000000000000010000000000000001000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000010000111111111111111111111111111111111111111111111111 +111100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111100111100000001111000000011000010001101001110011110000110001110010000010001111001000000100000000110011110001110000110111100011100000001111000100011010010011111000110000000000000001100110100000000000000000000000000000000001111001110000001011100101101100000000000100001101110000100001111000110000000011000000100000000000000000000000110000000000110000010000000001000100100000000000011000000000110000000000010000110000000001111011100100000000001000000001111000000000110001110000000001110111100100000000000100000000110000000000110001110000000001110001001101000000000000000000110000000000110010110000000001100111100000000000001000000000110000000000110000110000000001110111100000000000000000000000110000000000110000110000000101111011100000000000100100000010110000000000110000110000000001100101100000000000001000000010010000000010100000010000000000100111101101000000000000000001111000000000110000010000000101010111100000000000000000000000010000000000110000010000000001110111100000000000011000000000110000000000100000110000000000000000000000000000000000000000000000000000110010010000000001110010000000000000000000000000000001111000110000110000000101110101100000000000000000000000110000000000000000110001111001110111110000000000000000100100110000001000000000100011111001110001100000000000000000100100110001010000000000110011011101110111100000000000000000100000110000011000110000110000000001010001100000000000000000000000110000000000110000110000000001111011100000000000000000000010110000000000000011110001011001110101101000000000000000010010000001011000100010010000000001110111100000000000100100000010000000000000110011110000100001110010000000000000000000011100110101100011110000110011111111100111110000001111000100001100000010111000000000000000000000000000100000000000000000000000000000000000000000110000000001110101000000000000000000000000110000000000110000100000000001110001000000000000000000000000110000000000110000110000000001110001100100100000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000111110111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000010000100000000001000001000100000001001000001000000010001000000000010000000000000000000000001000001000001000101000010000110000100000010000101000100100010001010000000000000010000010010000000000000000000000000000000001000001001001000010010000000001000000000000000001001000010001000001010000000000010010000000000000000000000001010000000001000001000000000000000000000000000000000010000001010000000001000001010000000010000010010000000000100000000001000000000001010001001000000010000100000000000000000000000001010000000001010001001000000010000001000000000000000000000001010000000001000000000000000010000001010000000000100000000001010000000001010001010000000010000101000000000000000000000001010000000001010001010000000000101000000000000000000000000000010000000001000001000000000010000000000000000000000000000000000000000000010001000000000010001000000000000000000000000001000000000001010001000000000000000001010000000000000000000001000000000001010001000000000010000000110000000000001000000001010000000000000001010000000000000000000000000000000000000000000000000001010000000000000010001000000000000000000000000000000100000001010001010000000000000000000000000000000000000001010000000000000001010100001010001001100000000000000000000001010100000000000000010010000010000000100000000000000000010001010000000000000001010010000000000101000000000000000000000001010100001001010001010000000000000000000000000000000000000001010000000001010001010000000010001000100000000000000000000000010000000000000000001010000000000000100001000000000000100000000100001000010000000000000010000000010000000000000000000000000000000001010000001000000010001000000000000000000001000000100000000000001001010001010000010000010000100000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000001010000000010000000000000000000000000000001010000000001010000010000000010000000000000000000000000000001010000000001010000110000000010000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000111101000110101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110110000000010010000000000000000000100000000000101100000000000000000001000101000000000000000000000000101100001100000000110000000000001000000000000001000001000000000000000000000001000001000000000000000000000000000000000000000000000000000000001001010000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001010000000000000010000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000010000000000000000000000100000001010000000010000010000000000001001001000000000000100000000001010000000001000000000000000000001000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000000000010000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000001000000000000000000000010000001100000000000000000000000000000000000000000000000100000000100000100000000000000010000000000000000000000000000010000000000100000000000000000001000000000011000000000000000010010010100000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000101101000000011001000000000000000000000001000000100000000001010000000000000001000000000000010000000001000000000000000000101100000000000000000000000000000000000100000000010000101100000000010000110100001000001001000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110011110011101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010000100000010000000000010000000100000001000000000010001000000000000000001000000011000000000000001000000000000000000000010000100000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000010000100000000000000000101000001000000000001000000000000000010000000000000000000000000000000000000000001000000000000000010000000010000000000010000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000000000000001010000000000000000100000000000000000000000001000000000000000000001000000000000000000000000000000000000001000000000010000000010000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000001000010001000000000000000000001000000000000000000000000000001000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000101000000000000000000000000000000000000000000000000000001000000000000000000000000000000010000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000110000000000000100000000000000000000000000000000000001000000000000000000000000001000000000000001000000000000000000000010001100000100000000001000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001011010110000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001100000000100100010000011000000110100000001000000000000110000100100100011100010001110111100001100000000110000000011110001111100100011000110001111001100001101100100010000001100110000000100100001100110000000001110000000000000110000000011001000000001110111000110000000000000000010000000000000000000100000000100100001100110000000001110000001100000000000000010010000000001110111110110000000001110000000000000000000000000110000000010100111100110000000001110000000000000000000000010110000000001111011100110000000001010000000000000000000000000110000000001100101000000000000001110000000000000000000000000110000000001110001100110000000001110000000000000000000000010110000000101010111100110000000011100000000000000000000000000110000000011100111100110000000000100000001010000000000000010110000000101110111100110000000001100000010000000000000000010010000000101010111100110000000000000000000000000000000000010110000000010100101100110000000000100000000000000000000000000110000000001110101100110000000000000000000000000000000000000000000000010100011000000000000001110000000000000000000000000110000000001111111100000000000000000000000000000000000000010100000000000100011100110000000001110000000000000000000010000110000011001010111101111001111001100000000010000000000010001111001100001100101100100000101001100000000000000000000010000110000001011100001100010000111001100000000000000000000000001111000000000100011110110000000001110000000000000000000000001110000000011100001100110000000001110000000100000000000010000010011111111000000000110000000000100000010000001100000000001111000000001110001000110000000001110000011011000000000000000010001100111100111000100000111000000111110010001111000000011110001100001100001000000011110001100011000100000100000000000000000000000000000000110000000000000000000000000000000000000110000000001110011100110000000001110000000000000000000000000110000000001100001100000000000000000000000000000000000000000110000000001110010100110000000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011001101001110111111111111111111111111111111111111111111111111 +111100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000000001001000000000010000000000000000001010000000000000000010000000001001000000000000000000000000000001100000000000000010100010000100000011000001000000000000101010000000000000000101010000000010000000000000000000000000000000000000010001000001010000000000000000000000000000000000000000000000000000000101010000000010000000000001000000000000000000000000010000000010010000000010000000000000000000000000001010000000010010101001010000000010000000000000000000000000000010000000010000100001010000000000000000000000000000000000001000000000010000000000000000000010000000000000000000000000000010000000010000000001010000000010000000000000000000000000000010000000000000000011010000000111000000000000000000000000001010000000010011010001010000000010000000000001000000000000000010000000000000001011010000000110000000000000000000000000000000000000000000000111010000000000000000000000000000000000000010000000011000010001010000000010000000000000000000000000001010000000010000011001010000000000000000000000000000000000000000000000010011000000000000000010000000000000000000000000000010000000010000100100000000000000000000000000000000000000000010000000000001000101010000000010000000000000000000000010001010001000000000010010000001100000000000000000000000000010001000000010010000000000010010010100100000000000000000000000001010100000011000000001000001000100100000000000000000000000001000000000000000100100010000000010000000000000000000000000001001000000010010000001000000000010000000000000000000000010001000001000000010000001010000000000100000000000000100000000001000000000010000000001010000000010000000000000000000000000001000000000000010000010000001100000001000000000100001000000000001000010110000001000000000000100101000000010000000000000000000000000000000000001010000000000000000000000000000000000001010000000010001000001010000000010000000000000000000000000001010000000010000010000000000000000000000000000000000000000001010000000010001000101010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000010010101111111111111111111111111111111111111111111111111 +111110100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000100000001000000000000110000000001000000000000000000000000110010000001001000010010000000000000000000000000101101000000110000000000101001000010000000000000001000000000000000000000100000100000000000000000000000000000001000000001000000000000000100100000000000000000000000100000000000000010000000000010001000000000000000000000000000000000000000000001010000000000000100000100000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000010000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000100000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000001000000000000100110000000000000000000000001000000000000000001010000000000100001000000000000000000000000000000000000000001010000000000000001100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000001100000000000000000001010000000100001000000000000000000000001100000000010000000010100000000000000000000000000000000000001100000000000000000000100000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000000001100000011000010000000000000000000000000000001000000100000000000000100000000001000000000000000000000000001001000000000000000000000100010000001000000000001000000100100101000010000000000101100100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011011001100001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000100000001000000010011000000000101000000000000000011000000000100000001000000000000000000000000000001000000000000000100000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000001000000000000000000000000000001010000100000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000100000000000001010000000000000000000000000000000000000000000000000000000001010010000000000000000000000000000000000000000000000000010000000000000000000000000000000100000000000000000100000000001000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000100000000010000010010000100000000000100000000000000001000000000000010000010000000000000000000000000000000000000100000000000010000001000000100100000000000000000000000000000001000000000100000000000100000000000000000000000000000000000001000000000010000001000000000000000000000001000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010000101000000000000001000000000100000000000000000000000000000000000000001000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001110010111111111111111111111111111111111111111111111111 +111100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110111111110000100000000000000011110000000000001000000001111111111111110000000000010000010101011111000000000000000011111111110011000000000000000000000011111111000000000000000000000000111100000000100000010000000011111111000000001000001000001111111100000000000000010000000011111111000000001000001000000000111100000000100000000000000000001111000000001000000000000000111100000000000000000000000000001111000000000000000000000000111100000000000000000000000011111111000000000000001000000000111100000000100000010000000011111111000000001000001000000000111100000000100000010000000011111111000000001000000000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000000000000000111100000000100000010000000011111111000000000000001000000000111100000000100000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000001000000000000000000000100000000000000000001111000000001000000000000000111100000000100000010000000011111111000000000000001000000000111100000000100000010000000011111111000000001000000000011101101010100001000000010000000011111111000000001000000001000111101010100001000000010000000011111111000000001000000001000111111011100001000000010000000011111111000000001000001000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000001111110010100001000000010000000011111110111000000000000000000000111100000000100000010000000011111111000000000000001000000000111111110000000000010000000011111110000100000000000011111111111011100000000000000000001111111111000000001000000000000000000000000000000000010000000000000000000000000000000000000000000000000000100000010000000011111111000000001000001000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001100001110001111111111111111111111111111111111111111111111111 +111100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111111111100000100000010000110000110000000000001000000001111111111111100000100000010000000101011110000100001000000001111111110010010000100000010000110000111110000100001000000000000000111100000000100000010000000011111010101000001000001000110011111100000000100000010000000011111111000000001000000000000000111100000000100000010000000000001111000000001000000000000000111100000000100000010000000000001111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000000000000000100000000000000000001111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000011101110011000100100000010000000011111111000000001000000001000111111100000100100000010000000011111111000000001000000001000111010001000100100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000001010101110010100100100000010000000011111110111000001000000000000000111100000000100000010000000011111111000000001000000000000000111111110000100000010000000011111110101100001000000001111111000110110000100000010000101101111111000000001000000000000000000000000000000000010000000000000000000000000000001000000000000000000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011010000111001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000011000011111111111111000010000000011111111000000010001100000001000010101011111111100001000000001111111000001010001100000011000100101010000000000011000000000000000111100000001000000001000000011111010101000010000001000110011000000000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000001000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000000001111000000010000000000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000001000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000000000000000000000000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000001000000000000000000001000000001000000011111111000000010000000000000000111100000001000000000000000000000000000000010000001000000000000000000001000000001000000000001000110000011000001000000000111100000001000000001000000011111111000000010000001000110011111100000001100000001000000011111111000000010000000001010101000011000001100000001000000011111111000000010000001000001100010100000001100000001000000011111111000000010000001000000000111100000001000000001000000011111111000000010000001000000000111100000001000000001000000011111111000000010000000000100111111000100001100000001000000011111101110100011000001000000000111100000001000000001000000011110000000000011000001010111111111111110000000000001000000000001001110100010000001011111111000111010001000000001000000000111110111000010000000000000000000000000000000000001000000011110000000000000000001000000000111100000001000000001000000011111111000000010000001000000000000000000001000000001000000000001111000000010000000000000000111100000001000000001000000011111111000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000011110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111100000000000011111110111111100000000000000000111111110111111100000000001001111111111110100000000000000000010101010000000000000000000000000000111100000000000000000000000011111111000000000000001001010101000000000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000000001111000000000000001000000000111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000000000000000000000000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000000000000000000000000000000000000000000011111111000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110000000000001000000000111100000000000000000000000011111111000000000000000000001111101010100000000000000000000011111111000000000000000000001111111111000000000000000000000011111111000000000000000000111111111110100000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000000000100111111000100000000000000000000011111111111100000000000000000000111100000000000000000000000011110000000000000000000011111111111111010000000000000000000000001001110100000000000011111110111011100000000000000000000000111111111100000000000000000000000000000000000000000000000011110000000000000000000000000000111100000000000000000000000011111111000000000000001000000000000000000000000000000000000000001111000000000000000000000000111100000000000000000000000011111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100100001001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000010000000000000000110000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000110000000000000000110000000000000000110000000001000100000000000000000000001100011000000000000000001100000000000000000000000000000000000000000000000000000000000000000001110111100100111101100011001100011001100101001100011001111011110111011110111001100011001100011001100111001100011000111011110111111110111101100011001100011001100011001100011001111000110111111110111101100011001100011001100011001100011001111000000000000000000000000000000000000000000000000000000000000011110111100110111101100111001100011001100011001100111001111011110111110110110000000000001100011001100011001100011101001010000111011110111101100011001100011001100011001100011001111000000000000000110000000000000000000000000000000000000000000011110011111110110101100011001100011001100011001100011001111011110111111110110100000000001100011001100011001100011001111011000000000000001001100011001110011001100011000000000001111000000000000000000000000000000000000000000001100000000000000000000000000000001100000100000000000000000000000000110000000000110111011110111101110111001100001001100011001100011101111000000110111111111100100010001100011001100011000100010001001011100111111110111101110111001100011001110111001110111001001011110111101110011100110111000000010001100011001100111001001011100101111110110001100011001100011001100011001100011001010011100111111100001001010111001100011001100011001100011000111001110111000110001001100010001100011001100011001100011000111011110110011110111111111011000000000001100011001100010100110011100011011110000011111011000000000001100011101100011001111000010110011010001101110011000000000000000001001110010000011010110010001110111001100011001100011001100011101100011000000011110010011110110001100010001100010001100011001100011000111011110111001110010001100011001100010001100011001100011001001011010111110110111101100011001100011001100011001100011001001010110111100110110001100011001100011000000001101100011001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110111100011010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000010100000100000000100000000000000000000000000000000000010000001000000001000100000000000000000000000000001000000000010000001000000101100000100000000000000000000000000000000000000100000000100101000000100000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000001000000100000100100000000000000000000000000000000000010000001000000101000000000000000000000000000000000000000010000001001000000001000000100000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000001000000101000000100000000000000000000000000000000000010000001000000101000000100000000000000000000000000000000000000100001000000000000100000000000010000000000000000000000000010000000000000000000000000000000000000000000000000100000000000000000000000000001000000000000000000000000000000000000000000000010000100001100000110000001000000000000000000000001100001001000001001010101001000000000000000000000000000000000000000001001000000101000001100000000000000000000000000000000000000001001000000100000001100000000000000001000000000000000000000001001000000101000000000000000000000000000000000000000000000000011100000101000100000000000000000000000000000000000000001001000000000000000100000000000000000000000000000000000000000001001000000001000000100000000000000000000000000000000000100000001000001001000000000000000000000000000000100100000000010000000000000001101000010000000000000000000000000010000000000100001000001000000100000000000000000000000000000100000000000000001000000001000000000000000000000000000000000000000000010000001000000000100000000000000000000000000000000000000000000100001000000101000000100000000000000000000000000000000100000001001000001100000000000000000000000000000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011101100111110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000001000100000000000001000100000000000010000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000100000000000000000000000001000000000010010010000000110000000100000000000000000000000000100000000010000010000000110000000100000000000000000000000000000000000010100010000000110000000100000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000010000000110000000100001000100000000000000000000001001010010010000000110000000100000000000000000000000000000000000000100000000100110000000100000000000000000000000000000000000000001000000000000001001000000000000000000000000000000000000000000010000000010000000100000000000000000000000000000000000010100010000000110000000100000000000000000000000000000000000010010000000000000000000000000000010000000000000000000000000010100000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000000000000000001000100000010000000110000000110000000100000000000000000000000000000100000000010000100010000000000000000000000000000000000000000001000000000110000000110000000100000000010000000110000000100001010000000110000000000110001000000000000000000000001010000001000000000110000000100000000000000000000000000000000000001001000000000100000000000100001000000000000000000000000000000000010000000110000000000000000000000000000000000000000000000000010000000110000000100111000000000000000000000000000000001000000000100010000000000111000000000000000000000000000000010100001001001001000100000100000000000000000000100000100000000000010000000010000000100000000000000000000000000000000000000000010000000010000000100000000000000000000000000000000000000000010000000110000000000000000000000000000000000000000000000010010000000110000000100000000000000000000000000000000000000001010000000110000000100000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100101111101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000100000000000000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001010000000000000000000000000000000000000000000000001000000000000000000000010001000100000000000000100000000000000000000000000000000000000000000000000000000000000000000000000011000100011001100011001100011001100001001100011001000001100011001100010001100011001100011001100001001100011001000001100011000100011001100011001100011001100011001100011001000000100010001100011001100011001100011001100011001100011001000000000000000000000000000000000000000000000000000000000000000001100011000100010001100001001100011001100011001100001001000001100011000100010000000000001100011001100011001100110000000000000010001100011001100011001100011001100011001100011001001000000000000000000000000000000000000000000000000000000000000001100001101100010001100011001100011001100011001100011001000001100011001100010000000000001100011001100011001100011001000001000000000000000001100011001000011001100011000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000010000100011001000001001100001001100011001100010000001000000000000000001000100000111000001101100011010000010000000011000011001100001001100011001100011001100011001100011000000001100011000101001000000100100000000001100011001100001000000001100001001100010001100011001100011001100011001100011000000000000011011000000001000001101100011001100011001100011000001000101011000100000001100010001100011001100011001100011000011001100010001100011000010100000000000001100011001100010000001001100000001100000000010100000000000001100010001100011001000000000000000000000001000011000000000000000000000001010001000000100000001100010001100011001100011001100011001100011000000001100010001100010001100010001100010001100011001100011001001001100011000100000101100011001100010001100011001100011000000001000011000100011001100011001100011001100011001100010000000000100001000100010001100011001100011000000001001100011000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000111001110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000111110101100110010000011110000001100000000001000100010001001000000001000011000110000000010000100000000000000000000000000000000000000000000000000000000000000010000001000000111000010011000111101011000111100110000000000000000001000000111000110011000101100000000111000110100000100000000001000000111011110011110011100000000111000010010000000000000001000000111000010011010011000001000111000000001000000011000100001000000000000100100011111001000000000000000000000000000001000000111000110000000011010000000111000100001000000000000001000000110001110000000011100001000011011100010000110010000001000000111001010000000001000001000111100110000000100000111100001000000000000000100001110110010001100000001000000000000001000000111001010001000011110000000111010111011000000000000001000000111000110001000011101001000111000000000000000010000001000000111000110101100111101011001111000110000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000001000000110000110101100001100000000011000100010000000000000001000000110000100000000001100000000000011110111100000000000001000000110000110010010011100000000011000000010000000010010001000000110001110111100011111001001011100000000000000000000001000000110000100001000011101001000011000000011100000000000001000000110000110000000001100010000011000010110000000000000001000000110010110000000001100001000011000000010000000010000001000000110001100011000000100001000111000000000000000010000001000000110001100010000001110001000111011100011000000000000001000000100000110000000001000010000011010110000100011000000001000000110000100000000001110000000001000100000000000000000001000000110000100001000001100001000111000000011000000000000001000000110000010000100000100001000011000000000000000000000001000000110000110001000001100000000011001000110000000000000001000000110000110000100001000001000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111011100110110111111111111111111111111111111111111111111111111 +111100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000000000000000000011000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001001000010000100010000000000010010000000000000000000000000001000100001000100000001000000000010110000000000010000000000000001000010001000000000010000000010100000000000000000000000001001000010000000000000000000000010100000000000000000000100000100000000000000001000000000000000000000000000000000000000001001000001000000001000000000000010110000000000000000000000000000110000011000000000010000000010100010001001010000000000000000100000011000000001000000010000000000000000010000110100000100000000000000001000000001000100000000000000000000000000000001000010001000000010000000000000110000100000000000000000001001000001000000000000010000000010100000000000000000000000001001000001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010000001010000000010100000001000000000000000000000000000000000000001010000000000011000001100000000000000000000000010000000000000010000000010100000000000000010010000000000000001001100100010000000000100000000000000000000000000000000000000000000000000010100000010100000101100000000000000000000000000110000000001010000000010100000001000000000000000000000000000010000000001010000000010100000001000000010000000000000000000001100010000010000000001100000000000000010000000000000000000001100000011000000000000011100000000000000000000000000000001000000000001000001000000110010010000100000000000000000000000000000000010100000000000100000000000000000000000000000000000000000000001010000000000100000001000000000000000000000000001000000000000010000000010100000000000000000000000000000000010000000000001010000000010100000001000000000000000000000000010000000000000100000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000010001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000010000000010010000000000000000000001010000000000001000001001000000000010000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000110001010000100101010000000000000000000000000000000100000001000000000000100010000000100000000000000000000000000000000001110000000000100010000000000000000000000000000000000000000001110000000000100000000100000000000001100000100000000000010000010010100000000000000000000000000000000000000000000100000000110000000000100000000000000000000000000000000000000000000000001000000000000000000000000000001100000000000000000000000000000000000001100101010000000000001101100000100000000000000000000010000000000100000000000000000000000000000000000000000000000110000001100010000000000000000000000000000000000100000000110000010000100000000000000000000000000000000000000100110000010001010101100001010000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000001100000010000000000000000000000000000000000000000000000000001100100000000000000000000000000100010000000000000000000000001100100000000000100000000000000000000000000001101100000000001100100010000000110010000000000000000000000000000000000000001100100000000100100100000000000000000000000000000000000000001100000000000000001000000000010000000100000000000000000000001100000000000000000000000000000000000000000000001100000000001100000000000000000000000001000000000000000001101100000000001100000000000000010000100010000000100000000000000000000000000100100000000000000000000000010000000000000000000000000000001100100000000000010000000000000000000000000000000000000000001100100000001000000000000001000000100000000000000000000000001100000000000000000000000000000000000000000000000000000000001100100100000000000000000000000000000100000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111011101010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000100000000011000000000000000000000000010000000000000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000001000000000000000000000000000100000000000000000000000000000000000000001000000000000000000000000010100000100000000000000001000000011000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000000000000100000000010000010000000000101000000000000100010000000000000000000000000000010000000000000000000000000001000100000000000000100000000010000000000000000100000000000000010000000000000000010000000010000000000000000010000000000000000000000000000000010000000000010000000000000000000000000100000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010000000000000000010000000000000000000000001000000000000000000000000000000000001000001000000000000000001000000000000000000000010000000000000000010000000000000000001000000000000100000000011000000100000000000000000000000000001000000000000000010000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000001000000000000000000000000000000001000000000100000000000001000000000000000000000000000000000001000000000100000000000000000010010000000010000000000000000001000000000000000000000000000010000000000000001000000000000001000000000000000000000000000000000000100000000000000000000001000000000000000000000000010000000100000000000000000000000001000000000000000100000000001000000000000000000000000000000001000000000000000000000000000000000001000000000000000000000001000000000000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111011100101001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000011011000000001110000100001100000000111000000011100010001111000000000000001011111100100100100010011001001001001011001100000000000000000000000000000000000000000000000000000000000000000111100110000000001110011100000000000001110000000110000000000000000110000000001110101100000000000001110000000110000000000000000110000000001110011110010000000000100000000110000000000000000110000000001011001110010000000001110000000110000000000000000000001101000000001100000001100000000011100000001101000000000110000000100100011100000000000011100000001111000000000000000010001100001101111000000000000101010000000010000000001000000110000000101110111100000000000001110000000110000000000000000000000111001000001101101100100000000101100000000011000011000110000000001110010100000000000011100000000110000000000000001100000000001100011000000000000000100000000110000000011011000110000000001110101000000010010001111011000110000000000000010010000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000001111001100000000000001110000000110000000011011100110001100001011111100010010011011000111100110001100000000000010000000001010111000010000000001010000001111000000000011110110101110100100001010010000000001110000001111100000000000000110000000001110011100000000000101110000000110000000000000000110000000001110011110010000000101110000010100000000000000000010000000001110110000000000000011100000000110000000000001010110100001000001111110010000000001110000001010000000011000001111000011100000000100000000000001100000000110000000011011000110001110011000110101101000111011000111000000001111000000000110000000001110001100000000000001110000000110000001000000000110000000001110101100000000000011100000000110000000000000000010000000001110101100000000000001110000000110000000000000000110000000001110111000000000000000100000000110000000000000000110000000001110101000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011011111000001111111111111111111111111111111111111111111111111 +111100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000100000000000100000000010000000000000000100001000010000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000010000100100000000000010000000001010000000000000001010000000010000000000000000000010000000001010000000000000001010000000010000000011000000000010000000001010000000000000001010000000000000000000000000000010000000001010000000000000000000001000000000000000000000010000001011000000001010000000001010000000000000100000000000000010010000001000000000000000000000000100010000100000000000000000000000001000000000000001001010000000000000101000000000000010000000001010000000000000000000100000000000000000000000000000000000000000001000010000001010000000010000000010000000000011000000001010000000000000000011000000010000000010000000000010000000001010000000000000101010000000000000000000000000000010001000001010000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000010000000000000000000010000000001010000000000010101010000000000001000001000010000001000000011010000000000000000000000000000000000010000000000000000000001010000000010000000000000000000000000000000000000010000000001000000000000000001010000000010000000010000000000000000000001010000000000000001010000000010000111000000000000000000000000010000000000000001000000000010001000000000000000011000000000010000000010000000000100000000000000010000000000010000000000001000000000001000100001000000000000000000000000010000000000010000000000000001000000000001001000100000100000001001000000000001101000000001000000000010000000000000000000000000000001010001000000000001010000000010000000000000000000011000000001010000000000000001000000000010000000100000000000010000000001010000000000000001010000000010000100000000000000010000000001010000000000000001010000000010000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101000101100111111111111111111111111111111111111111111111111 +111100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000100000000000010000010010100000000000000000000000010001000000000000000000010000110100000000000000100000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000001000000000000100000001000000000000000000000010000000000000000000010000000000000000000000000000000000000000000000000000001000100000001000000000000010000000000000000000000000000000000000010000010000000000000000000000000000000000000000000000000000100000000100000000000000001011000000000001000000100000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000001010000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000010000000001000000000100000000000000100000001000000011000000000000000000000000001000000000000000000000000000000000000000000001000011001010000000100100000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000001000000000000100000000100000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000100001000000000000000000000000000000000010000000000001010000000000000000000000000000000000000000000100010000000010000000100000000100000000000100100000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000100111010111111111111111111111111111111111111111111111111 +111100110010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000000000000000000000011000000011000000011000000000000000000000000010001000001000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001000000100000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000001001000100000000000010000000001000000000000000000100000000000000000000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000011000000001000000000000001000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000000000010000000000000000000000000000000000000010000000000000000100010000000000000000000000000000000000000000000010000000000000100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000000001010000000000000000000000100000000000000000010100000000000000000000000000000000000000100000000001000000000100000000000000000000000000000000000000000000000000000011000000000000001000000000000000000000000000000000000000000000000100000000001000000000000000000000000000000000000000000000010000000000010000000000100000000000000000000000000000000001000100000000000000000000010000000000000001000000000000001000000000000000010000000000010000000000100000000110000000010000000000000011010000000000000000000000000001000000000000001000000000000000001000000000000000000000000000000000000000000001000000000000010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001000101100111111111111111111111111111111111111111111111111 +111110000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001001000000000000000000000000000000000000000001111000000011000000001111000000111100000001111011110000000001101000100101000100011111000000101100000001111000000000000000000000000000000000000000000000000000000000000000110001110000110001110010101100000000000000001100110000100000110000110000000001110111100100000000011010000000110000000001110000110000000000000100100000000000000000000000110000000001111001111000000001110010100000000000000000000000110000000000000000100000110011000000000000001111000000110000000001111000110000110000000001110110100100000000000000000001111000000001111000110000000001100101100000000000000000111101111000000000110000110000111011000100101111000000000000001100110000011000100000010000110100100111100000001100100100001100100000101000110000110000010001111011100000000000000000011000110010011000110001110000000011100001101100000000000000000000110000000000110000000001110011100111100000000000100100000110110000110001101000000001111011100111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000110000000001010101100000000000000000000001111000000000110000010001111001110111100110001100000100111110110001111000100010110000000001110001100100000000000000000010110000000000100011110001011001110101110110000000000000100100110001010011111000110000000001110001111111100000000100000000110000000010100011000000000001010011111101100000100000000011111000000000100010100000000101111101010000000000000000000011011000000001101010100001100001110010011000000000010000101100110001101000010000110010011001110010110010000000000000011100110001110000010000110000000001110111110000001111001000000000100101111001111000110000000111100111100100010010001000000000110000000000110000110000000001110010100000000000000000000000100000000000110000110000000001111001100000000000000000000011111100000000110000110000000001110011100000000000000000000000110000000000110000110000000001110001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100110111100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000100000000000000010000100000000000000010000010000000001000000001000010000000000000001000000000000000000010000000000000000000000000000000000000000000000000000000000000000001010001001000010010001000000001000000000000000001010000000001010001010000000010000010010000000000001000000001010000000001001001010000000000000000100000000000000000000001010000000001000001000000000010001010000000000000000000000001010000000000000000000000000001000000000000001011000000000010000001100001000001010000000010001000100000000000000000000001000000000001000001010000000010000010000000000000000000000011000000000001010001010100000000010000000000000000000000000001010100001000000000000000000000000000010000000000000000010000000100010001010001010000000010000100000000000000000000100001010001000001010001001000000010010001000001000000000000000001010000000001010000000000000010010010010000000000000000000000010000001000010000000100000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000001000000000000010001000001000010001011001010000010010000000010010100000000010000000000000010000000000000000000000000000000010000000000000000001100001010000001100100000000000000000101010000000000010001010000000010000001001000000000000000000001010000000000010000001000000000001001100000000000000000000000000000000000010000010000000000000000000000000000000000000000100000000000000000000000010010000000010001000000000010001000010010100000000000010100000010001000100000000000000000000010000000100000100000010000000010001000000000100000000000000000000001000001000101000000000000010010010000000000000000000000010000000001010001010000000010001000000000000000000000000000010000000001010001010000000010000010000000000000000000000000100000000001010001010000000010000100000000000000000000000001010000000001010001010000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001110010010011100111111111111111111111111111111111111111111111111 +111100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000010000000000000000000100000101100000000100000000001100000000010000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000100000010000000000000000000000000000001100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000000000000000000010000000100000000000101010000000000000000000000001010000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000001000000010000010000000000000000100000000000000000100000000001010001100000000000010000100000110000000000000000000000000000000000010000000000000000000000100000000000000000000000000000000000010000000000000000000000000000000000000000000000001001000000000100000000000010000000000100010000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000100000000000000000000000000000100000000001000000000010000000001000000000100000000010001001001001000000001000100000000000000000000100000000000000001001000000010000000100001000000000001001000000000000000001000000000100001000000000000000000000000001000000000000010000000000000000000100000100000000000000000000100000000000100000011000000000000000000100000000010000100000100000000000000000001000000000000000110100000100000000000001000000000000000110000000001000000000000000000000000000000001010000000000000000010000001000000000000001000000000000110000100001000001000000000000000011000000000000100000000110100000000100000000000000000001000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000100000000011000000000100000010001000000000000100000000000000001000000001000000010000000000100000000000000000000000000000000000000000000000000000000000000000000001000000000000000001001000000000000001000000000000000000000000000000000000000000001000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000000100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001000000000000000010000000000000000000000000000101000000000000000000000100000010000000011000000000000001000000000000000000000000010100000000100001000000000001001000000000000000000000000000000000010000000000000000000000000000000000000000000000000001000000000010000000001000000000000000000000000000000000000000000001000010000000010000000000001001000000100000000000000000000001010011000100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000011000000000000000000000000000000000000000000000010001000000000000000000000000000010010000100000000000000100000000000001010000000000000000000000000000000000000000001100000000000000000001000000000000000000000000010000000010000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000010000000000000000000000000000000001010000010010000000000000000000000000000010000000001010000000000000000100000000000000000000000000010000100000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110010100000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000000000000000000000000000000000010010001011000100111111111000111000000111100010000011000000000100001111000000111111111010011000000001000000001001000000000000000000000000000000000000000000000000000000000000000000000110000000001110011100110000000001110000000110000000000000000110000000001011101000110000000001110000001100000000000000000000000000001100111100110000000001110000000000000000000000000100000000001110011100110000000001110000000100000000000011000000001101100100011010010000000000000001100000000110000000010110000000001110111100100000000001110000000000000000000000000110001100001110011100110001100011000111100100001111000000010010000000111100101010110000000000100000000000000000000000100000000000000101011000000001011000000001100000000110000000000110001111000100101100110000000001110000000010000000000000000010000000001110111100010000000000000000000000000000000000001011000000000000011101011000000001110000000000000010000000000000000000000000001000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000100000000001110111100100000000001110000000000000000000000000100001111011100111100010001111001110111100010001111000000000110000000001010111100110000000111110000000010000000000010000100000100011000111000110001110011100000000000000000000000001111000000101110101100100000000111100000000000000000000000011111000000100100011111101000000110000000000000000000000000010110000000101111011110110000000001110000000000000000000010010010011111101111011100000001100100100000000000000000000010000110011111001110111100110001111001100000001101000000000000000110000010001100011101101000000001110111101100000000000000011110000000001110001100110000000001010001001101000000000000000110000000011100001100110000000001110000000000000000000000000010000000001110001100010000000001110000000000000000000000000110000000001110011100110000000001110000000100000000000000000010000000001111011000110000000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101110000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000000000110000000010100000000010010000100000000000000000100010000001000001000100000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000001010000000010001010001010000000010000000000000000000000000001000000000000000000000010000000010000000000001000000000000000000000000010001000101010000000010000000000000000000000000000010000000010001000001010000000010000000000000000000000000000000001100000101001000000000000000000011000000000101000000000010000000000000000010010000000010000000000000000000000000001010000000010000010011010000000001000000010000100000000000000000000000001000001000010000000010000000000000000000000000100000000000000000000010000010000000000011000000000101000000000010001000000000000001010000000010000000000000000000000000001000000000010000101101000000000000000000000000000000000000001000000000000000110001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000001000000010000000010000000000000000000000000000010001101011000101101000010000010001001001000100100000000001010000000000000010011010000000011000000000000000000000000000010000000001000101000010000010101100000000000000000000000001000000000000000000000010000000101100000000000000000000000000000000000000000000010000000000000010000000000000000000000000010000000000001010000010000000010000000000000000000000000000000001000000001000000000000000000000000000000000000000010001000001000010001000001010010000100100000000000000000000000001000000000010001000000000000000010000100000001000000000000000001000000010000000101000000000000000000000010000000000000001010000000110010001101010000000010000000000000000000000000001000000000010000000101000000000010000000000000000000000000001010000000010001000001010000000010000000000000000000000000001000000000010001000001010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110010100000111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000000000000000000000000000000000001000000010000000100011000000000000000100000001000000000000010000000010000001100001000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000100000000000000000000000000000000000000000000000000000100001100000000000000000000000000000000000000000000000000000000000010000000000000000000000010000000000000000000000000010000100000000100000000000000000000000000000000000001000000000000010010100000000000000000000000000000000000000000000010010000000000000000001000000000000010000001000000000001000000000010000100000110000000000000000000000000000000001100000000000000010000000000000101000000000000000000000000000000000001000000001100000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000100000000000000000011001000000000000000000011000000000000000000000000001000000000000010000000000001000000000000000000000000000000100000000001000000000000000000000000000000000000000000010000000000000000000010000000000000000000000000001000000000000100000111000000000000100000000000000000000000001000000000010000000001000000000000000000000000000000000010101000001000010010010000000000010010010000000000000000000010110000000100000001100000000001000000000000000000100000000000010000000000100000000000000100000000000001000000100000000000000101100000000000000100000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100010000010000100000000000100000000010000000000000000010000000001001000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000001000000000000000000000010000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000010100000000000000000000000010000000000000000000000000000000000000100000000000000000010000000000000000000000000000000000000000000000000000000010000000000001010000100110000001010000000000100000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001100000100001000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000000000000000000000000000000000000000000000000010000000010000000000000001000010000000000000000000000000000000000010000000000000000000000000000000000000000100000000001010000000000100010000000000000000000000000000000000001000000001000011010000000000000000000000000000000000000001100000000001000001000000000000000000000000000000000000000000010000000001000000000100000000000000000000000000000000000100100100000000000000000000100000000000000000000000000000000000000100000000000100000000100010000000000000000000000000000000000010000000000001100000000000000000100100000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101110010101100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111110000100000000000000000001111101000001000000011110000110011000000000000000000111111111111111100001000000000000000000000000000000000000000000000000000000000000000001000110011111100000000100000010000000011111111000000001000001000000000111100000000100000000000000011111111000000001000000000000000111100000000000000010000000000001111000000001000000000000000111100000000100000010000000011111111000000001000001011110101110010100000100000010000001100111111000000001000001000000000111100000000100000010000000011111111000000001000000000000000111100000000000000000000000011111111000000000000000001010101111100000000000000000000000000001111000000001000000001011111111110100000100000010000010101011111000000001000001011011101111100000000000000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000001000111111100000000100000010000000011111010110000001000001000000000000000000000000000000000000000000000111100001000000000000000000000000000000000000000000000000000000000000000000000000000111100000000100000010000000011111111000000001000001011101111111100000000100000010000000011111111111100001000000000000000111100000000100000010000000011111111000000001000000000011101111110100001000000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000001000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000001110111110110000001000000010000000011111111000000001000000001011111110011000001000000010000000011111111000000001000001000000000111111010000100000010000111111111111111100000000000000000000111100000000100000010000011101111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000001000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110011000010001111111111111111111111111111111111111111111111111 +111100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000111111100000100000010000000000001111101000001000000011111111110010010000100000010000011111111111111000001000000000000000000000000000000000000000000000000000000000000000001000001111111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000000001111000000001000000000000000111100000000100000010000000011111111000000001000000000000101110010100000100000010000010101011010101000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000001111111100000000100000010000000000001111000000001000000001010000000010100000100000010000000011111010101000001000000011001111111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000001000111111100000000100000010000000011111111111100001000000000000000000000000000000000000000000000000000111100001000000000000000000000000000000000000000000000000000000000000000000000000000111100000000100000010000000011111111000000001000000011111111111100000000100000010000000011110111111100001000000000000000111100000000100000010000000011111111000000001000000000011101010100000100100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000001000100110110000100100000010000000011111111000000001000000000001010101010100100100000010000000011111111000000001000000000000000111111010000100000010000111101011111111100001000000000000000111100000000100000010000110111111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101110010010111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111110001000000011000100101011111101100010000000000000000111111100001100000011000100101011111000000010000000000000000000000000000000000000000000000000000000000000000001000001111111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000001000000101101011000001000000001000001100111010101000010000000000000000111100000001000000001000000011111111000000010000000000000000111100110001000000001000000011111111000000010000001000110011111100000001000000001000000011111111000000010000001001010000101000000001000000001000001100111010101000010000000000000000111100000001000000001000000011111111000000010000000000000000000000000001000000001000000011111111000000010000000001000100111100000001000000001000000011111111000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000001000000000111100000001000000001000000011111111000000010000001000001010000100000001000000001000000000011111000000010000001000000000111100000001000000001000000011111111000000010000000001010101100010000001100000001000000011111111000000010000001000000000111100000001000000001000000011111111000000010000001000000000111100000001000000001000000011111111000000010000001000000000111100000001000000001000000011111111000000010000000001010000001100000001100000001000000000001111000000010000001001000100110011000001100000001000000011111111000000010000000000000000111100000001000000001000010111110000010000000000001000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000001000000000111100000001000000001000000011111111000000010000001000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011110000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000110111000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000010101011111111100000000000000000000111111100000000000000000010101011111111100000000000000000000000000000000000000000000000000000000000000000000001001010101111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000001011110101101011000000000000000000010101011100110000000000001000000000111100000000000000000000000011111111000000000000001000000000101110110000000000000000000011111111000000000000000000001111111100000000000000000000000011111111000000000000000001011111101011110000000000000000000011111100110000000000001000000000111100000000000000000000000011111111000000000000001000000000000000000000000000000000000011111111000000000000000001110111111100000000000000000000000011111111000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000011111111000000000000001000011000000010000000000000000000001000001111000000000000000000000000111100000000000000000000000011111111000000000000000000001111110111010000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000000001011111111111000000000000000000000000001111000000000000000001110111111100000000000000000000000011111111000000000000000000000000111100000000000000000000111111110000010000000000000000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000011100110011001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000001000000000000000001000000000000100000000011110111100110111101000000000000000001000000001100011000000010101000101010101001100011000000000011111011011111011000000011100111111110111100000000000000000001100011001100011000011011110101101110110001100011001100111010100011010100011001111000100010011000000001100011010100011010100011010000000001111011100111101110111000000000001100011001100011001100011001111011110111100110111101100011001100011001100101001100101001011011110111010110111101100011001100011001100011001100111001111011110111101110110101100011001100011001100011001100011001111011100111111101111100100011001100011001100011001100011001111000110111101110111001100011001100011010000000011100011001111011111111111110010001100011000000000010100011001100111001111000100000010000000001100011001100011000100011000000000001110011110011111111111101100011001100011001100011001100011001111011110111111000111101100011000000000011100011001100011000011011110111111110111100000000001100011001100011001100011001100000000000000000000000000100000000000000000110000000110000000001000001001110010001110001000000000000110010000000110001100001000111111010110101100011001110111000110010001100011000110011110110011110111001100111001100011001110001001110011001111011110111100110111100110011000000000001100011001100011001011011110101011110001101010011001100011001010011001100011001001001110111111110111111111011000000000001010011001110011000110011100111001110001111110011000000000001100011001100011001111011110111011111111100110011001000000011100011001100011000110011110011000110110011111011000000000001110011001110011001111011110111110110111101100011001100011001110011001100011000111011110111111110111101000011000000000000000000001100011000101001110111111110111101100011001100011001100011001100010001010000110011111110110001100011001100011001100011001100011000111011010111101110011001100011001100011101100011101100010001101000100111111110111101100011000000000001100011001100011001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111010101000011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000100000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000011000000101000000100000000000000000000000000000000000010000011000000100000000000000000000000000001000000001000000000001010000000001100000000000000001000000000010000001000000000100001000000100000100000000000000000000000000000000000000000001011000000100000000100000000000000000000000000000000000010000011000100001000000100000000000000000000000000000000000000001001000000100100000100000000000000000000000001000000001010000001000000110000000100000000000000000000000000000000000010000000000000100000000000000000000000000001000000001000000000100000100000101000001000000000000000000001000000000000000000100000000000001000000000000000000000000000000000000000000000000001000000100000000100000000000000000000000000000000000010000001000000101100000100000000000000000001100000000000000010000001000000101001000000000000000000000000100000010100101000000000000000000000000000000000000000000000000001000000000000000000000000000000000010000000000000000010000000000000001000000000000001101000010000000001000000000000000000000000000000000001000000001000101000000000000000000000000000000000000011000001000000100000000100000000000000000000000000000000001010000001000000001000000100000000000000000000000000000000000000001000101000001000001100000000000000000000000000000000000000000011000000000100000101000000000000000010000000000000000000100001000000000000000100000000000100000001100000000000000000000001000000000000000000000000000000000010000000010000000011010001000000101000010000000000000000000010000000000000000010000001000000101000000100000000000000000000000000000000000000001000000000101100000100000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000001001000000100100000000000000000001100000000000100000000000001010000000101000100100000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010111111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010000100110000100100000000000000000000000000000000100000000000000000000100010000000100000000000000111000000111000000000000000000110000000100000000000000000000000000000000000000000010000000110000000100000000000000010000000000000000000010100000000000000000000000000000000000000000000000000000000010100000000000110000000100000000000000000000000000000000000011001010000000110000000100000000000000000000000000100000000100010010000000110000000100000000000000000000000000000001001010100010000000110000000100000000000000000000000000000000000010100000000000100000000100000000000000000000000000000000000011100010000100110000100100000000000000000000000000000000000010100010000010010000000000000000000000000000000000000001010010100000000000000000000000000000000000000000000000000000000010100010000000010010000100000000000000000000000000000000000010100010000000100000000100000000000000000000000000000000000000000010000100110000100100000000000000000000000000000000000010010000000000000000000000000010000000000000000001000000001000000000000100010000000010000000000000000010000000000000000110001000000100101000000100000000000100010000100000000000000001000010000000110000000100000000100000000010000000010000000000100010000000110000000100111000000000000000000000000000000001001010000000110000000000100000000000000000100000000000000000001010000000110000000100111000000000000000100000010000000001000000000000110000000000111000000000000000000000000000000001010010000000100101001000111000000000000000000000000000000001000010000000010000000100111000000000000000100000000100000000100000100000110000000100000000000000000000100000000000000000000010010000110000000100000000000000000000000000000000000000000010000000110000000100000000000000000000000000000000000001010010000000010000000100000000000000000000000000000000000001000010000000110000100000000000000000000000000100000000000000100000000000110000000100000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110000001001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000001000000000000000001000000000000000000000010001000110000000101000000000000000001000000010001000100000000100000000001000010001000100000000000010100000001100000000001000011001100011000000000000000000001100011001100011001000001000001001100010001100011001100011000100011000100011001000000000010000000000001100011000100011000100011000000000001000001100011000101010000000000001100011001100011001100011000000001000011000100011001100011001100011001100001001100001001000001000010000100011001100011001100011001100011001100001001000001100011000100010001100011001100011001100001001100001001000011000011000001011000100011001100011001100011001100011000000000100010001100010001100011001100011000000000000101011001000000100001100110000001100011000000000000100011001100001001000000100000000000000001100011001100011000100011000000000001000001100011000001011001100011001100011001100011001100011001000001100011000000011001100011000000000000100011001100011001000010001000110001000100000000001100011000100011000000000000000000000000000000000000000000000000000000000000000000010000000000001000000101010001000001000000000000000010000000000000000000001000001000000101100001001100011000100010001100011000001001100010001100000001100011001100011001100001001100011000001001100011000100011000000100000000000001100011001100001000000001100001001100001001000011001100011001000011001100011000000000100011001100001000010100000000000001000011011000011000001001000011000100001001000100000000000001000011001100011000001001100011010001001000000100000000000000100011001100011000001001100011000100010000010100000000000001000011001000011000000011000011000100110001100011001100011001000011001100011001001001000011001100011001000011000000000000000000001100011000001001100011000100011001100011001100011001100011001100010000000000100001001100010001100011001100011001100011001100011000001001000011000100010001100011001100010001100010001100010000001000000011001100010001100011000000000001100011001100011000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010001000011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000111100000000000010000000001000100110001001000000011100000000111101110001100110000000000000000101000000000000001100000000111000000000000000000000001000000110001010000000010100101010111000000000000010000000001000000111001110000000011100000000110000010010000000000000001000000110011110011100010100110000011000000100000110000000001000000111001110100000001101110000111000000010000100000000001000000110000110001000011100110000111001100000000010000000001000000111000110000000001101001000111000000000000000000000001000000110001110000000010101110000111000010010000010000000001000000111000110000000011000000000111100000110000000000000001000000111000110001000011100010000111100000010000000000000001000000110000110011000001111001000110000110010000010000000001000000111001100001000001100001000111000000010000000000000001000000111001110000000000011001000111000110010000000000000001000000111000000001000001000011000011000000001001100000000000000000000000000000000000000000000000000000000000000000000001000000010000100000000000000010001011011110011000100000000001000000110000110000000000000011000011011000000100000000000001000000110000010000000101100000000011001000010100000000000001000000110001110010010011101111000011001000010000000000000001000000110000100000000010110000000011000000001100000010010001000000110001110111100011100100000011000000000000000010010001000000110011110011110001100000000111000000110000000000000001000000110001110011001001100111000001000000000000000010010001000000110001100010000011101001000101100100011100000000000001000000110000100000000000100100100111001000001100000000000001000000110000010000000000000000000011000000000000000000000001000000110000010000000001100001000011000000000000000000000001000000110000110001100000100000000011000010000000000000000001000000110000110001000101100110100011000010000000000000000001000000110000110001000001100000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111001000100110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000011000000000000000000000100000010000010000100100000000000000000000000000000000000000010000000010100000000000000000000000000001010010001000000000000000000010100000000001000000000000000001000000011000000000000000000010000000000000000000000000000000110010001100000000011000000010100000000001010000000000000000100010101000000000111000000010100000001000010000000000001001010001000000000001011000000000100000000001000000000000001001000001000000000001010100000010100000000000000000000000000001010010001000000000011000000000100000000001000000000000001001000001000000000000000000010010000000001000000000000000001001000001000000000000010001010010000000000000000000000000001001010001000100000100000000000010010000000001000000000000000001000000001000000001010000000010100000000000000000000000000001000010001000000010000100000000100000000000000000000000001001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001001000000000010000000000000000000001000000000000000000000010101000010000000000000000000000000000010000000001000000000010100000000100000000000000000000000000011000000000111000000001100100001000000000000000000000000000000000000100000000000010100000100100000010010000000000000010101100100000011000000001100000000000000010010000000000000000011000000000110000000001100000001000000000000000000000000000111000000000111000000000100000000000000010010000000000000000001100000000010000000100010000100100000000000000000000000000000000000000010000000000100000100100000000000000000000000000010000000000000000000010100000000000000000000000000000000001000000000000110000000010100000000000000000000000000000000000010000000000010000000010100000000000000000000000000000000010000000000001000001000010100000000000000000000000000000000010000000000000110000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100111110000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000001010000000001000000001001010000000010000000000000000000000000000000000100000000000100000000000000000000000000000000000000000000000010000000100100000000000000000000000000000000000000000000000110000000000100010000000000000000000000000000000000000000010010000000000000000000000100000000000000000000000000000010000000000100010100000000000000000000000000000000000000100000001001000000001100000000000000000000000000000000000000100000000000000100000100000000000000000000000000000000000000100000000000100100011100010000000000000000000000000000000000100000000110000000000100100000000100000000000000000000000000100100000110000000000100100000000000000000000000000000000000100000001000000100000100010000000000000000000000000000000000100100000000000000000100000000000000000000000000000000000000100000000000000100001100001000000000000000000000000000000000000000000000001000000000100000000010100000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000010000100000000000000000000000001100000000000000001000000000000000000000000000000000000000001100000000000000000000000000000000000000000001101100000000001100100000000000100100000000000000000000000000000000000000001100100000000001010000000000000000000000000001101100000000001100000000100000100000000000000000000000000000010100000000001100000100000000000000000001000000000100000010101100000000001100000000000000000000000000000000000000000001110100000000001100000000000101000010100001000000000000000000000000000000001100100000000000000000000001000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000001100100100000000000000000000010000000000000000000000000000001100100100000000000000000000010000000000000000000000000000001100100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010001010010101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000011000000000000001000000000000000010001000000000000001000000000001000001000001000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000001000000000000000000000000000000000010000000000000100000000100000000000000000000000100000000000010000000000000000000010000000000100000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000101000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000010101000000000000000000100000000000010000000000000000000000000000001000000000000000100000000000010000000000000000000000000000000000000000100000100000000000000000000000000000000001000000001010000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000010001010000000000000000001000000000100000000000000001000000000001000000000000000000001000000000000000000000000000000000000001010000000000000000001000000000000000000000001100000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000001001000000000000000000000000000000000000000001000000000000100000000000000000000000000000000000000000000001000000000000010000000001100000000000000000000000000000000001000000000100000000000000000000001000000000100000000000000001000000000000000000000000000000100001000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000001000000000000000000000000000000001000000000000000100000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011110000010000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000010000000011000000000000011011000110111011000000000000000001111001100111100110101111000000000000000000000000000000000000000001110000000000000000000000000110000000001110011110000000000000100000000000000000000000000110000000011101011100000000000111000000000110000000000000010000000000001110001010010000000001110000000110000000000000001011000000001010101100000000000110000000000110000000000000000110000000001110111100000000000001110000000110000000000000000010000000101110110110000000000101010000000110000000000000000110000000001110101100000000000001110000001111000000000000000110001111001110110110000001100000000000101110001111011011000110000001000001111100000001111001110000000110000010000000000110000000101010101001100000000001110000000110000000000000000110100000001110111100000000000101110000000110000000011010000110000001000000111100000001111001110000000110000001000000000000000000001111011100000000000001110101100000000000000000000000000000000000000000000000000000000000000000000000011101000110001111000000100100000001111000101001101111011111000000010010001111001110011100010001100000100100110110011111000000010110000000101110101100000000000101110000000110000000000000010110101110000000111100000000000101110000000110000000000000011101000000101110101111111000000001010000000110000000000111110110110010100100100100000000000111100000000100000000011011001110000000000000111110010000000101110000000100000000010011011111101101011000110110010000000000100000010100000000011000000110001010011000000000000000000011100000011111000000000000000110000000011100101001101000000011100000000110000000000000000110000000001000000100000000000000000000000000000000000000000110000000001111001100000000000100100000000100000000000000000110000000001110101100000000000001110000000000000000000000000110000000001110001100000000000001110000000110000000000000000110000000000000000100000000000001110000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111001111001001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000001001000000000000000000000100001000000000000000000100000100100000010100010000000000000000000000000000000000000000000010000000000000000000000000001010000000010000101000000000000010000000000000000000000000001010000000010010100000000000000001000000001010000000000000000000000000000100000000000000000010000000001010000000000000001000000000000000000000000000000001000000001010000000000000001010000000010000001110000000000010000000001000000000000000001000000000000001010000000000000000000000001010000000000000000010000000010000001100000000000010000000001000000000000000001010100000010001010000000000000000000000101001100000000000101010100000000000000010000001000010000000001010000000000000001010000000000000000000011000000000000000001010000000000000001000000000010000101000000000000000100000001010000000000000001010010000000000000010000010000010000000001010001000000000000000000000010001000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000110001010010000000000010000000100000010000000000000010000000000001000100000010000110000000000000010000000100010001000000000001010000000000000000100000000000000000000001000000000000000000000000010000001010000000000000000000000001010000000000000000010000000000000000001010000000000000000001010000000000000000000000000000000010000000000000001000000000010000000000100100101000000000001000100000000000000000000000010000000000000100000010000000010110000000000000010000000000010000000000010000100000000001000000000000000000011000000000000000000000000001010000000011000001000010000000001000000001010000000000000001010000000000000000000000000000000000000000000000000000000001010000000010000000000000000000000000000000010000000000000001010000000010000010000000000000010000000000000000000000000001010000000010000010000000000000010000000001010000000000000001010000000000000000100000000000010000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001001111011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000100000000000000000001000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000100000001000000000010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000001000000000001001000001000000000000000000000100000100000000000000000000001000000010010000000000000000000001000000000000000000010000100100000000000000010000000000000000000000000000000000000000011000000000000000100000000000000000000100100000000000000000010000000000101000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000100000000001000000000000010000001000000000001000001000000000000100001001000000000100000100000010000000001000000000000100001100000000000010000000000000100000000000001000000010000000000000000000000010000000000000000000000000000100000000010000001101000000000000000000000000000000000000001000000000000100000000000000000010000000000000000000000000100000000000000000000000100000000010000000000000000000000010001000000100000000000001001000000000000000000100000000000100000000001001000000000000000000000000000000001000000000000000000000000000000000100000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111101101011101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000100000000000000000000000000000001010000000100010000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000010001000000000000000010000000000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000000001010010000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000000010000000000000000000001000000000000000000000001010000000000000000000001000000000001000100010001100000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000110000100000000000000000000000000000000000000000000000001000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000001010000001000000100001000000000000000001010000000000000000100000000000000000100100000000000000000000000001000000000000000000001000000000000000000000000000100010000000000010010000000000001000000000000000000000000000000000000001001000000000000000000000000000000000000000000000100000000001000000010000000000010100000000000000000000000101000000000000000010010100000000001000000000000000000000001000100100000010000000010000000000000000000000000000000000000001000000000010000000000000000000000010000000101000000000000000000000000000010000000000000000010000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000001000000000000001000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010011110101110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000100000000000000011110000000111100011100100100010000000000000010000000000100000010000000000000000000000000000000000000000110000000000110001110000000011100010000100100000011000000000110000000001111000000000000011100111100110000000011000000000110000000001110000010000000011100111101101100000000000000000110000000000110000110000000001100111101101000000000000000001111100000000110000110000000001110001100000000000000000000001111000000000110000010000000001100110100100000000000000000000110000000001111000110000000011100010101101000000011000000001111000000000010001010000000011101111000110000000000000000000000001111000000000110001011000001101100000001101000001101000110000101000110001000000000011100101000000000000011010000001111000000000110000010000000001100010110110100000000000000000010000000000000000110011010011010011100000001111000000011100110001111000110011010000000001110011000000000100100100111100110000000000000000000000000000000000000000000000000000000000000000000000000000100001111011100100100100001110000001011000100001111000110000110000000001111011100000000011001000000001101001111000110001110000000000100111100000000000000000000000110000000001101011111001111001100111000000000000000001011111111100111000110001111100000001110011100000000000000000000001111000000000100000110001100110100111010000000000000000111111111001011000000000100001010001110001100000000000000000111010110000000001101010110000011010100001100000000000001000000100110001110000000000110000111001100011110010000000001000011011000001101000110000110000000001110010100000000000000100000000100000000000000000110000000001110010000000000000000000000000000000000000010000110000000001010101100000000000000000000000110000000000100000110000000001110001000000000000000000000000110000000000110000110000000001010001100000000000000000000000110000000000000000110000000000000100100000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011011101110001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000010000000001000000000000100000000000000101000000000000000000000000000000000000000001010000000001000001001000000010010000010000000000000010000001010000000001000000000000000010011000000100000000000010000001010000000001001001000000000011000101000000000000000000000001010000000001000001000000000010000000010010000000000000000001000000000001010001010000000010000000000000000000000000000001000000000001000001000000000010001000100000000000000000000001010000000001000001010000000011001000000000000000001000000001000000000001000001001000000011000100000000000000000000000000000100000000000001000100000000000000000000001000000000000001010100000001010000001000000110010000000000000000001000000001000000000001010001000000000010001010000100000000000000000001000000000000000001000000000001001001000000001001000000100001000100000001010000001000000010000100000000000000000000000011010000000000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000001000000100000010010000000100001010000000000001010000000100000000000000000010100000001000001001000000010000110000000000000000000000001000000000000010000000100100010000100000000000000000001010001000001000001010001000000000010000101000000000000000000000001000000000000000001010000000001101001000000000000000000011010000010000000000000010000000010000000100000000000000000001010010000000000000100000100000010010000000000000000000000010001000000100000000001000010000010001011001000000000000000000010001010000001010001010000000010001000000000000000000000000000010000000000000001010000000010001000000000000000000000000000000000000001000001010000000000000000100000000000000000000001010000000000010001010000000010000000000000000000000000000001010000000001010001010000000000000000100000000000000000000001010000000000000001010000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001011100100001001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000000000000000000000000000000000010000000000000000000101100000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000100000000000000000000001000000000000000000100000000001000000010010000000001000000010000000000000000000000000000100100000000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000010000000000000000000100000000000100000000010000000000101000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000001000000001000000000000000000000101000000100000000000000000000000000000001000000000000000001000000000100000000000000000001100000000000000000000000000100000000000001000000100000001100000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000100000000000000001001010000101000000000000000010000000000100000000000000000001001000000000000000001010000000000000000000000000000000000000000000001001000000010000000000100000000001000000000000001000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101000001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000010000000000000000000010000000000000000000001000000000000000010001000010000000000010000000000000000000001000000000000000010000001000000000000000000000000000000000001000001000000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000010000001000000000000000000000000000000001000000000000000010000001001000000000010000000001000000000000000000000000000000000000000010000000000000000000000001010000000001000000010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000000100000000000100001000010001000000000000000000000000000100000100000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001011000001000001000000000000000000100000000000000000000000000100000000000000000000010000000000001010000000001000000000000000000000000000000000000000000000000000000000001010010000010000000000000000000000000000000000100100000000000000000000000001000000000000000000000000000001000000000000000000000001000000000010000000000000000000000001000000000000000000000100000000000000000000000000000000000100000000000000001000010000000000001100000000000010000000000001000000000000000000000101000000000000000000000010000000000000000001000000000000000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100101110010110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000111100011000000000000000000000000000000010000000011110000000111100011100110101111000100111100000000100000000000000000000001110001100000000000000000000000000000000000000000110000000001110011100000000000001100000010000000000000000000110000000000000100100110000000001110000000000000000000000000110100000001100011100110000000011000000000000000000000000001101000000001110001100110000000001110000000010000000000000000110000000001110101100110000000001100000000000000000000000010110000000010100011100110000000001100000000000000000000000000110000000101110001110100000000001110000000000000000000000000110000000001110011100110000000001110000000000000100000000000000011111001110101100110000111001110011010010000000000000000110000000000000000100110000000001110000000000000000000000000110000000001110011100110000000001110000000000000000000000000100001110001111011100110001100001110001000000000000000000000110000000111100011100000000100000000011100000001110000000000000000000000000000000000000000000000000000000000000000000011110001111000000111100110000000001110010100010000110000000000110000000001110101101111000000001111011000000001100000000000110000000001010011100110000000001110000000000000000000010010110000000001110101100110000111001100000000010000000000000000110000000101110110100100000000001110000010010000000000010010110001111011000001100110001110001100000000000000000000010001111001111001110001100110001111101000000000000000000000000000110000000101110011100110001111101100000000000000000000010001111011111011100001100110001111001100000000000000000000000000110000000001110111100010000000001110000000000000000000000010010000000000100011001100000000001110000000000000000000000000110000000001110101100110000000000100000000100000000000000000010000000001100101000000000000001110000000000000000000000000110000000001110011100110000000001010000000000000000000000000000000000001100001100110000000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001011001100111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000010000010000000000000000000000000000000000000000001000000000010000010100100000000100100000000000000000000000000000000010000000000000000000000000000000000000000000000001010000000010000111000000000000010000000000000000000000000001010000000000000000001010000000010000000000000000000000000000000000000010000100001010000000001000000000000000000000000000000000000010000001001010000000010000000000000000000000000001010000000010000010001010000000010000000000000000000000000000010000000011000010011010000000010000000000000000000000000001010000000000100010000010000000010000000000000000000000000000010000000010000100001010000000010000000000000000000000000000000001000010000000101010001000010000000010000000000000000001000000000000000010001010000000010000000000000000000000000000010000000010000000011000000000010000000000000000000000000000000000000010001010001010000000010000001000000000000000000001010000000000010010010000000000000000000010000000001000000000000000000000000000000000000000000000000000000000000000000000001010100000000100000000000000010001000000000000010000000001010000000010000000101010000000010000000010000000010000000001010000000000000100101010000000010000000000000000000000000000010000000010000000001010001000100100000000000000000000000001000000000000001000100010000000010000000001000000000000010000010010000001000000000010000000100100000000000000000000000001000100000010000000101010001001100000000000000000000000000001000000000000000000011000010000000000000000000000000000010001000001000011000000101010010010100100000000000000000000000001010000000010000111001000000000010000000000000000000000000000000000000010001000000001000000010000000000000000000000000001010000000010000001001010000000010000000000000000000000000001000000000010000000000000000000010000000000000000000000000001010000000010001000001010000000000000000000000000000000000000000000000010000000001010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101001011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000110000000000000000000000000000000000001000000000101100000000110000000000001000000000011000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000001000000000000000000000000000000000000000000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000100000000000000000000000000000100000000000000000000000000000000000000010000000101000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000010010000000100000000000000000001000000110000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000000000010000010100000000000000000001000000000000000000000000000000000000000000000110000000000010000000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000101100010000000001100001100000000000000000000000001000000000000000000000001100000000000000000000000000000001000000000000000000000000000000100000000000000000000000000000000000001101000000000000000011000000000001000000000000000000000000000000000000000000100100000000000000000000000001000000000000010101000001001100000000000000000011000000000000000000000000010100000000010000000000000000000100000100000000000000000000001100000000000010010010100000011000010000000000000000000000000000000001000000000000100000000010000000000000000000000000000000000000000000000100000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000011111011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000000000011000100000011000000000010000000001000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000100000000000000000000000000000000000000000000100000000000001000000000000000010000000000000000000000000000001000000000001000000000000000000000000000010000000000000000000000000000000000000000000000010000000000000000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000100000000000000000000000000000010000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000010000000000000000000001000000000000000000000000000000000000000000010000100000000000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000010000000000001000000000000010000000000000000001000000001000000000000000000000000000000000000000000000000100100000000001000100100100000000000000000000000000000000101000110000000000010000000100000000000000000000000000000000001000000000000000000001000000100011000000000000000000000000001000100000010000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000100000000000000000000000001000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110010011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000111111110000000000000000000011111010010100000000000000000000000000000000000000000000000000001111000000001000001000000000111100000000000000010000000011111111000000000000001000000000111100000000000000010000000011110000000000001000000000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000001000001000000000111100000000100000010000000011111111000000000000001000000000111100000000100000010000000011111111000000001000000000000000111100000000000000010000000011111111000000000000000000000000111100000000100000010000000011111111000000001000000001111111111110100000100000010000001001111111000000001000001000000000111100000000100000010000000011110000000000000000000000000000111100000000000000010000000011111111000000001000000010101111101010000000000000010000010111111111000000001000001000000000111100000000100000000000111111111111101000001000001000000000000000000000000000000000000000000000000000000000000011001100110000000000100000000000011011111111001000001000001000000000111100000000100000010000111111111111000000001000000000000000111100000000100000010000000011111111000000001000000011110101101010100001000000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000001010111011111011100001000000010000000011111111000000001000000011001111111111000001000000010000000011111111000000001000000000110101111100000001000000010000000011111111000000001000000000001111110111010001000000010000000011111111000000001000001000000000111100000000100000010000000011111111000000001000000000000000000000000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000001000000000111100000000100000010000000011111111000000001000000000000000000000000000100000010000000000001111000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000100001000000000000000101010100000100000010000100001111010010100001000000000000000000000000000000000000000000000001111000000001000001000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011110000000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000001011101111011100000100000010000111111111111000000001000000000000000111100000000100000010000000011110000000000001000000000000000111100000000100000010000000011111111000000001000000010111011001000000000100000010000011101111111000000001000000000000000111100000000100000010000111111110101010100001000000000000000000000000000000000000000000000000000000000000000000011111111110000000000100000010000001111111111000000001000000000000000111100000000100000010000111111101111000000001000000000000000111100000000100000010000000011111111000000001000000000000101111100000100100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000010001001000100100100000010000000011111111000000001000000000000011001100000100100000010000000011111111000000001000000000110101101010100100100000010000000011111111000000001000000001010101100010000100100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000000000000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000000000000000100000010000000000001111000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011010011010000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000010101010001100000011000100101011010010100011000000000000000000000000000000000000000000000001111000000010000001000000000111100000001000000001000000000001111000000010000000000000000111100000001000000001000000011111111000000010000000000000000000000000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000001000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111011101100010000001000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000001000000000111100000001000000001000000011111111000000010000000000101111111100000001000000001000000011111111000000010000001000000000000011110001000000000000000000001111000000010000000000000000000000000000000000000000000000000000000000000000001000000000111100000001000000011000010100001111110100010000001000000000111100000001000000001000000011111111011100010000001000000000111100000001000000001000000011111111000000010000000001010101000010100001100000001000000011111111000000010000001000000000111100000001000000001000000011111111000000010000001000000101000011000001100000001000000011111111000000010000001000000011110000000001100000001000000011111111000000010000000001000100101000000001100000001000000011111111000000010000001000110101110011000001100000001000000011111111000000010000001000000000111100000001000000001000000011111111000000010000001000000000111100000001000000001000000000000000000000010000000000000000111100000001000000001000000011111111000000010000001000000000111100000001000000001000000000001111000000010000001000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111011111101100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010100000000000000000010101011010101000000000000000000000000000000000000000000000000000001111000000000000001000000000111100000000000000000000000000001111000000000000000000000000111100000000000000000000000011111111000000000000001000000000000000000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111001100000000001001010011111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000001001111111111100000000000000000000000011111111000000000000001000000000111111110000000000000000110011001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000100100001111001000000000001000000000111100000000000000000000000011111111111100000000000000000000111100000000000000000000000011111111000000000000000000001111111110100000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000001010101111111111000000000000000000000011111111000000000000000011001111110011110000000000000000000011111111000000000000000001110111101011110000000000000000000011111111000000000000000000110101101010100000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000000000000000000000000000000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000000001111000000000000001000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000011111011110111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110111011110111101100011000100011011000000011100011001111001000100010110001100100011000100011011100011000000000000110001110111100110111110100011011000000011000100010100101000111011000111101110001001100011001100011001100001001100011001111011110110111110001111100011001100011001100011001100011001011011000111111100111111100011001100011010100011001100011001101001000000101000101101100011000100011001100011000000000001111011110111101110111101100011001100101001100011001100011001101011110111100110111101100010000000000000100011000110011001111011100111100110111101100011000000000000100011001100011000110011110101101110111100000000001100011000000000001100011000110001110010001000011000000000001110011001100011000000000001100000000000000000000000000000000000110000110000000000000000000000000000000000000000000100000000000000000000000000000000000000100101010010000101110011000000111101110111001100011001100011110110011110001000110011000000000001100011001100111001011011110111111110000101100011001100011001100011001000011001011011100111000110111001100111001100011001100011001100011001011011110111000110011001100011001100011001100011001100011001011011110111111100111111011011000000000001100011001100011000110011100111111110111111111011000000000001100011001100011100110010000100000010101111000000001100011000000000001110011000000000110111111100001001100010001100011101110011001100011000111010100011011000000101100011001100011001100011000000000001111011110111001010010000000000001100011001100011001100011001111000000000000000000000000000001100011000000000000000000000000000110111100110111100000000000000000001100011001100011000011000110111111110111100000000000000000001100011001100011001001011110111111110111100000000000000000001100011001100010000001000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110110000000000000000000000000000000000000000000000000000000000000000000000000000001110010101010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001100000100000000000000000001100000001100000010000000000000001000100100000000000000000001000000000000000000000000000000100000000101000000001000000001100000001000000000001001000000100100000000000000000000000000100000000000001000100011000000101000000101000000000000000000000000000000000000001001000000101100000101000000000000000001000000000000000000001000000000100100100100100000000000000000000000000000000010000011000000100100000100000000000000000000100000000000000000001001000000100000000100000000000000000000000000000000000000100001000000100000000100000000000000000000000000000000000000000001000000100000000100000000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000010010000000000000000010000000000000000000000000001000000001000000000000001000000000000000000000000001010000001000000101000000100000000000000000000000001000000000010000001000000000000100000000000000000000000000000000000000010000001000000000000100000000000000000000000000000000000000010000001100000111000000100000000000000000000000000000000000000000011001000001001001000000000000000000000000000000001100000000000010000000001000001000000000000000000000000000100000000000010000000101000100000000000000000000110000000000000000010000001000001001100000100000000000000000000000000000000000010000001000000000100000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000100000000100000000000000000000000000000000000010000000000000101000000100000000000000000000000000000000100000001001000000101000000100000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000111110101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000110000000100000000000000000000000000000000000010010000000000100100000000000000000000000000000000000000000010000010000000110000000100000000000000000000000000100000000111000000000000110000000000000000000000000000000000000000000010010010000000110000000000000000000000000000000000000000000001100000000000100000000100000000000000000000000000000000000000001000000000000000000100000000000000000000000000000000000000100010000000110000000100000000000000000100000000000000000000100010000000110000000100000000000000000000000000010000000010100000000000110000000100000000000000000000000000000000000001000000100000110000000100000000000000000000000000000000000001000010010000000000000000000000000100000000000000000000000000010000000000000000000000000000000000010000100000000000000000000000000000000000000000000010000000000000000000000000000000000000000001001000000010010000000000010000100100100000100000100010000000110000100000110000000000000000000000000000010001001010000000110000000000000000000000000000000000000000000001001000000000110000000100000001000000000000000000000000000001001010000000110000000000010000000000000000000000000000000001001010000000100000000100101000000000000000000000000000000001000000000100110000000100111000000000000000000000000000000001000000000001001000001000000000000000000000000000010001000000000010000000100000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000010100010000000110000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000010000000110000000100000000000000000000000000000000000000000010000000110000000100000000000000000000000000000000000000001010000000110000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010000000000000000000000000000000000000000000000000000000000000000000000000001100110010110101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011000100011001100011000100011000000000000100011001000000001000010000000000100011000100011001100011000000000001000000101011000100011000100011000001000000000000000100001000000001000011000100001001100011001100011000100001001100001001000001000010001100001001100011001100011001100011001100011000000001000011000100011000101011001100011000100011001100011000001001000000000000000000100011000100011001100011000000000001001001000011000100011001100011001100001000100011001100011000001001100011000100011001100010000000000000100011010000011001000001100011000100011001100011000000000000100011001100011000001011000001000101011000000000001100011000000000001100011000001000001000001000001000000000001100011001100011000000000000001000000000000000000000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011000000110000101000110001000100001001100010001100000000000100000000000001100011001100001000000001100011001100000001100011001100011001100001001000011000000011000011000100010001100001101100011001100011001100011000000001100011000100000101000011001100011001100011001100011000000000100011001000011000010100000000000001100011001100011000001001000010001100001000010100000000000001100011001100010000001000000000000000001001000000001100011000000000000100100000000000000011001100000001100010001100010001000011001100011000011000100001000000000001100011001100011001100011000000000001000001100011000000000100000000001100011001100011001100011000110000000000000000000000000000001100011000000000000000000000000000100011000100011000000000000000000001100011001100011000010000100011001100011000000000000000000001100011001100010000000001100011001100011000000000000000000001100011001100010000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000100001111111110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000111001110000000001110011000111010000000000100000000001000000110011110010000011100011000000001110001000110000000001000000110001110011000000000111100011000100110000110000000001000000110001010000000111100000000011000100011000110000000001000000110001010010000001100001000011001000010000110000000001000000110011110110100011100000000011000010000000110000000001000000111011110011000001100000000110000000110000100000000001000000110001010000000001100000000011010000000000110000000001000000110000110000000001100000000111000100010000010000000001000000110001100000000001100000000011000000000000110000000001000000110001010000000011100000000011000000000000110000000001000000110000110000000001101001000110001100000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000110000010000000101100000000010001110000000010010010001000000110001110011010111110110010011000000010000000000000001000000110000110001000000100000000011011000010000000000000001000000110000110000000001000001000011000000110000000000000001000000110000010000000001110000000011000000100000000010010001000000110001010011000001100000000011100000000000000010010001000000110011110011010001101001000001000000000000000000000001000000110010111001000001000000001011010000000000011000000001000000110000110000000001100001000011000000010000000000000001000000110000110000100001100000000110000000011000000000000001000000110000100000000100100000000011000100000000000000000001000000000000100000000000000000000000000000000000000000000000000000100000000000000000100000000011001000000000000000000000000000110000000000000011100000000011011100000000000000000000000000100000000000000011100000000011000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111001011010000111111111111111111111111111111111111111111111111 +111100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000111000000010010000000010101000000000010000000000000000110000011100000000010000000000010100000001010000000000000000110000011100100000000000000010100000001001010000000000000000110001001000000000000000000010100000000001010000000000000000110010001100000000010000000010100000001001010000000000000000110010001100000000010000000010100000000001010000000000000000100010001100000000010000000010000000001000010000000000000000110000011000000001010000000010101000000001010000000000001001010001000000000000010000000000100000001001000000000000000000110000001000000001010000000010100000000001010000000000000000110010001000000000000000000010100000000001010000000000001000110001000000000001010000000010000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000010100000001000000000000000000000000011000001000000001000001100000001000000000000000000000000010000000000000010000000010101100000000000000000000000000000010000000000001000000000010100000001000000000000000000000000000010000000101010000000010100000000000000010010000000000000001001100100000010000001001000000000000000000000000000000000001001000000000110000000000100000000000000000000000000000000010000000100001000000000001001000000000001000000000000000000010000000000000010000000010100000001000000000000000000000000001000000000001010000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010100100000000000000000000000000000000000000000000010000000010110010000000000000000000000000000000000000000000010000000010110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001011110011010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000100000000000010000000000000000000000000000000000100000000000000000000000000000000100000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000010000000000000000000000000000000000000000001000000000000100000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001100000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000100000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000010000000000000000000000000000001100100100000001000000000000000000000000000000000000000000001100100100000000000000000000000000000000000000000000000000001100100000000000000000000000000000000100000000000000000000001100000000000000000000000000000000000100000001100000000000001100000000000000000000000000000000000000000001110100000000001100000100000000000010000000000000000000000000000000000000001110000000000000000000000000000000000000000000000000000000001100000000000100000000000000000000000000000000000000000000001100100000000000000000000010000000100000000000000000000000001100100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001100000000000000100000000000000000000000000000000000000000000100000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110011010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000010000001000000000000000000000000000010000000000000000000001000001100000000000000001000000000000010000000000000000000000000000110000000000100000000000000000010000000000000000000000010000000000000000100001100000000000010000000000000000000000010000010000000000001000000000000000010000000000000000001000010000000000000000000000000000000000010000000000000000000000010000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000010000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000001010000000000000000000000000000000000000000000000000000000000000010000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000000000010000000000000000000000100100001000000000000000000000010000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000000001000000000000000000000000001000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000010000001000000000000000000000100100001000000000000000000000001000000000000000000000000000000000001000000000000000000000000000000000000000000001000000000000001000000000100000000000000001000000000000000000000000000000001000000000000000100000000000010000000000000100000000000000001000000000000000000000000000000000000100000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010001111011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000110000000001110001100000000000000000000000100000000000000000110000000011100010100000000000011100000000110000000000000000110000000000000000100000000000000000000000110000000000000010110000000001100101100000000000101100000000110000000000000000110000000001110111100000000000101010000000110000000000000000110000000001110001100000000000001110000000010000000000000000110000000001110110100000000000001110000000000000000000000000110000000001111111000000000000001110000000000000000000000000110000000000000100000000000000001110000000110000000000000000110000000000000100100000000000001110000000010000000000000000000000000001110001000000000000000000000000110000000000000000000000000001111011000000000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000100000000100011100111100110000000001000011111100000000000111100000000000001110000000110000000000000000110000000001110111100000000000001110000000110000000000000010110000000001110001100010000000101110000000110000000000000000100000000001110011110010000000101110000000110000000011000011110000000000000101100000000000001110000010110000000011011001111010011100100100101100000000001110000000110000000000000000000001010011100011001101010110011000000000110001110000000001111000000001110011100000000000011100000000010000000000000000110000000011100001100000000000000100000000110000000000000001101100000001110001000000000000001110000000110000000000000000000000000000100011000000000000000000000000000000000000000000010000000000000000000000000000001110000000000000000011011000000000001000000000000000001111001110000000110000000000000000000000000000000000000000000000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001111111000111111111111111111111111111111111111111111111111 +111100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000010000000000000000000000000000000000000000000010000000011001010000000000000001000000001010000000000000001010000000000000010000000000000000000000001010000000000000000010000000010000000000000000000000000000001010000000000000000010000000010001000100000000000000000000001010000000000000001010000000010000000000000000000010000000001000000000000000001010000000010001000100000000000010000000000000000000000000001010000000010001000000000000000010000000000000000000000000001010000000000000000000000000000010000000001010000000000000001010000000000000010000000000000010000000001000000000000000000000000000010000000000000000000000000000001000000000000000000000000000010001000000000000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000100101000001011010000000000010000100000000000000100000000000000010000000001000000000000000001010000000010000110000000000000010000000001010000000000000000010000000010000000000000000000010000000001010000000000000000010000000010001000000100000000010000000001010000000000101001011000000000000010000000000000010000000000000000000000000100100100000000100000100001000000010000000001010000000000000000000000000011001000000000000010101000000000000000000000000001000000000010000100100000000000111000000001000000000000000001010000000011000000000000000000010000000001010000000000000000000000000010000000000000000000010000000001010000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000001000000000000000000100000010000000001010000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100011001110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000100000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000001100000000000110000000000000000000000000000010000000000001001000000000000010000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000001000000000000001001000000000000000000000000000100000000000000000000000000000100000000000000000000000000000000000000000001000000000000000000100000000000010000000000000000000000000000000000000000001000001000000000000100000000000000000000000000100000000000001000000000000000000000000001000100000010100000000000000000100000000000000000000000000000000000000000000000000000010000000000000000100000000000000010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010001011101111111111111111111111111111111111111111111111111 +111100110010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000100000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000100010000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000100000000000000001000100000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000010000000000000000000000100000000000010001000000000000000000011000000000000000000000000000000000000000000010000010000000100000000000000000000000010011000000000001000000000000000010000000000000000000000000000000000000000000000000000010000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000100000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001011100100011111111111111111111111111111111111111111111111111 +111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000110000000001110001000000000000000000000000000000000000110000100000000001100001100000000000000000000000110000000000000000110000000001110111100100000000000000000000100000000000010000010000000001110111100100000000000000000000110000000000110000110000000000101011100000000000000000000000110000000000110000110000000001100010100000000000001000000000010000000010010001000000000011100011000000000000000000000001111100000000110000010000000011101011000100000000001000000000110000000000000000110000000000000100000000000000000000000000110000000000000000110000000001110111100000000000000000000000110000000000110000110000000000100111100100100000001100000000000000000000010000000000000000100101100000000000010000000000110000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110000000000100000100000000000000000000000110100000000000000110001101001110001100100000000000000011000100001010000110001111000000001101001100000000000000000000000010000000000110000110000000001110101100000000000011000000000110000000000110000110000000001101111001101000000000000000000110000000000100010100000011001101011110010000000000000111110110000111000000000110000000001110101110010000000000000101001011001101000110011110000000001100110010000000100000000111100000000110000110000110000000010100001100000000000000000000011010000000000110000000000000001111111100000000000000000000000110000000000110000110000000001111101100000000000000000000000110000000000110000000000000000000000000000000000000000000010010000000000000000110000000000000000000000000000000000000000110000000000000000110000000000000100100000001111000000000000110000000000000000110000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000110100101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010001010000000010000001000000000000000000000000000000000001000000000000000010000001000000000000000000000001010000000000000000010000000010001000000000000000000000000000000000000001000001000000000010000000010000000000000000000001010000000001010001010000000010001000000000000000000000000001010000000001010001000000000010001000000000000000000000000001000000000000000000001000000011000001010000000000000000000001000000000001000001000000000011001000000000000000000000000001010000000000000001010000000000000000000000000000000000000001010000000000000001010000000010001000100000000000000000000001010000000001010001000000000010000001010000000000000000000000000000000001000000000000000010000001100000000000000010000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000001100010000000100000000000000001001000010000000001000001000000000010000000000000000000000000000001000000000001010001000000000010000001000000000000101000000001010000000001010001010000000110001000000000000000000000000001010000000000000000010001000010000100000000000000000001001000010010000000000001010000000010000000000000000000000000001001000010000001010000001000000010001000000000000100000000000010000000000000000001010000000011000001100000000000000000000000001000000001010000000000000010001000000000000000000000000001010000000001010001010000000010000000100000000000000000000001010000000001010000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000001010000000000000001010000000000000010000000100000000000000001010000000000000001010000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100011110010011111111111111111111111111111111111111111111111111 +111100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000110100000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000000000100000000000001000000010000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000001000000000000100000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000000000000000000000000000000000000010000000000000000000100010000001000000000100000000000000000000001000010000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000000000000000000000000000000000100000000000000000001000000000000000001001000000000000000000000000000000000100000100000000000000001000000000100000000000101100000001000100000100000000000000000000000000000000000100000000000000000000000000000000000000000001000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101010011001000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000001000000000000000000000000000000000000000000000010000000000000001001000000000000000000001000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000010000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000100000000001000000000010000000000000000000000000000000000000000000000001000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000011000000100000000000001000000100100100000000000000000000000000110000100000000000000000000000100000000000000000000000000000000000000000000000000000100000010001000010000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000100000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000001111001000000000000000100000000000000000000000000110000000000000100101111000000001110000000000000000000000000110000000001100001000110000000011100000000000000000000000001110000000011100111100110000000000100000000010000000000000000110000000101110001100110000000011100000000000000000000000010110000000001100011100110000000001110000010110000000000000000110000000000100000100000000000011100000000000000000000000000110000000001110110100000000000001110000000000000000000000011111100000101110010110110000000001110000000000000000000000000110000000001110110100110000000000100000000000000000000000001111000000001110001000110000000001000000000000000000000000000110000000011000100100110000000000000000000000000000000000001101100000100100000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100111000110000000001110000000000001111000010000110001111001110011100110000111001100000000010000000000000001111000000001110101000110000000001110000000000000000000000010110000000101110101001111000000011100000000000000000000000001111000000001111111000110000000001110000000000000000000010010110001110001110110110010001110111000000000000000000000010000010001110001110101100110001110001100000000000000000000000000110000100101100110010110000100000000111110010000110000000000110000000001100001100110000000001110000001101000000000000000110000000000000000100110000000001110000000000000000000000001111000000001110001100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100101100000000000000000000000000000000000000000000000000001110101100110000011000000000000000000000000000000000000000001110101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000010000000000000000000010000000000000000000000000001010000000000000000101000000000010000000000000000000000000001010000000000000001001010000000111000000000000000000000000000001000000001000101101000000000010000000000000000000000000000010000000000000010001010000000011000000000000000000000000000010000000010001000001010000000010000000000000000000000000000010000000000000000000000000000111000000000000000000000000000010000000000001000000000000000010000000000000000000000000000000000000000000000010010000000010000000000000000000000000001010000000010000100001010000000010000000000000000000000000001000000000010000000001010000000000000000000000000000000000001010000000001000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000011010000000010000000000000001101000010001010010100000000000111010100010100100000000000000000000000001000000000010000000001010000000010000000000000000000000000000010000000000000001001000000000101000000000000000000000000001000000000000001000001010000000010000000000000000000000010000010000100010001000000000000000100010000000000000000000000001000000000010000001001010000000100100000000000000000000000001010000100000001000001000000010000000010010000000000000000001000000000010000010001000000000010000000000000000000000000001010000000000000000101010000000010000000000000000000000000001000000000010000000101010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000000000000000000000000000000010000000001010001000000000000000000000000000000000000000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110111110110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000001000000000100001000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000000000010000000000110000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000100000000001000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001000000000000000000000000000000010000001100000000010000001000000000000000000000000000001000000000000000000000000000000100100000000000000000000000000000000000000000100000000010000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000010101000001000000000110001000000010000100000000000000000000010100000000010000000110000000000101000000000000000000000000000000000000000000110100000100000000000000100000101000001000000000000100000001000000100000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101011000011100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000001010000000010100000000001000000000000000000010000000000000000100000000001000010000000000000000010000000000000000000000000100000000000001000000000000000000000000000100000000000000000010000000000101000000000000000000000000000000000000000000000010000000000100001000000000000000000000000000000000000000000100000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000100000100000000000010000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000001000000001000000000001000000000000000000000000001000000000001000000000000000000000000000000000000000000000000100000010000000000000010100010000000000000000000000000000100000100010000000000000000100000000000000000000000000000000000000000000010000000001000000000000000000100000100000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000001010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000111110110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000111100000000000000000000000011111111000000001000001000000000111100000000000000000000000011110000000000000000000000000000000000000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011110000000000001000000000000000111100000000000000000000000011111111000000000000000000000000000000000000100000010000000000001111000000000000001000000000000000000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000000000000000111100000000100000000000000011110000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010000000000001111010100000000001001011111111100110001000000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000001001011111110011000001000000010000000011111111000000001000000001110111111011100001000000010000000011111111000000001000000000000000111111110000000000010000000011111111111100000000000000000000111100000000100000010000000011111111000000001000001000000000111100000000100000010000000011110000000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000000000000000000000000000000000000000000000000000000000000000000000000000001111000000001000001000000000111111110000100000010000000000001111000000001000000000000000000000000000000000000000000000001111000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101001000001011111111111111111111111111111111111111111111111111 +111100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011110000000000001000000000000000000000000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011110000000000001000000000000000111100000000100000010000000011111111000000001000000000000000000000000000100000010000000000001111000000001000000000000000000000000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011110000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010000000000000000111100001000000000001010110000000100100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000001010111100000100100000010000000011111111000000001000000001000100001000100100100000010000000011111111000000001000000000000000111111110000100000010000000011111100111100001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011110000000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000000000000000000000000000000000000000000000000000000000000000000000000000001111000000001000000000000000101010100000100000010000000000001111000000001000000000000000000000000000000000000000000000001111000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111001001101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000111100000001000000001000000000000000000000010000000000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011110000000000010000000000000000111100000001000000001000000011111111000000010000001000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000000001111000000010000001000000000111100000001000000001000000000001111000000010000001000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000001000000000000000000001000000001000000011110000000000010000000000000000000000000001000000001000000011111111000000010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000001000000001000000011110110011000011000001000110101110000000001100000001000000011111111000000010000001000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000001000000000111100000001000000001000000011111111000000010000001000110011000011000001100000001000000011111111000000010000001000010001110000000001100000001000000011111111000000010000000000000000001000100000000000001000110100111111111100000000001000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000000000000000000000000001000000001000000011111111000000010000000000000000000000000001000000000000000000000000000000000000001000000000000000000000000000000000000000001111000000010000001000000000000000000001000000001000000011111111000000010000000000000000000000000000000000000000000000001111000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100110110110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000111100000000000000000000000000000000000000000000000000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011110000000000000000000000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000000001111000000000000001000000000111100000000000000000000000000001111000000000000001000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000001000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000011111111000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000011111010111100000000001000110101111100110000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000001001010101111111000000000000000000000011111111000000000000000010111011110011110000000000000000000011111111000000000000000000000000000000000000000000000000111111111111110000000000000000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000000000000000000000000000000000000000000011111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000011111111000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000101101011000101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000011110001111110111100000000000000000000000000000100011000011000000000000110000001100011011000000000000000000000110000000011010000111110001101100001001100011011001000011100011001100001010100100100011000100011011101001001100011001100011000000001010101010100100101100001001100011001100111000100011000000000000000000000000000100111000100011011100011001000000001111011100011000110110000100011000000000001100011001100111001000011100000001110011001100011001100011001100001011100001000011011100110001110111111000000001100011000100011000100011001111011100011000110110100000000000100010001100011011100011001011000000000000000000000000000001100011000000000000000000000100011000110001110110000000000001100011010001000010101011001100000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001000000000000000000000000000000000000001100011000000000000000000000000001100111111110001001100011000000000001100011001100011001011011110111111110011001100011001100011001100011001100011001111011110011000110011100000000001100011001100011001100011001111011110111001110110001100011001100011001100011001100011001111011110111100110111111111011000000000001100011011100011001011011110111111000110100000000001100011001100011011100011001001011110111000110111100000000000000000001100011001100011001000011110111111110111101100011001100011000000000001100011000110011110111111110111100000000001100011000000000001100011100110000000000000000110000000000000000000001100011100000000000010011110111111110011000000000000000001111111011011111011000000001100111001100111111111011011111011011111011011111011000000001100110010101011111111011011111011111111011011111011000000001100111001100111111111011011111011111111011011111011000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011000110101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000001000000101000000100000000000000000000000000000000000010000000000000000000000000000000000010000000000000000000001000000001000000101100100100000000000000000000000000001000000000000000000000100000000000000000000000000000100000000000000000000000000000001000000100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000010000011000101000000000000000000000000000000000000000000001000000001000000000100000000000000000100000000000100001000000000100001000000000100000101000000000000000000000000000000000000001011000000000000000100000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000100000101100100000000000000000000000000000000000000010000001000000101100000000000000000000000000000000000000000010000001000000000000001100000000000000000000000000000000000010000001000000000100000000000000000000000000000000000000000010000001000100100000000100000000000000000000000000001000000011000001000000101000000100000000000000000000000000001000000000001001000000000000000100000000000000000000000000000000000000000001000000101000100100000000000000000000000000000000000000000001000000101000000100000000000000000000000000000000000100000000000000000000000000000000000000000000000000100000000000000000010010000010101000000000000001000000000000000000000000000010000100000001001000000000000000000000000000000000000000000000000001000000011000000000000001000000000000000000000000000000000101000100010000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110011110110000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000000100000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000010000000010000000000000000000000000000000000000000000010001010000000100000100000000000000000000000000000000000000000000000100110000000010000000000000000000000000010000000000000000000000000000000000000000000100000000000000000000000000000010000000000010000000100000000000000000000000000000000000100001000000000010000100000000000000000000000000000000000000000000000000000110000000100000000000000000000000000000000000010001000000000010000000100000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000110000000100000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000001001010000000110000100000000000000000000000000000000000000001100010000100010000000000000000000000000000000000000000000001100010000000110000000100000000000000000000000000000000000001100010000000110000000100111000000000000000000000000000000000001010000010000000000100000000000000000000000000000000000000001010000000110000000100000000000000000000000000000000000000001010000000110000000100000000000000000000000000000000000001000010000000110000000100000000000000000000000000000000100010000000000000000000000100000000000000000000000100000000000001000001000001001000000000000000000000100000111000000111000000000000001010000000010000111000000111000000111000000111000000000000010000100010000000111000000111000000111000000111000000000000010010000001001000111000000111000000111000000111000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111010010100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001001100011000000000000000000000000000000100011001000000000000000100000001100001100001000000000000000000000000000001000000000100000001100001001100011001000000000101011000000001000000000100000100100011001100001000100011001100011000000001000000000100000000101001001100011001100011000100011000000000000000000000000000100011000100011001100011000000000001001001000000000100010000100011000000000001100011001100001000000001100000000100000101100011000100011001100000000101001001000001100010000100011001000000001100011000100011000100011001000001000001100100010000000000000100010001100011001100011001000000000000000000000000000000001100011000000000000000000000001001000010001100010000000000001100011000000000000100011000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011000000000000000000000000000100011000100000001100011000000000001100011001100011000000001100011000100010001100011001100011001100011001100011000001001100010000100001000000000001100011001100011001100011000001001100011000100010001100011001100011001100011001100011000001001100010000100011000010100000000000001100011000101011000000001100011001000010000000000001100011001100011001100011000000001100011000100011000000000000000000001100011001100011000000001100011001100010001100011001100011000000000001100011000001001100011001100011000000000001100011000000000001100010001000000000000000000010000000000000000000001100010000000000000000010001001110001000000000000000000000000010100000010100000000000010000000110100000010100000010100000010100000010100000000000010000000000100000010100000010001000010100000010100000000000010000000100100000010100000010001000010100000010100000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011011000100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001001000011000000000000011000000001000000000011110001100000110000000001011011000100000000000001000000110001000000100000100110000011010000011000110000000001000000110001000000000000100001000011011110010000110000000001000000110001000011000101101001000011010001100000110000000001000000111001100000000011110000000110000000000000100000000001000000110001110010000001000110000011011000110000110000000001000000110001010000000011001001000011000100100000110000000001000000110001010000000001100110000011000100000000110000000001000000111001000010000011100000000111000000000000100000000001000000010000110001000100100000000110000000000000100000000001000000110001100001000000000001000111000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000110000000000000110100000000000100000000000000001000000110000110000000001100000000011000000110000000000000001000000110000110000000000100010000011000000000000000000000001000000110000100011010000100000000011000000000000000000000001000000110000010000000101100000000011000000110100000000010001000000110001010011010000100110000011000000000000000000000001000000110000110011000011100000000011001010000000000000000000000000110000000000000000100000000011000000000000000000000001000000110000110000000011000001000011000000000000000000000001000000110000100011001101100000000011000000100000000000000000000000100000000000000001100000000000000000000000000000000000000000110000000111110101100000000010000000000001111000000001000000110001001000100001110010000110010001000001001000000001000000110001001011110001110010000011100000000001111000000001000000110001001000100001110010000001100000000101001000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000101100100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000100000000000001000000000000000000001001000000100000000000000000100010000000000000000000000110000001000000000001000000010101000000001010000000000000000110000001000000000000000000010111100001001010000000000000000110000001100100001000100000010100000000001010000000000000000100000001000000010000000000010000000000000010000000000000000110010101100000001001000000010101100001001010000000000000000110000011000000000000000000010100000000001010000000000000000110000011000000001011000000010100000000001010000000000000000100000001100000000010000000010100000000000010000000000001000100001000000000000000000000010000000000000010000000000000001010000001000000000000000000010100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000000010000000000001010000000010100000001000000000000000000000000010000000000000010000000010100000000000000000000000000000000000000000010000010000000010100000000000000000000000000000000000010000000000100000000010100000001100000000000000000000000001001000010000011000000001100000000000000000000000000000000001100100000000110000000010100000000000000000000000000000000000000000000000010000000010100000000000000000000000000000000001000000000000000000000010100000000000000000000000000000000000000000100000100000000010100000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000010000100000000001000000000010000000000000000000000000000000000010100000000010000000000010000000000000000000000000000000011000100000000101100000000010000000000000000000000000000000000010100000000100000001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000101110110001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000000000000001000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000100000000000000000100000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000100010100000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000100000000000000000000100000000000000000000000000000000000000100000001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000100000000000000000000001100100000000000000000000000000000000100000000000000000000001100100000000000001000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000100000010110100000000001100000000000000000000000000000000000000000000000000000000001100000000000000100000000000010000000000000000000000000000001100000000000000000000000000000000000000000000000000000000001100000000000001000000000000000000000000000000000000000000001100100000000000000000000000000000000100000000000000000000000100000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000010100000000000000000001100000000000000001000000001000000000000100000000000000000001110001000000000001000000000000000000010100000000000000000001100000000000000001000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000101110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010000000000000000000100001000000000010000000000000000000000010000000000000000100000100000000000000000000011000000000000010000000000000000000000100000001000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000100000000001010000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000010000000000000000000000000010000000000010000000000000000000010000000000000000000000000000000100000000100000000000000000010000000000000000000000000010000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000100001000000000000000000000000100000000000000000000000000000000001000000000000000010000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000001000000001000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000001000000010001000100000100000000000000000000000000000000000001000000000000000100000100000000000000000000001000000000000001000000010001000100000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110100110011110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010000110001011000000001100000001110000000001100000000111000000001101001111001010001101100000100001010100000000001111000000000110000000001110001100000000000001110000000110000000000111001000000000001110101000110000000000100000000010000000000000000110000000001111011100000000000001100000000110000000000000010110000000000000000110010000000001110000000000000000000000001110000000001110101100000000000001110000000010000000000000010010000000001110011000000000000001110000000000000000000000000000000000000100011000000000000001110000000110000000000000000000000000001110001000000000000000000000000110000000000000000000000000001110011000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110001000000000000000000000000000000000000000000110000000000000100100000000000001110000000110000000000000000110000000001010011100000000000001010000000110000000000000000000000000001110010000000000000101110000000110000000000000010110000000001110101100000000000101110000000110000000000000000110101110000000111000000000000001110000000010000000000000000000000000001110011000000000000011100000010010000000000000000000000000000000000001101000000001110000000010000000000000000110000000001110101100000000000011000000000100000000000000000000000000001110001000000000000000000000000110000000000000000000000000000000000000000000000000100000000010000000011011000000000010000000001000000000110001100000011011000000011010011111000000111100001100000001111001100000000110000000011010000110100000101100001100000001111001100000000110000000011011111111000000111100001100000001111001100001010110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110100111111111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000100000000001000000100000000000000000100000000000000000001000000000000000000000100000000000001010000000010000000100000000000010000000001010000000000000000001000000010000000001010000000000100000001000000000000000000010000000010001000000000000000010000000001000000000000000000010000000000000000000000000000010000000000000000000000000001001000000010000000000000000000010000000001000000000000000000000000000010001000000000000000010000000000000000000000000000000000000010001000000000000000010000000001010000000000000000000000000010000000000000000000000000000001010000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001000000000000000000000000000000000000000001010000000000000000100000000000010000000001010000000000000001010000000000001011000000000000000000000001010000000000000000000000000010000100000000000000000100000001010000000000000000010000000010000010000000000000000000000001010000000000000000100000100000001001000000000000010000000000000000000000000000000000000010000000010000000000011000000000000000000000000000000000000000000000000010000000010000000001000000000000000001010000000010000000000000000000001000000000010000000000000000000000000010000000000000000000000000000001010000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000100100000000000000000001101000000000000000010001000000010000100100000000000000000000000000100000000000000001000000010000100100000000000000000000101100000000000000010001000000010000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110101101010000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000001000000000000100000000010000000000000000000000000000000000001000000001000000000010000000000000000000001000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000100000000000000000100000000000010000000000000000000000000000000001000000000001000000000000000000000000000000000000000000000000000000001000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000100000010000000000000001000000000000000001010000000000110010000000000100000000000000010000000000010100000000000000110010000000000100000000000000001000000000000001010000000000110010000000000100000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111100101001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000010000001000000000010000000000001000000100010000000001000001010000001000001000000000000000000010000001010000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000000010000000000000000000000000000100000000000001000000100000000000000000000000000000000000001000000000000000100000000000000000000000000000000000000000000100000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000010000000000001000000000000000000000000000000010000000000000000000000000000000000000100000000000000000000000000000000000000000000000010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000100000000000000000000000000000000100000000000000100000000000000000001000000000010000000000001010000000000000001000000000100000000000000000010000000000001010000000000000001000000000000000001000000000010000000000001010000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001111100010001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000100000100000010000000101100100001111001110110100000001100000010000110000000001111001000100000000001000000000100001111000110000110000000011100001100000000000011000000000000000000000110000110000000001000011100000000000001000000000000000011000110000100000000000000000100000000000000000000000010000000000000000010000000001100010001000000000011000000001111100000000110000110000000000100111000100100000010000000000010000000000010000110000000000100001001000000000000000000000110000000000110000110000000001110001100010000000000000000000110000000000010001101100000000000000100000000000000000000000000000000000110000110000000001110001000000000000100100000000000000000000000000100000000000000000001101000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000110000000001111101100000000000000000000000110000000000110000010000000001111011100000000000000000000000110000000000010000110000000000100001100010000000000000000001111000000000110000110000000001110101100000000000000000000001111000000010010001011001111001110001000000000000000000101100100001110000110010110000000110100001000000000000000000000000100000000010010000110000000001110111100000000000000000000010110000000000010001110000000000000000100000000000000100000010010000000010110000010000000100100100100000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000011110000000111100011100000000110000000000000110100000011110001110010110111100011100000001111000000001100110100110011110011110001110111100011100000001111000100011100100100110011110011110010110111100011100000001111000000011110110100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110110110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000010001001000000000010000000000001000001000000000010000000000000000000000000000000000100000001000001000000000011000001000000000000101000000000000000000001010001000000000000001000000000000000100000000000000010001001000000000000000000000000000000000000000000000001000000000000000001000000000010000100000001000000101000000001000000000001000001010000000010000000010000000000000010000001000000000001000001010000000010000000000001000000000000000001010000000001010001010000000010000000000100000000000000000001010000000001000000000000000000000000000000000000000000000000000000000001000101010000000010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000001010000000010000000100000000000000000000001010000000001010001000000000010000000110000000000000000000000010000000001000001000000000010000000000100000000000000000001000000000001010000000000000010000010000000000000000000000001000000000000000001000100010010000000000000000000000000000000000000000001010000010000000001000000000000000000000000000000010000000000000001010000000010000001010000000000000000000000010000000001000000001000000000000010000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000001000000000010000010000000000000000000000100000000000001000001000000000010000010000001000000000010000000000000000001000001000101000010000010000001000010000100000000000000000001000001000000000010000010000001000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001001111010101100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000001000000001000000000100000000100000000000100000000010000000000000000000010000000000100000000000000101000010000000000100000100000000000000000000000000000000000000000000000000000100000000000010100000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000100000000000000000001100000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000001100000000000000100000000000000000000000000000000001000000000000010000000000000000000000000000011000000100011000000001000000000010000000100000000000000000000000000000000001001000000000000000000100000000000000000000000000100000000000000000001000000000000000000000000000000000000001000000000000100000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000010000000000000010000000000000000000000000001000100000110000000110000000000000010000000000100000010000000101100101100010000110000000000000010000000000000000000001000101100101110000000110000000000000010000000000001000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110010111010010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000001000101000000000000001000000000000000000000000000000000000000000000000000000001010000000000000000000000000001000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000001000000000000000000000000000000000000010000000000000000000001000000000000010000000010000000000010000000000000000000000100000100000000000000100000000000100000000000000000000000000000000000000000000000000000000000000001000000000000000000000000100000000000000001000000000000000000000000000000001000000000100000000000100000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000100000000100000000000000000000000000100000100000000000011000100000001010000000000000100010000000000000000000000000011000100000001010000000001100000000001000000000000000000000011000100000001010000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011110000000100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110001000000000000000000000000000000000000000000000001110001010111100000001111001100011110110000111000000000110000000011000011001100000000001110000000000001100000000000110000000001010101000100000000001100000000100000000000000001101001111001110101101000000000001100000000010000000000000001101000000111100100100110000000010100000000000000000000000010010000000001101001100000000000001110000000000000000000000000010000000101110101100100000000000100000000000000000000000000110000000001110111100000000000000000000000000000000000000000110000000011101101100110000000110100000000000000000000000000000000000001000000000110000000000000000000000000000000000000110000000000100001000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000110000000101111111100100000000001110000000000000000000000000110000000001110101100110000000000100000000000000000000000000110000000101110101110110000000000000000000010000000000000000100000000001110011100110000000001110000000010000000000000010110000100001110001100000000011001100000000000000000000000000110000000101110011100000000000000000000000000000000000000000110000000001100101000110000000000100000001101000000000000000100000000001110001000110000000000100000000000000000000000000000000000001110001000110000000000000000001101100000000000000000000000000000100100000000000000000000000000000000000000011110000010111100111101111010010000000000000100100000000000011110001111111100011111110001111111110000000000000000000000011110001111111100111111111001111001100000000100100000000000011110001111011100011110110001111111110000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000100111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001000000000000000000000000000000000000000000000000000000001000000000100100110000101000000010001000000001010000000000010101000001000000010000000000000000100000000001010000000000000000000010000000110000000000000000000000000000000001001000000001000001000000110000000000000000000000000000000000000000010000000010000000010010000000000000000000000000000000000010000000000000000000010000000000000000000000000001000000000000000001000010000000010000000000000000000000000001010000000000001010000000000000000000000000000000000000000001010000000011000000001010000000000010000000000000000000000000000000000000000000001010000000000000000000000000000000000001010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000110000000000000000010010000000010000000000000000000000000000110000000010000000101010000000010000000000000000000000000001010000000000100000000010000000000000000000000000000000000000010000000010001001101010000000010000000000000000000000000000010000000010000000000000100000100100000000000000000000000001010000000000000001010000000000000000000000000000000000000001010000000010000000001010000000000000000000000000000000000000000000000010000000001010000000010000000000000000000000000000000000000010000000001010000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000001000001000010000010000000000000000000000000000000000000000001010000000010000110101010000010010000000000000000000000000001010000000010000011010100000100100000000000000000000000000001010000000110101100000001101010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001001000100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000010000000000001000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000100000000000000000000000000000000000000000000001000000000110000000000000000000000000000000000000000000000000000010000001000000000000000000000000000000000000000001000000000001000010000000000000000000000000000000000000000000000000000010000110000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000010000001000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000010000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000100000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000101100000000110100000000000000000000000000000000000000000000101100100000110000000100000101000100000000000000000000000000101100100000110100001000001000000000000000000000000000000000101100100000000000000100000010000100000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011001011010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000001000100000000010000000001001010000001000000000000000000010000000001000000000000000000000000000000000000000000000000000000000010000000000000000000001000000000000000000001001000001000000000000000000000000000000100000000000000001000000000011000001000100000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000010100000000000000100000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000100001000000000001000000010000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000010000000000000000000000100000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100101100000000000000000000000000000000000000000001010000010000101000100000000000000000000000000000000000000001010000010100100000010001000000000000000000000000000000000001010000010000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101011110100010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111101111110000000000010000111111111111111100001000001000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000000010011001111100000000000000000000000011111111000000000000001000000000111100000000000000000000000000000000000000001000001000000000000000000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000001000001000000000111100000000100000000000000000000000000000000000000000000000111100000000000000010000000011111111000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000100000000000000000000000000000000000001000000000000000000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000001001011111111100000001000000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000000000000000000000010000000011111111000000001000000000000000111100000000100000010000000000001111000000001000001000000000111100000000100000010000000000001111000000001000000000000000000000000000000000000000000000000000000000001000000000000000111111110000100000000001001111111111110010000000000011111111111111001000000000000001001111111111110010000000001011111111111111001000000000000001001111111111110010000000000011111111111111001000000000000001001111111010110110000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001010110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000011111101101111110000100000010000010111111111111000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000010011001111100000000100000010000000011111111000000001000000000000000111100000000100000010000000000000000000000001000000000000000000000000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000000000000000000000000000000000000111100000000100000010000000011111111000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000100000000000000000000000000000000000000000000000000000000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000001010000101010100100100000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000000000000000000000010000000011111111000000001000000000000000111100000000100000010000000000001111000000001000000000000000111100000000100000010000000000001111000000001000000000000000000000000000000000000000000000000000000000001000000000000000111110110000100000010001001111111111110010001000000011011111111111001000100000010001001111111111110010001000000001111111111111001000100000010001001111111111110010001000000001111111111111001000100000010001001111111010110110001000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110011110110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001010101111111100000001000000001000111111111010101000010000000000000000111100000001000000001000000000000110011000010000000000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000001000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000000001111000000010000000000000000111100000001000000001000000011111111000000010000001000000000000000000001000000001000000000001111000000010000001000000000000000000001000000001000000011111111000000010000000000000000000000000001000000001000000011110000000000000000001000000000000000000001000000001000000000000000000000010000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000001000000000111100000001000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000000000000000000000000001000000001000000011111111000000010000001000000000111100000001000000001000000011111111000000010000001000001111111000100001100000001000000000001111000000010000001000000000000000000001000000001000000000001111000000010000000000000000000000000000000000001000000011111111000000010000001000000000111100000001000000001000000011110000000000010000001000000000000000000001000000001000000011110000000000010000000000000000000000000000000000000000000000001111000000010000000000000000000000000001000000001001001111111111110010010000001010111111111111001001000000001001001111111111110010010000000001111111111111001001000000001001001111111111110010010000000001111111111111001001000000001001001111111111110010010000000000000000111111111001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000111010110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111100000000000000000000111110011111111100000000000000000000111100000000000000000000000000000000000000000000000000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000001000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000000001111000000000000000000000000111100000000000000000000000011111111000000000000000000000000000000000000000000000000000000001111000000000000001000000000000000000000000000000000000011111111000000000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000111100000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000000000000000000000000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000001001010101111000100000000000000000000000001111000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000011111111000000000000000000000000111100000000000000000000000011110000000000000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000001001111111111110010000000000011111111111111001000000000000001001111111111110010000000000011111111111111001000000000000001001111111111110010000000000011111111111111001000000000000001001111111111110010000000000000000000111111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001110110110001110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000110000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110011001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000100000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001000000000000010000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000010001011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100100100010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000001000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111101111110110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011000010110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010001010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101001101101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000001001000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000001100000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110101100001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111011001110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111000100011100111111111111111111111111111111111111111111111111 +111100000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110111110100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000001101100000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000010010000010000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000010010000000000000000000000000000010010010000000000000100000010000000000000000000000010000000000000000000000000000000000010000000000000000000000000000000000000000010000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011110100100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000100100111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000001000000000000000000000000000000001000000100000000000000000000100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000010000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101110110111111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000001000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110110111000011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010101111111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000110101011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010110010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001001000110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101001111110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000100000000000000000000000000100000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011100011000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011011010010110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101001001101111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000001101100011000000000011001000000000000000000000000000000000000000000000000000000001000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000100000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000011110111011110111100000000000000000000000100001100001001101100000000000000000000000100000000110000000100000000000000000000000000001000000000000000000000000000100101000000110001111000000000000000010001110001001100001001100011000000110001111011110110111100111110000000001100011001100011001100011001011111100111111110111100110011000000000001100011001100011001010011110111011110111100110011000000000001100011001100011000110000000000000000110011111011000000000000100011000000000000011011110001111110111100110011000000000001100011001100011000110100000010010000000001100011001100011000000000000000000001100100000000000000000000000000000000001100000000000000000000000000000000000000001001100011000000000001100011000110000000110011110001111110111100000000000000000011100011001100011000110111110111011110111100000000000000000000000000001100011000010011110110011110111100000000000000000000000000001100011001001100000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001011000100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000001000000100000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000010000101000000101000000101000000000000000000000000000000000010000001000000101000000100000000000000000000000000000000000000000001000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000010000101000000000001001001000000101001000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000010000000000000101000000101000000100000000000000000001100100000000000000000101000001001000001100000000000000000000000000010100101000000001000000001000000100000000000000000000000000000000100000001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001000101101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000010000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000110000000100000000000000000000000010000000000000100100000000000000000000000000100000001000000010000000000000000000000000000000000000000000000000000000000010000000010001100000000000000000000001010000000000000000000000000000010001100010000000100001010000000000000000000000000000000000000001001100000000110000000100111000000000000000000000000000000001001010000100110000000100111000000000000000000000000000000001000000000000000000010000111000000000000000000000000000000000000010000000010000100100111000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000010100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000010000000010000000100000000000000000000000000000000000001000110000001010000101000000000000000000000000000000000000000000010000000110000000100000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111011010101101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011000000000000001000000000000000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100011001100011000000000000000000000000000001100001000001100000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000100001000000000000001000000000000000010001000001001100001001100011000000010000001101100010001100001000000000001100011001100011001100011000000001100011001100011000000100000000000001100011001100011000000001100010001100011000000100000000000001100011001100011000001000000000000000010000010100000000000000000000000000000000000001100001001100010000000100000000000001100011001100011000001000000000100000000001100011001100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001100011000000000001100011000000000000001101100001001100011000000000000000000000100010001100011000001110001001010001000000000000000000000000000000000000000001000001100010001100011000000000000000000000000000001100010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100011010111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000011010001100000000000000000010000000000000001000000110000010000000001100000000000000000010000000000000001000000110000010000000000110000000011000000001000000000000001000000110001110011010011101101010001000000011000000010010001000000110011110000000111110010001001000000001000000000100101000000100001110101100011110001000000000110000000010010010001000000110001110100100001100100100011000000011000000000000001000000010000110000100001000001000110000000111000000000000000000000000000000000000000000000000000000000000000000000000001000000110000100000000011100000000000000000010000000000000000000000110000000000000011101001000011000000000000000000000000000000110000000011001001000000000111100000000001111000000000000000110000000011010011000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010100110011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000110000000000000000000000000000000000000000000000010000000001010000000000000000001000000000000000000000000000010000000010000000000010100000000000000000000000000000000000011000010000110000000000100000001000000010010000000000000000011000000100000000000000000000100000000001000100000000000000111000000100100000000000010000000001000000010000000000000010001000000001010000000010100000100000000000000000000000000001000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000001000000000000000000000000000000000000000110000000010100000000000000000000000000000000000000000100000000000001000000000000001100000000000000000000000000000100000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010011101100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000100000000001101100000000001100100000000001000100000000000000100000000010110100000000001100000000000001000000000000000000000000000010101100000000000100000010001001000000000000010000000000000001110100000000001100000010000000000000000000000000000000000000000000000000001000100000000000000000000010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000001000000000000000000000000000000000000000000001100000000000001000010000000000000000000000000000000000000001100000000000000000000000010100000000010001000000000000000001100000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110101011000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000100000000000000000000000000000000000000001000000000000000000000000010000000000000000000000000000000001000000000000000001000000100000000000000000000000000000000001000000000000000100000101000000000000000000000000000100000001000000000100001000000000000000000000000010000000000000000001000000000000000100000000001010000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000001000000000010000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101011000000100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000100100000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000101110000000110000000000000000110000000001110110000000000000101110000000010000000000000010010000000101110001000000000000101110000000110000000011000000110101101000100011000010000000001110000001111100000000011000110100010000000111100000000000001110000000110000000000000011110011111000000111100000010011111110011100000000100011000001111001111011000111100000000000011110000000000000000000000000110000000011100101101101000000011000000000110000000000000001100100000011000000000000000000011000000000000000000000000000010000000000000100100000000000001110000001001000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000111100100000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100001111000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000001010000000000000001010000000010001000000000000000000000000001000000000000000000100000000000000000000000000000010000000001010000000000100000100010000000100100000000000000010000000001000000000000000100100000000000000100000000000000000000000001010000000000000001011001000000000100000000001000010011010000000000000000000000100001000001000001010000000000010010000000000000000000000001010000000011000000000000000000001000000001010000000000000000001000000000010000000000000000001000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111101101110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000001000000000000010000000000000000000000000000100000000000100000100000000000000100000000000000000000000000000000100000000000000010000000000000000000000000000000000100000000001000000100100000000000000000000000000000000000000000100000100000000001000000000000000100000000000000000001010000000010011000000110000000000000000000000000000000000000000000000000000000000011000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110111100011101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000100000000000010000000000000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000001000000110000000000000000000010000000000001000000001000000000010000000000000000000000000000000000000000000000000000000000000010010000000000000000010000000000000000000000000000000000010000000000000000000000010000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001100100110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000100011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000001110101100000000000000000000000110000000000110000000000000001111011100000000000000000000000010000000000110000110000000001110111100000000000000000000000010000000000000000110001011001111111100000000000000000001000110011011010010010100001110001110111110010000000000000110100110000100000000000000001111000001111101000001011010000011111111001111000000000110001110001110011000010000000000100001000110001001000110000000000000000100001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110001000000000000000000000000110000000000000000110000000001110011000000000000001000000000110000000000000011110000000111100011100000000000000000000000000000000000000000110000000000000000100000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011010101010100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000010000000100000000000000000000001010000000001010000000000000010001000100000000000000000000001000000000001010001010000000010000001110000000000000000000001000000000000000001010100000010001010000000000000000000000001010001000000000000010000010010001000000000000000000000100001010000000000000000000010100000000110000001100000000010100000000010100000000001010000000010000100000000000000000000000001010010000001010000000000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000001010000000000000001010000000010000100000000000000000000000001010000000000000000001000000000010000010000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001101110111110001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000010001001000100001001000001011001010000000000000000000000000000000000000000001000000000000000000000100000001000011000001001000000000000000100000000000000000000000000000000000000001000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000101100000000110010000000000000000000000000000000000000000000000100000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001111111101001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000010000000000000000000100000000000000000000000000000000000000000000000000000000001010000100000000000000000000010000000000010000010000000000001000010000000000000000000000101000000000100010000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110011001111101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000000000000000000000000000000000000000000000000000000011010000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000001111001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000100000000000000000100100000000000000000000000000000000000000100000000000000100000100000000001110000000000000000000000000110000000001110101100110000000000000000000000000000000010011110001100101110011100110001110001100000000000000000000000001110001000001110001100110011110001100000000000000000000011000100001100011000101100000000011111100011000000000100000000001111000000001110111101100110111001100000001101100000000000001110000000011000000000110000000001110000001101000000000000000000000000001000000001101100000000000000000000000000000000000110000000000000000100000000000001110000000100000000000000000110000000001110001100000000000000000000000000000000000000011110000000111100011000100000000000000100100000000000000000000000000000001110001000110000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101001100010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000000000000000000000000000000000000010000000000000000000010000000010000000000000000000000000001010000000010000000001010000000000000000000000000000000010001011000010000100100000010000010100100000000000000000000000000011000000010000000001010000000100100000000000000000000000000000000000001000000000000100000001000100000000000100000000001000000000010000100000001001010000100000000000000000000000001011000000000010000001010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000010000000000000000000000000001010000000010000001000000000000000000000000000000000000000000001000000000010000010010000000000000000000000000000000000000000000000010000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100111000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000011100000000000000000000000000000000000001100100000010000101000000010000011000000000000000000000000001100000000100000000000000000000100000000000000000000000000000000000000010000000001000000000000010010000000000000000000001100000100000000000001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000000110000000000000000000000001000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110011001100001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000100000000000001000100000100000000000000000000000000000010101000100000010000011000000010000000010010000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000010000000000000000000000000000001000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000001000000000000000000000000001000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000010000100000000000000000001000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111110100110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011111111000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011110000000000001000000000000000111100000000100000010000000011110000000000001000000000000000111100000000100000010000000011111111000000001000001001011111111110100001000000010000000011111111000000001000000000111111101011110001000000010000000011111111000000001000000001011111101010100001000000010000001111111101100000010000000001011111111101010001000000010000000011111111000000001000001000000000111100000000100000010000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010000000011110000000000001000000000000000000000000000000000010000000011111111000000001000001000000000000000000000000000000000111100000000111100100000000000000000000000000000000000010000000000001111000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010111110100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011111111000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011110000000000001000000000000000111100000000100000010000000011110000000000001000000000000000111100000000100000010000000011111111000000001000000001010000010100000100100000010000000011111111000000001000000000001100101000000100100000010000000011111111000000001000000000001010111100000100100000010000000011001101100001001000000001010000101000000100100000010000000011111111000000001000000000000000111100000000100000010000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010000000011110000000000001000000000000000000000000000000000010000000011111111000000001000000000000000000000000000000000010000111100000000111100001000000000000000000000000000000000010000000000001111000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011111011100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000011111111000000010000000000000000111100000001000000001000000011111111000000010000001000000000000000000001000000001000000011111111000000010000001001000111010001000001100000001000000011111111000000010000001000000101110000000001100000001000000011111111000000010000000001010000110110000001100000001000001101011010000000011000001000110101101000000001100000001000000000001111000000010000000000000000111100000001000000001000000011110000000000000000001000000000000000000000000000000000000000000000000000000000000000000000111100000001000000001000000000001111000000010000001000000000000000000000000000001000000000001111000000010000001000000000000000000000000000001000000011110000000000010000000000000000000000000000000000001000000011110000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100101101101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111000000000000000000000000111100000000000000000000000011111111000000000000000000000000000000000000000000000000000011111111000000000000001001000111111011100000000000000000000011111111000000000000000011110101111100110000000000000000000011111111000000000000000001011111110110000000000000000000001101011010111100000000000000110101111101010000000000000000000000001111000000000000000000000000111100000000000000000000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000000001111000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001100100011010100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110111111110111100000000000000000000000000001100011000000011110111011110010001100011001100011001100011001100011000000000000000000000001100000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000011110111001110111100000110000000000001100011001100001001101000000000000000000000000000000000000000000001100110000001000011100111101110111100000000000000000000000000001100001001101011100111111110001101100011001100011001100011001100011001101011110111100110111100000000000000000000000000001100011000001011110111111110111111111011000000000001100011001100011000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111001111011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000101000000100000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000100000001000000000000000000000000000000001000000000000000000000000000000000000000000010010000000000000001000000100000000100000000000000000000000000000000000000001011000000101000000100000000000000000000000000000000000000001001000000100000000100000000000000000000000000000000000000001001000000101001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100101000000100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000110000000100000000000000000000000000000000000000000010000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000010010000110000000100000001000000000000000000000000000000100000000000000000000000000000000000000000000100000100000000001000000000110000000100000000000000000000000000000000000000100000000000110000000000000000000000000000000000000000000000100010000000110000000100000000000000000000000000000000000000000010000000110000000100111000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000001001111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011001100011000000000000000000000000000001100011000000001100011001100000101100011001100011001100011001100011000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011001100011000000000000000000001100011001100001000001000000000000000000000000000000000000000000000000000000000000001100011001100011000000000000000000000000000001100001000001001000011001100001001100011001100011001100011001100011000001001100011000100011000000000000000000000000000001100011000000001100011001100110000010100000000000001100011001100011000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111101001111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000011000000000000010000000001000000110001000000100000100001000011000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000001101001000011000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000110000000000000001000000000011000000000000000000000001000000110000110001100001100001000011000000100000000000000000000000100000000000000000000000000011000000000000000010010001000000110011110000000000101001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101111111101101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000010100000000001000000000000000000110000001000000000010000000010100000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010100000000000000000000000000000000010000000000000110000000010100000000000000000000000000000000000000000000000000000000010100000000000000010000000000000000000011000000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011011011100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000010000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000001100100100000000000000000000000000000100000000000000000000000100000000000000000000000000000000000000000010110100000000001100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111011110100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110001011000101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000001110001100000000000001110000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000100100000000110000000000000000110000000001110101110010000000001110000000110000000000000010010000000000000000010010000000100100000000100000000011011000100101110000000100100000000000001110000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101001000011111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000010000000000000000000010000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001010000000000000001010000000010000010000100000000010000000001010000000000000000100000000000000000000000000000000000000000000000000000000100000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000011110000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000000100000100000000000000000000000000000000000000100000000000000000001000000000010000000000000100000010010000000000011000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110010011010000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000100000000001000000000000000000000010000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100010110110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000110000110000000001111001100000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000001110101100000000000000000000000110000000000000000100000000001110011000000000000000000000000000000000000000000110000000001110101100000000000000000000000000000000000110000110000000000100001100000000000000000000000110000000000000000110000000000000000001000000000000000000000000000000000000001111001100001110001000000000000000000011100110000010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001100101100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000001010001010000000010000010000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000010000000000000000000000000000001010000000000000000000000000010001000000000000000000000000000000000000000000001010000000010000000000000000000000000000000000000000001010001010000000010000010000000000000000000000001010000000000000001010000000000000000000001000000000000000000000000000000000001010000100010000001000000000000000000011011010000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000101000000000010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000100000000001000000000000000000000000000000000000000000000000000000000000011100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001010101010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001001011011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000000000000000000000000000000000000000110000000001110001100110000000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000101110001100110000000000000000000000000000000000000100000000011000000000000000000000000000000000000000000000000110000000001110001000110000000000000000000000000000000000010110000000001110001100110000000001110000000010000000000000000000000000101011011000000000000000000000000000000000000010000110000011001110101000000011110001100000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000011000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001011110000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000001010000000010000000101010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000100010001010000000000000000000000000000000000000010000000100010000000000000000000000000000000000000000000001010000000010000000001010000000000000000000000000000000000000010000000010000000001010000000010000000000000000000000000000000000000000001000000000000000000000000000000000000000000001010100000010000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101100001011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000001000000000000000000000000000000000000000000001000000000000000000000000010000000000000000000000000000000000000000000010100000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000000000110000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010110100011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000111100000000000000000000000011111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000010000000011111111000000001000000000000000000000000000000000010000000011110000000000000000000000000000000000000000000000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000001000000000000000000000000000000000000000001111000000001000000011011101101110110001000000010000000011111111000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000110110111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000001000000000000000111100000000100000010000000011111111000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011111111000000001000000000000000000000000000000000010000000011110000000000000000000000000000000000000000000000010000000011111111000000001000000000000000111100000000100000010000000011111111000000001000000000000000000000000000000000000000000000001111000000001000000000010001100010000100100000010000000011111111000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111111010011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000111100000001000000001000000011111111000000010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000001000000011111111000000010000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000011110000000000010000000000000000111100000001000000001000000011111111000000010000001000000000000000000000000000000000000000000000000000010000001000001100101010100001100000001000000000001111000000010000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000001111111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000011111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000011111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110000000000000000000000000000111100000000000000000000000011111111000000000000001000000000000000000000000000000000000000000000000000000000000000111111111100000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001111010010010000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000011000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101010111110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000010000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000110111111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000100011000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100110000001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011100100011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111001001111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100101111011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000111001000001010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000101010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000110101010111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000011001000000000000000000000000000000000000110000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011110010111110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010111001100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000110100011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000011011100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001100100010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010011010111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000110101010111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000110001000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111110000000000000000000000000000000100100000000000011000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101110110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111010010101111111111111111111111111111111111111111111111111 +111110000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101111111100000111111111111111111111111111111111111111111111111 +111100010000000000000000000000000000100000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111000101111111111111111111111111111111111111111111111111 +111110000000010010000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001101000101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001100000101111001111111111111111111111111111111111111111111111111 +111100000000000101000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000110111011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000100100000000000000000000001100000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101110100011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010011010001101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001111010010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000100000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111010010101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110001111100001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000110101010111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111111111101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110110110001101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000110101000011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000110101010111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110111001101101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110111001101101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000110101000011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000110101010111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110111011110011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001111011111100000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111011000111000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000110101010111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010001000010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011010010010010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111010111010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111010010001010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000101100110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101010011001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011111010011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111101000111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100100000100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100100011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100100011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010110010101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010011100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010010010100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100001001110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100010110100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000100000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100011000111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010011011001100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110100101001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000001010000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011110000000000000000001001000000011001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000110000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000100100011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001001000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010101110111000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000100000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100100011110100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000110001110000100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000010010000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000011000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111001110110111111111111111111111111111111111111111111111111 +111100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000010011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110001111011111111111111111111111111111111111111111111111111 +111100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001010010001001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000000000000000000000000000000000010001110101010111111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000010000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000001011001111011110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000110101000011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000010000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000001101111001011010111111111111111111111111111111111111111111111111 +111100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000100000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000100000000000000000000000000010011000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000001100000000101000000001110000001101111111111111111111111111111111111111111111111111 +111100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000001000000000000001110011111011001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000100000000000000010001110010110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000001110001100000010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000100010100000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101111001101111111111111111111111111111111111111111111111111 +111100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110001111100001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000101000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000001000000000000000000000000000000000000100000000000000000010000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000100101111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101110101011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110000010100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000001001000100000001000000001000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000010001000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000001000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000010001011000100000001000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101001100100000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100100000101010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100100000101010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100011100101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000011100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000011100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101110011101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110011010001110011 +0000101000000000000000000000000000000000000000000001110000110000 +1111111111111111111111111111111111111111111111111111111111111111 +00001000000000000000000000000000 +1111111111111111111111111111111111111111111111111111111111111111 +1111111111111111 diff --git a/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.log b/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.log new file mode 100644 index 0000000..263d427 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.log @@ -0,0 +1,39 @@ +Reading netlist file: "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\impl\gwsynthesis\fpga_hypernet_dir.vg" +Parsing netlist file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\impl\gwsynthesis\fpga_hypernet_dir.vg" completed +Processing netlist completed +Reading constraint file: "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\fpga_hypernet_dir.cst" +Physical Constraint parsed completed +Running placement...... +[10%] Placement Phase 0 completed +[20%] Placement Phase 1 completed +[30%] Placement Phase 2 completed +WARN (TA1132) : 'main_tx_1_inst/n9' was determined to be a clock but was not created. +WARN (TA1132) : 'clk5/clkdiv_inst/CLKOUT.default_gen_clk' was determined to be a clock but was not created. +WARN (TA1117) : Can't calculate clocks' relationship between: "main_tx_1_inst/n9" and "i2s_in_bclk" +WARN (TA1117) : Can't calculate clocks' relationship between: "main_tx_1_inst/n9" and "i2s_in_sclk" +WARN (TA1117) : Can't calculate clocks' relationship between: "i2s_in_lrclk" and "i2s_in_sclk" +WARN (TA1117) : Can't calculate clocks' relationship between: "dir_lrclk" and "dir_bclk" +WARN (TA1117) : Can't calculate clocks' relationship between: "i2s_out_lrclk" and "i2s_out_sclk" +WARN (TA1117) : Can't calculate clocks' relationship between: "i2s_out_lrclk" and "dir_sclk" +[50%] Placement Phase 3 completed +Running routing...... +[60%] Routing Phase 0 completed +[70%] Routing Phase 1 completed +[80%] Routing Phase 2 completed +WARN (PR1014) : Generic routing resource will be used to clock signal 'dir_bclk_d' by the specified constraint. And then it may lead to the excessive delay or skew +WARN (PR1014) : Generic routing resource will be used to clock signal 'dir_sclk_d' by the specified constraint. And then it may lead to the excessive delay or skew +[90%] Routing Phase 3 completed +Running timing analysis...... +[95%] Timing analysis completed +Placement and routing completed +Bitstream generation in progress...... +Bitstream generation completed +Running power analysis...... +[100%] Power analysis completed +Generate file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\impl\pnr\fpga_hypernet_dir.power.html" completed +Generate file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\impl\pnr\fpga_hypernet_dir.pin.html" completed +Generate file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\impl\pnr\fpga_hypernet_dir.rpt.html" completed +Generate file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\impl\pnr\fpga_hypernet_dir.rpt.txt" completed +Generate file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\impl\pnr\fpga_hypernet_dir.tr.html" completed +Mon Oct 6 00:37:00 2025 + diff --git a/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.pin.html b/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.pin.html new file mode 100644 index 0000000..393102b --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.pin.html @@ -0,0 +1,1829 @@ + + + +Pin Report + + + +
+
+ +
+
+

Pin Messages

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Report TitlePin Report
Design FileC:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\impl\gwsynthesis\fpga_hypernet_dir.vg
Physical Constraints FileC:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\fpga_hypernet_dir.cst
Timing Constraints FileC:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\fpga_hypernet_dir.sdc
Tool VersionV1.9.10.03 Education (64-bit)
Part NumberGW1NR-LV9QN88PC6/I5
DeviceGW1NR-9
Device VersionC
Created TimeMon Oct 6 00:37:00 2025 +
Legal AnnouncementCopyright (C)2014-2024 Gowin Semiconductor Corporation. All rights reserved.
+

Pin Details

+

Pinout by Port Name:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Port NameDiff PairLoc./BankConstraintDir.SiteIO TypeDrivePull ModePCI ClampHysteresisOpen DrainVrefSingle ResistorDiff ResistorBankVccio
sys_clk-52/1YinIOR17[A]LVCMOS33NAUPONNONENANAOFFNA3.3
sys_key_a-3/3YinIOT2[A]LVCMOS18NAUPONNONENANAOFFNA1.8
sys_key_b-4/3YinIOL5[A]LVCMOS18NAUPONNONENANAOFFNA1.8
audio_clk-36/2YinIOB29[B]LVCMOS33NAUPONNONENANANANA3.3
dir_sclk-38/2YinIOB31[B]LVCMOS33NAUPONNONENANANANA3.3
dir_bclk-37/2YinIOB31[A]LVCMOS33NAUPONNONENANANANA3.3
dir_lrclk-39/2YinIOB33[A]LVCMOS33NAUPONNONENANANANA3.3
dir_data-25/2YinIOB8[A]LVCMOS33NAUPONNONENANANANA3.3
dir_bframe-27/2YinIOB11[A]LVCMOS33NAUPONNONENANANANA3.3
dir_lock-26/2YinIOB8[B]LVCMOS33NAUPONNONENANANANA3.3
i2s_in_data_1-54/1YinIOR15[A]LVCMOS33NADOWNONNONENANAOFFNA3.3
i2s_in_data_2-55/1YinIOR14[B]LVCMOS33NADOWNONNONENANAOFFNA3.3
i2s_in_data_3-56/1YinIOR14[A]LVCMOS33NADOWNONNONENANAOFFNA3.3
i2s_in_data_4-57/1YinIOR13[A]LVCMOS33NADOWNONNONENANAOFFNA3.3
aes3_in_active_n-84/3YoutIOT10[A]LVCMOS188UPNANAOFFNAOFFNA1.8
i2s_out_sclk-35/2YoutIOB29[A]LVCMOS338UPNANAOFFNANANA3.3
i2s_out_bclk-41/2YoutIOB41[A]LVCMOS338UPNANAOFFNANANA3.3
i2s_out_lrclk-40/2YoutIOB33[B]LVCMOS338UPNANAOFFNANANA3.3
i2s_out_data_1-29/2YoutIOB13[A]LVCMOS338UPNANAOFFNANANA3.3
i2s_out_data_2-30/2YoutIOB13[B]LVCMOS338UPNANAOFFNANANA3.3
i2s_out_data_3-33/2YoutIOB23[A]LVCMOS338UPNANAOFFNANANA3.3
i2s_out_data_4-34/2YoutIOB23[B]LVCMOS338UPNANAOFFNANANA3.3
i2s_in_sclk-51/1YoutIOR17[B]LVCMOS338UPNANAOFFNAOFFNA3.3
i2s_in_bclk-42/2YoutIOB41[B]LVCMOS338UPNANAOFFNANANA3.3
i2s_in_lrclk-53/1YoutIOR15[B]LVCMOS338UPNANAOFFNAOFFNA3.3
aes3_out_active_n-85/3YoutIOT8[B]LVCMOS188UPNANAOFFNAOFFNA1.8
aes3_out-28/2YoutIOB11[B]LVCMOS338UPNANAOFFNANANA3.3
debug1-49/1YoutIOR24[A]LVCMOS338UPNANAOFFNAOFFNA3.3
debug2-48/1YoutIOR24[B]LVCMOS338UPNANAOFFNAOFFNA3.3
debug3-70/1YoutIOT41[B]LVCMOS338UPNANAOFFNAOFFNA3.3
debug4-71/1YoutIOT41[A]LVCMOS338UPNANAOFFNAOFFNA3.3
+
+

All Package Pins:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Loc./BankSignalDir.SiteIO TypeDrivePull ModePCI ClampHysteresisOpen DrainVrefSingle ResistorDiff ResistorBank Vccio
3/3sys_key_ainIOT2[A]LVCMOS18NAUPONNONENANAOFFNA1.8
88/3-inIOT5[A]LVCMOS18NAUPONNONENANANANA1.8
87/3-inIOT6[B]LVCMOS18NAUPONNONENANANANA1.8
86/3-inIOT8[A]LVCMOS18NAUPONNONENANANANA1.8
85/3aes3_out_active_noutIOT8[B]LVCMOS188UPNANAOFFNAOFFNA1.8
84/3aes3_in_active_noutIOT10[A]LVCMOS188UPNANAOFFNAOFFNA1.8
83/3-inIOT10[B]LVCMOS18NAUPONNONENANANANA1.8
82/3-inIOT11[A]LVCMOS18NAUPONNONENANANANA1.8
81/3-inIOT11[B]LVCMOS18NAUPONNONENANANANA1.8
80/3-inIOT12[A]LVCMOS18NAUPONNONENANANANA1.8
79/3-inIOT12[B]LVCMOS18NAUPONNONENANANANA1.8
77/1-inIOT37[A]LVCMOS18NAUPONNONENANANANA3.3
76/1-inIOT37[B]LVCMOS18NAUPONNONENANANANA3.3
75/1-inIOT38[A]LVCMOS18NAUPONNONENANANANA3.3
74/1-inIOT38[B]LVCMOS18NAUPONNONENANANANA3.3
73/1-inIOT39[A]LVCMOS18NAUPONNONENANANANA3.3
72/1-inIOT39[B]LVCMOS18NAUPONNONENANANANA3.3
71/1debug4outIOT41[A]LVCMOS338UPNANAOFFNAOFFNA3.3
70/1debug3outIOT41[B]LVCMOS338UPNANAOFFNAOFFNA3.3
69/1-inIOT42[A]LVCMOS18NAUPONNONENANANANA3.3
68/1-inIOT42[B]LVCMOS18NAUPONNONENANANANA3.3
17/2-inIOB2[A]LVCMOS18NAUPONNONENANANANA3.3
18/2-inIOB2[B]LVCMOS18NAUPONNONENANANANA3.3
19/2-inIOB4[A]LVCMOS18NAUPONNONENANANANA3.3
20/2-inIOB4[B]LVCMOS18NAUPONNONENANANANA3.3
25/2dir_datainIOB8[A]LVCMOS33NAUPONNONENANANANA3.3
26/2dir_lockinIOB8[B]LVCMOS33NAUPONNONENANANANA3.3
27/2dir_bframeinIOB11[A]LVCMOS33NAUPONNONENANANANA3.3
28/2aes3_outoutIOB11[B]LVCMOS338UPNANAOFFNANANA3.3
29/2i2s_out_data_1outIOB13[A]LVCMOS338UPNANAOFFNANANA3.3
30/2i2s_out_data_2outIOB13[B]LVCMOS338UPNANAOFFNANANA3.3
31/2-inIOB15[A]LVCMOS18NAUPONNONENANANANA3.3
32/2-inIOB15[B]LVCMOS18NAUPONNONENANANANA3.3
33/2i2s_out_data_3outIOB23[A]LVCMOS338UPNANAOFFNANANA3.3
34/2i2s_out_data_4outIOB23[B]LVCMOS338UPNANAOFFNANANA3.3
35/2i2s_out_sclkoutIOB29[A]LVCMOS338UPNANAOFFNANANA3.3
36/2audio_clkinIOB29[B]LVCMOS33NAUPONNONENANANANA3.3
37/2dir_bclkinIOB31[A]LVCMOS33NAUPONNONENANANANA3.3
38/2dir_sclkinIOB31[B]LVCMOS33NAUPONNONENANANANA3.3
39/2dir_lrclkinIOB33[A]LVCMOS33NAUPONNONENANANANA3.3
40/2i2s_out_lrclkoutIOB33[B]LVCMOS338UPNANAOFFNANANA3.3
41/2i2s_out_bclkoutIOB41[A]LVCMOS338UPNANAOFFNANANA3.3
42/2i2s_in_bclkoutIOB41[B]LVCMOS338UPNANAOFFNANANA3.3
47/2-inIOB43[B]LVCMOS18NAUPONNONENANANANA3.3
4/3sys_key_binIOL5[A]LVCMOS18NAUPONNONENANAOFFNA1.8
5/3-inIOL11[A]LVCMOS18NAUPONNONENANANANA1.8
6/3-inIOL11[B]LVCMOS18NAUPONNONENANANANA1.8
7/3-inIOL12[B]LVCMOS18NAUPONNONENANANANA1.8
8/3-outIOL13[A]LVCMOS188UPNANAOFFNANANA1.8
9/3-inIOL13[B]LVCMOS18NAUPONNONENANANANA1.8
10/3-inIOL15[A]LVCMOS18NAUPONNONENANANANA1.8
11/3-inIOL16[B]LVCMOS18NAUPONNONENANANANA1.8
13/3-inIOL21[B]LVCMOS18NAUPONNONENANANANA1.8
14/3-inIOL22[B]LVCMOS18NAUPONNONENANANANA1.8
15/3-inIOL25[B]LVCMOS18NAUPONNONENANANANA1.8
16/3-inIOL26[B]LVCMOS18NAUPONNONENANANANA1.8
63/1-inIOR5[A]LVCMOS18NAUPONNONENANANANA3.3
62/1-inIOR11[A]LVCMOS18NAUPONNONENANANANA3.3
61/1-inIOR11[B]LVCMOS18NAUPONNONENANANANA3.3
60/1-inIOR12[A]LVCMOS18NAUPONNONENANANANA3.3
59/1-inIOR12[B]LVCMOS18NAUPONNONENANANANA3.3
57/1i2s_in_data_4inIOR13[A]LVCMOS33NADOWNONNONENANAOFFNA3.3
56/1i2s_in_data_3inIOR14[A]LVCMOS33NADOWNONNONENANAOFFNA3.3
55/1i2s_in_data_2inIOR14[B]LVCMOS33NADOWNONNONENANAOFFNA3.3
54/1i2s_in_data_1inIOR15[A]LVCMOS33NADOWNONNONENANAOFFNA3.3
53/1i2s_in_lrclkoutIOR15[B]LVCMOS338UPNANAOFFNAOFFNA3.3
52/1sys_clkinIOR17[A]LVCMOS33NAUPONNONENANAOFFNA3.3
51/1i2s_in_sclkoutIOR17[B]LVCMOS338UPNANAOFFNAOFFNA3.3
50/1-inIOR22[B]LVCMOS18NAUPONNONENANANANA3.3
49/1debug1outIOR24[A]LVCMOS338UPNANAOFFNAOFFNA3.3
48/1debug2outIOR24[B]LVCMOS338UPNANAOFFNAOFFNA3.3
+
+
+
+ + diff --git a/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.power.html b/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.power.html new file mode 100644 index 0000000..bba1bc9 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.power.html @@ -0,0 +1,420 @@ + + + +Power Analysis Report + + + +
+
+ +
+
+

Power Messages

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Report TitlePower Analysis Report
Design FileC:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\impl\gwsynthesis\fpga_hypernet_dir.vg
Physical Constraints FileC:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\fpga_hypernet_dir.cst
Timing Constraints FileC:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\fpga_hypernet_dir.sdc
Tool VersionV1.9.10.03 Education (64-bit)
Part NumberGW1NR-LV9QN88PC6/I5
DeviceGW1NR-9
Device VersionC
Created TimeMon Oct 6 00:37:00 2025 +
Legal AnnouncementCopyright (C)2014-2024 Gowin Semiconductor Corporation. All rights reserved.
+

Configure Information:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
GradeCommercial
ProcessTypical
Ambient Temperature25.000 +
Use Custom Theta JAfalse
Heat SinkNone
Air FlowLFM_0
Use Custom Theta SAfalse
Board Thermal ModelNone
Use Custom Theta JBfalse
Related Vcd File
Related Saif File
Filter Glitchesfalse
Default IO Toggle Rate0.125
Default Remain Toggle Rate0.125
+

Power Summary

+

Power Information:

+ + + + + + + + + + + + + + + + + +
Total Power (mW)31.991
Quiescent Power (mW)27.105
Dynamic Power (mW)4.886
Psram Power (mW)86.000
+

Thermal Information:

+ + + + + + + + + + + + + +
Junction Temperature25.652
Theta JA21.450
Max Allowed Ambient Temperature84.348
+

Supply Information:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Voltage SourceVoltageDynamic Current(mA)Quiescent Current(mA)Power(mW)
VCC1.2003.3523.5088.232
VCCX3.3000.1325.00016.937
VCCIO181.8000.0070.2070.386
VCCIO333.3000.1251.8256.435
+

Power Details

+

Power By Block Type:

+ + + + + + + + + + + + + + + + + + + + + + + + + + +
Block TypeTotal Power(mW)Static Power(mW)Average Toggle Rate(millions of transitions/sec)
Logic0.100NA2.586
IO8.371 +7.349 +4.892 +
BSRAM0.326 +NANA
PLL3.431 +NANA
+

Power By Hierarchy:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Hierarchy EntityTotal Power(mW)Block Dynamic Power(mW)
top3.8573.857(3.857)
top/main_rx_1_inst/0.0570.057(0.057)
top/main_rx_1_inst/clocks/0.0120.012(0.000)
top/main_rx_1_inst/demuxer/0.0040.004(0.000)
top/main_rx_1_inst/transmitter/0.0410.041(0.000)
top/main_tx_1_inst/0.3570.357(0.357)
top/main_tx_1_inst/channel_status/0.0010.001(0.000)
top/main_tx_1_inst/clocks/0.0120.012(0.000)
top/main_tx_1_inst/gowin_aes3_tx/0.0040.004(0.004)
top/main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/0.0040.004(0.004)
top/main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_control/0.0010.001(0.000)
top/main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/0.0010.001(0.000)
top/main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/0.0020.002(0.000)
top/main_tx_1_inst/gowin_fifo/0.3290.329(0.329)
top/main_tx_1_inst/gowin_fifo/fifo_inst/0.3290.329(0.000)
top/main_tx_1_inst/muxer/0.0040.004(0.000)
top/main_tx_1_inst/receiver/0.0070.007(0.000)
top/pll/3.4313.431(0.000)
top/rx_status/0.0060.006(0.000)
top/tx_status/0.0060.006(0.000)
+

Power By Clock Domain:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Clock DomainClock Frequency(Mhz)Total Dynamic Power(mW)
i2s_in_bclk3.0720.066
i2s_in_sclk12.2880.303
dir_bclk12.2880.005
i2s_out_sclk12.2880.012
i2s_out_lrclk0.0480.000
i2s_out_bclk3.0720.000
dir_sclk49.1520.044
NO CLOCK DOMAIN0.0000.000
main_tx_1_inst/n950.0000.002
i2s_in_lrclk0.0480.000
sys_clk27.0003.431
+
+
+ + diff --git a/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.rpt.html b/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.rpt.html new file mode 100644 index 0000000..4315abc --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.rpt.html @@ -0,0 +1,2098 @@ + + + +PnR Analysis Report + + + +
+
+ +
+
+

PnR Messages

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Report TitlePnR Report
Design FileC:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\impl\gwsynthesis\fpga_hypernet_dir.vg
Physical Constraints FileC:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\fpga_hypernet_dir.cst
Timing Constraints FileC:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\fpga_hypernet_dir.sdc
Tool VersionV1.9.10.03 Education (64-bit)
Part NumberGW1NR-LV9QN88PC6/I5
DeviceGW1NR-9
Device VersionC
Created TimeMon Oct 6 00:37:00 2025 +
Legal AnnouncementCopyright (C)2014-2024 Gowin Semiconductor Corporation. All rights reserved.
+

PnR Details

+ + + + + + + + + + +
Place & Route ProcessRunning placement: + Placement Phase 0: CPU time = 0h 0m 0.4s, Elapsed time = 0h 0m 0.399s + Placement Phase 1: CPU time = 0h 0m 0.021s, Elapsed time = 0h 0m 0.021s + Placement Phase 2: CPU time = 0h 0m 0.397s, Elapsed time = 0h 0m 0.397s + Placement Phase 3: CPU time = 0h 0m 1s, Elapsed time = 0h 0m 1s + Total Placement: CPU time = 0h 0m 2s, Elapsed time = 0h 0m 2s +Running routing: + Routing Phase 0: CPU time = 0h 0m 0.001s, Elapsed time = 0h 0m 0.001s + Routing Phase 1: CPU time = 0h 0m 0.356s, Elapsed time = 0h 0m 0.356s + Routing Phase 2: CPU time = 0h 0m 0.629s, Elapsed time = 0h 0m 0.629s + Routing Phase 3: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0s + Total Routing: CPU time = 0h 0m 0.986s, Elapsed time = 0h 0m 0.986s +Generate output files: + CPU time = 0h 0m 1s, Elapsed time = 0h 0m 1s +
Total Time and Memory UsageCPU time = 0h 0m 4s, Elapsed time = 0h 0m 4s, Peak memory usage = 350MB
+
+

Resource

+ +

Resource Usage Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ResourceUsageUtilization
Logic710/86409%
    --LUT,ALU,ROM16710(588 LUT, 122 ALU, 0 ROM16)-
    --SSRAM(RAM16)0-
Register1326/669320%
    --Logic Register as Latch0/64800%
    --Logic Register as FF1320/648021%
    --I/O Register as Latch0/2130%
    --I/O Register as FF6/2133%
CLS977/432023%
I/O Port31/7144%
I/O Buf28-
    --Input Buf11-
    --Output Buf17-
    --Inout Buf0-
IOLOGIC1 ODDR
2%
BSRAM1 SDPB
4%
+

I/O Bank Usage Summary:

+ + + + + + + + + + + + + + + + + +
I/O BankUsageUtilization
bank 111/2544%
bank 216/2370%
bank 34/2318%
+
+

Clock Resource Usage Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Clock ResourceUsageUtilization
PRIMARY5/863%
LW8/8100%
GCLK_PIN2/367%
CLKDIV3/838%
rPLL1/250%
+
+

Global Clock Signals:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
SignalGlobal ClockLocation
dir_bclk_dPRIMARY BR BL
i2s_in_sclk_dPRIMARY TL BR BL
i2s_in_bclk_dPRIMARY TL BR BL
i2s_out_sclk_dPRIMARY TR BR
main_tx_1_inst/n9PRIMARY BL
n4_6LW -
n35_3LW -
main_tx_1_inst/gowin_fifo/fifo_inst/reset_r[1]LW -
main_tx_1_inst/receiver/n2020_3LW -
main_tx_1_inst/receiver/n1829_6LW -
main_tx_1_inst/receiver/n1641_6LW -
main_rx_1_inst/transmitter/n2212_3LW -
main_rx_1_inst/transmitter/n2710_3LW -
sys_clk_dHCLKBOTTOM[0]
dir_sclk_dHCLK
clk_123MHCLK
clk_24M6HCLK
+
+

Pinout by Port Name:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Port NameDiff PairLoc./BankConstraintDir.SiteIO TypeDrivePull ModePCI ClampHysteresisOpen DrainVrefSingle ResistorDiff ResistorBankVccio
sys_clk-52/1YinIOR17[A]LVCMOS33NAUPONNONENANAOFFNA3.3
sys_key_a-3/3YinIOT2[A]LVCMOS18NAUPONNONENANAOFFNA1.8
sys_key_b-4/3YinIOL5[A]LVCMOS18NAUPONNONENANAOFFNA1.8
audio_clk-36/2YinIOB29[B]LVCMOS33NAUPONNONENANANANA3.3
dir_sclk-38/2YinIOB31[B]LVCMOS33NAUPONNONENANANANA3.3
dir_bclk-37/2YinIOB31[A]LVCMOS33NAUPONNONENANANANA3.3
dir_lrclk-39/2YinIOB33[A]LVCMOS33NAUPONNONENANANANA3.3
dir_data-25/2YinIOB8[A]LVCMOS33NAUPONNONENANANANA3.3
dir_bframe-27/2YinIOB11[A]LVCMOS33NAUPONNONENANANANA3.3
dir_lock-26/2YinIOB8[B]LVCMOS33NAUPONNONENANANANA3.3
i2s_in_data_1-54/1YinIOR15[A]LVCMOS33NADOWNONNONENANAOFFNA3.3
i2s_in_data_2-55/1YinIOR14[B]LVCMOS33NADOWNONNONENANAOFFNA3.3
i2s_in_data_3-56/1YinIOR14[A]LVCMOS33NADOWNONNONENANAOFFNA3.3
i2s_in_data_4-57/1YinIOR13[A]LVCMOS33NADOWNONNONENANAOFFNA3.3
aes3_in_active_n-84/3YoutIOT10[A]LVCMOS188UPNANAOFFNAOFFNA1.8
i2s_out_sclk-35/2YoutIOB29[A]LVCMOS338UPNANAOFFNANANA3.3
i2s_out_bclk-41/2YoutIOB41[A]LVCMOS338UPNANAOFFNANANA3.3
i2s_out_lrclk-40/2YoutIOB33[B]LVCMOS338UPNANAOFFNANANA3.3
i2s_out_data_1-29/2YoutIOB13[A]LVCMOS338UPNANAOFFNANANA3.3
i2s_out_data_2-30/2YoutIOB13[B]LVCMOS338UPNANAOFFNANANA3.3
i2s_out_data_3-33/2YoutIOB23[A]LVCMOS338UPNANAOFFNANANA3.3
i2s_out_data_4-34/2YoutIOB23[B]LVCMOS338UPNANAOFFNANANA3.3
i2s_in_sclk-51/1YoutIOR17[B]LVCMOS338UPNANAOFFNAOFFNA3.3
i2s_in_bclk-42/2YoutIOB41[B]LVCMOS338UPNANAOFFNANANA3.3
i2s_in_lrclk-53/1YoutIOR15[B]LVCMOS338UPNANAOFFNAOFFNA3.3
aes3_out_active_n-85/3YoutIOT8[B]LVCMOS188UPNANAOFFNAOFFNA1.8
aes3_out-28/2YoutIOB11[B]LVCMOS338UPNANAOFFNANANA3.3
debug1-49/1YoutIOR24[A]LVCMOS338UPNANAOFFNAOFFNA3.3
debug2-48/1YoutIOR24[B]LVCMOS338UPNANAOFFNAOFFNA3.3
debug3-70/1YoutIOT41[B]LVCMOS338UPNANAOFFNAOFFNA3.3
debug4-71/1YoutIOT41[A]LVCMOS338UPNANAOFFNAOFFNA3.3
+
+

All Package Pins:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Loc./BankSignalDir.SiteIO TypeDrivePull ModePCI ClampHysteresisOpen DrainVrefSingle ResistorDiff ResistorBank Vccio
3/3sys_key_ainIOT2[A]LVCMOS18NAUPONNONENANAOFFNA1.8
88/3-inIOT5[A]LVCMOS18NAUPONNONENANANANA1.8
87/3-inIOT6[B]LVCMOS18NAUPONNONENANANANA1.8
86/3-inIOT8[A]LVCMOS18NAUPONNONENANANANA1.8
85/3aes3_out_active_noutIOT8[B]LVCMOS188UPNANAOFFNAOFFNA1.8
84/3aes3_in_active_noutIOT10[A]LVCMOS188UPNANAOFFNAOFFNA1.8
83/3-inIOT10[B]LVCMOS18NAUPONNONENANANANA1.8
82/3-inIOT11[A]LVCMOS18NAUPONNONENANANANA1.8
81/3-inIOT11[B]LVCMOS18NAUPONNONENANANANA1.8
80/3-inIOT12[A]LVCMOS18NAUPONNONENANANANA1.8
79/3-inIOT12[B]LVCMOS18NAUPONNONENANANANA1.8
77/1-inIOT37[A]LVCMOS18NAUPONNONENANANANA3.3
76/1-inIOT37[B]LVCMOS18NAUPONNONENANANANA3.3
75/1-inIOT38[A]LVCMOS18NAUPONNONENANANANA3.3
74/1-inIOT38[B]LVCMOS18NAUPONNONENANANANA3.3
73/1-inIOT39[A]LVCMOS18NAUPONNONENANANANA3.3
72/1-inIOT39[B]LVCMOS18NAUPONNONENANANANA3.3
71/1debug4outIOT41[A]LVCMOS338UPNANAOFFNAOFFNA3.3
70/1debug3outIOT41[B]LVCMOS338UPNANAOFFNAOFFNA3.3
69/1-inIOT42[A]LVCMOS18NAUPONNONENANANANA3.3
68/1-inIOT42[B]LVCMOS18NAUPONNONENANANANA3.3
17/2-inIOB2[A]LVCMOS18NAUPONNONENANANANA3.3
18/2-inIOB2[B]LVCMOS18NAUPONNONENANANANA3.3
19/2-inIOB4[A]LVCMOS18NAUPONNONENANANANA3.3
20/2-inIOB4[B]LVCMOS18NAUPONNONENANANANA3.3
25/2dir_datainIOB8[A]LVCMOS33NAUPONNONENANANANA3.3
26/2dir_lockinIOB8[B]LVCMOS33NAUPONNONENANANANA3.3
27/2dir_bframeinIOB11[A]LVCMOS33NAUPONNONENANANANA3.3
28/2aes3_outoutIOB11[B]LVCMOS338UPNANAOFFNANANA3.3
29/2i2s_out_data_1outIOB13[A]LVCMOS338UPNANAOFFNANANA3.3
30/2i2s_out_data_2outIOB13[B]LVCMOS338UPNANAOFFNANANA3.3
31/2-inIOB15[A]LVCMOS18NAUPONNONENANANANA3.3
32/2-inIOB15[B]LVCMOS18NAUPONNONENANANANA3.3
33/2i2s_out_data_3outIOB23[A]LVCMOS338UPNANAOFFNANANA3.3
34/2i2s_out_data_4outIOB23[B]LVCMOS338UPNANAOFFNANANA3.3
35/2i2s_out_sclkoutIOB29[A]LVCMOS338UPNANAOFFNANANA3.3
36/2audio_clkinIOB29[B]LVCMOS33NAUPONNONENANANANA3.3
37/2dir_bclkinIOB31[A]LVCMOS33NAUPONNONENANANANA3.3
38/2dir_sclkinIOB31[B]LVCMOS33NAUPONNONENANANANA3.3
39/2dir_lrclkinIOB33[A]LVCMOS33NAUPONNONENANANANA3.3
40/2i2s_out_lrclkoutIOB33[B]LVCMOS338UPNANAOFFNANANA3.3
41/2i2s_out_bclkoutIOB41[A]LVCMOS338UPNANAOFFNANANA3.3
42/2i2s_in_bclkoutIOB41[B]LVCMOS338UPNANAOFFNANANA3.3
47/2-inIOB43[B]LVCMOS18NAUPONNONENANANANA3.3
4/3sys_key_binIOL5[A]LVCMOS18NAUPONNONENANAOFFNA1.8
5/3-inIOL11[A]LVCMOS18NAUPONNONENANANANA1.8
6/3-inIOL11[B]LVCMOS18NAUPONNONENANANANA1.8
7/3-inIOL12[B]LVCMOS18NAUPONNONENANANANA1.8
8/3-outIOL13[A]LVCMOS188UPNANAOFFNANANA1.8
9/3-inIOL13[B]LVCMOS18NAUPONNONENANANANA1.8
10/3-inIOL15[A]LVCMOS18NAUPONNONENANANANA1.8
11/3-inIOL16[B]LVCMOS18NAUPONNONENANANANA1.8
13/3-inIOL21[B]LVCMOS18NAUPONNONENANANANA1.8
14/3-inIOL22[B]LVCMOS18NAUPONNONENANANANA1.8
15/3-inIOL25[B]LVCMOS18NAUPONNONENANANANA1.8
16/3-inIOL26[B]LVCMOS18NAUPONNONENANANANA1.8
63/1-inIOR5[A]LVCMOS18NAUPONNONENANANANA3.3
62/1-inIOR11[A]LVCMOS18NAUPONNONENANANANA3.3
61/1-inIOR11[B]LVCMOS18NAUPONNONENANANANA3.3
60/1-inIOR12[A]LVCMOS18NAUPONNONENANANANA3.3
59/1-inIOR12[B]LVCMOS18NAUPONNONENANANANA3.3
57/1i2s_in_data_4inIOR13[A]LVCMOS33NADOWNONNONENANAOFFNA3.3
56/1i2s_in_data_3inIOR14[A]LVCMOS33NADOWNONNONENANAOFFNA3.3
55/1i2s_in_data_2inIOR14[B]LVCMOS33NADOWNONNONENANAOFFNA3.3
54/1i2s_in_data_1inIOR15[A]LVCMOS33NADOWNONNONENANAOFFNA3.3
53/1i2s_in_lrclkoutIOR15[B]LVCMOS338UPNANAOFFNAOFFNA3.3
52/1sys_clkinIOR17[A]LVCMOS33NAUPONNONENANAOFFNA3.3
51/1i2s_in_sclkoutIOR17[B]LVCMOS338UPNANAOFFNAOFFNA3.3
50/1-inIOR22[B]LVCMOS18NAUPONNONENANANANA3.3
49/1debug1outIOR24[A]LVCMOS338UPNANAOFFNAOFFNA3.3
48/1debug2outIOR24[B]LVCMOS338UPNANAOFFNAOFFNA3.3
+
+
+
+ + diff --git a/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.rpt.txt b/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.rpt.txt new file mode 100644 index 0000000..a2b0eb3 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.rpt.txt @@ -0,0 +1,237 @@ +//Copyright (C)2014-2024 Gowin Semiconductor Corporation. +//All rights reserved. + + +1. PnR Messages + + : PnR Report + : C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\impl\gwsynthesis\fpga_hypernet_dir.vg + : C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\fpga_hypernet_dir.cst + : C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\fpga_hypernet_dir.sdc + : V1.9.10.03 Education (64-bit) + : GW1NR-LV9QN88PC6/I5 + : GW1NR-9 + : C + :Mon Oct 6 00:37:00 2025 + + +2. PnR Details + + Running placement: + Placement Phase 0: CPU time = 0h 0m 0.4s, Elapsed time = 0h 0m 0.399s + Placement Phase 1: CPU time = 0h 0m 0.021s, Elapsed time = 0h 0m 0.021s + Placement Phase 2: CPU time = 0h 0m 0.397s, Elapsed time = 0h 0m 0.397s + Placement Phase 3: CPU time = 0h 0m 1s, Elapsed time = 0h 0m 1s + Total Placement: CPU time = 0h 0m 2s, Elapsed time = 0h 0m 2s + Running routing: + Routing Phase 0: CPU time = 0h 0m 0.001s, Elapsed time = 0h 0m 0.001s + Routing Phase 1: CPU time = 0h 0m 0.356s, Elapsed time = 0h 0m 0.356s + Routing Phase 2: CPU time = 0h 0m 0.629s, Elapsed time = 0h 0m 0.629s + Routing Phase 3: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0s + Total Routing: CPU time = 0h 0m 0.986s, Elapsed time = 0h 0m 0.986s + Generate output files: + CPU time = 0h 0m 1s, Elapsed time = 0h 0m 1s + + Total Time and Memory Usage: CPU time = 0h 0m 4s, Elapsed time = 0h 0m 4s, Peak memory usage = 350MB + + +3. Resource Usage Summary + + -------------------------------------------------------------------------------- + Resources | Usage | Utilization + -------------------------------------------------------------------------------- + Logic | 710/8640 | 9% + --LUT,ALU,ROM16 | 710(588 LUT, 122 ALU, 0 ROM16) | - + --SSRAM(RAM16) | 0 | - + Register | 1326/6693 | 20% + --Logic Register as Latch | 0/6480 | 0% + --Logic Register as FF | 1320/6480 | 21% + --I/O Register as Latch | 0/213 | 0% + --I/O Register as FF | 6/213 | 3% + CLS | 977/4320 | 23% + I/O Port | 31/71 | 44% + I/O Buf | 28 | - + --Input Buf | 11 | - + --Output Buf | 17 | - + --Inout Buf | 0 | - + IOLOGIC | 1/97 | 2% + --ODDR | 1 + BSRAM | 1/26 | 4% + --SDPB | 1 + ================================================================================ + + + +4. I/O Bank Usage Summary + + -------------------------------------- + I/O Bank | Usage | Utilization + -------------------------------------- + bank 1 | 11/25 | 44% + bank 2 | 16/23 | 70% + bank 3 | 4/23 | 18% + ====================================== + + +5. Clock Resource Usage Summary + + ------------------------------------------ + Clock Resource| Usage | Utilization + ------------------------------------------ + PRIMARY | 5/8 | 63% + LW | 8/8 | 100% + GCLK_PIN | 2/3 | 67% + CLKDIV | 3/8 | 38% + rPLL | 1/2 | 50% + ========================================== + + +6. Global Clock Signals + + ------------------------------------------- + Signal | Global Clock | Location + ------------------------------------------- + dir_bclk_d | PRIMARY | BR BL + i2s_in_sclk_d | PRIMARY | TL BR BL + i2s_in_bclk_d | PRIMARY | TL BR BL + i2s_out_sclk_d | PRIMARY | TR BR + main_tx_1_inst/n9| PRIMARY | BL + n4_6 | LW | - + n35_3 | LW | - + main_tx_1_inst/gowin_fifo/fifo_inst/reset_r[1]| LW | - + main_tx_1_inst/receiver/n2020_3| LW | - + main_tx_1_inst/receiver/n1829_6| LW | - + main_tx_1_inst/receiver/n1641_6| LW | - + main_rx_1_inst/transmitter/n2212_3| LW | - + main_rx_1_inst/transmitter/n2710_3| LW | - + sys_clk_d | HCLK | BOTTOM[0] + dir_sclk_d | HCLK | + clk_123M | HCLK | + clk_24M6 | HCLK | + =========================================== + + +7. Pinout by Port Name + +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- +Port Name | Diff Pair | Loc./Bank | Constraint | Dir. | Site | IO Type | Drive | Pull Mode | PCI Clamp | Hysteresis | Open Drain | Vref | Single Resistor | Diff Resistor | BankVccio +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- +sys_clk | - | 52/1 | Y | in | IOR17[A] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | OFF | NA | 3.3 +sys_key_a | - | 3/3 | Y | in | IOT2[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | OFF | NA | 1.8 +sys_key_b | - | 4/3 | Y | in | IOL5[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | OFF | NA | 1.8 +audio_clk | - | 36/2 | Y | in | IOB29[B] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +dir_sclk | - | 38/2 | Y | in | IOB31[B] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +dir_bclk | - | 37/2 | Y | in | IOB31[A] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +dir_lrclk | - | 39/2 | Y | in | IOB33[A] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +dir_data | - | 25/2 | Y | in | IOB8[A] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +dir_bframe | - | 27/2 | Y | in | IOB11[A] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +dir_lock | - | 26/2 | Y | in | IOB8[B] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +i2s_in_data_1 | - | 54/1 | Y | in | IOR15[A] | LVCMOS33 | NA | DOWN | ON | NONE | NA | NA | OFF | NA | 3.3 +i2s_in_data_2 | - | 55/1 | Y | in | IOR14[B] | LVCMOS33 | NA | DOWN | ON | NONE | NA | NA | OFF | NA | 3.3 +i2s_in_data_3 | - | 56/1 | Y | in | IOR14[A] | LVCMOS33 | NA | DOWN | ON | NONE | NA | NA | OFF | NA | 3.3 +i2s_in_data_4 | - | 57/1 | Y | in | IOR13[A] | LVCMOS33 | NA | DOWN | ON | NONE | NA | NA | OFF | NA | 3.3 +aes3_in_active_n | - | 84/3 | Y | out | IOT10[A] | LVCMOS18 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 1.8 +i2s_out_sclk | - | 35/2 | Y | out | IOB29[A] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3 +i2s_out_bclk | - | 41/2 | Y | out | IOB41[A] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3 +i2s_out_lrclk | - | 40/2 | Y | out | IOB33[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3 +i2s_out_data_1 | - | 29/2 | Y | out | IOB13[A] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3 +i2s_out_data_2 | - | 30/2 | Y | out | IOB13[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3 +i2s_out_data_3 | - | 33/2 | Y | out | IOB23[A] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3 +i2s_out_data_4 | - | 34/2 | Y | out | IOB23[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3 +i2s_in_sclk | - | 51/1 | Y | out | IOR17[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 3.3 +i2s_in_bclk | - | 42/2 | Y | out | IOB41[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3 +i2s_in_lrclk | - | 53/1 | Y | out | IOR15[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 3.3 +aes3_out_active_n | - | 85/3 | Y | out | IOT8[B] | LVCMOS18 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 1.8 +aes3_out | - | 28/2 | Y | out | IOB11[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3 +debug1 | - | 49/1 | Y | out | IOR24[A] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 3.3 +debug2 | - | 48/1 | Y | out | IOR24[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 3.3 +debug3 | - | 70/1 | Y | out | IOT41[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 3.3 +debug4 | - | 71/1 | Y | out | IOT41[A] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 3.3 +========================================================================================================================================================================================================================== + + + + +8. All Package Pins + +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- +Loc./Bank| Signal | Dir. | Site | IO Type | Drive | Pull Mode | PCI Clamp | Hysteresis | Open Drain | Vref | Single Resistor | Diff Resistor | Bank Vccio +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- +3/3 | sys_key_a | in | IOT2[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | OFF | NA | 1.8 +88/3 | - | in | IOT5[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8 +87/3 | - | in | IOT6[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8 +86/3 | - | in | IOT8[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8 +85/3 | aes3_out_active_n | out | IOT8[B] | LVCMOS18 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 1.8 +84/3 | aes3_in_active_n | out | IOT10[A] | LVCMOS18 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 1.8 +83/3 | - | in | IOT10[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8 +82/3 | - | in | IOT11[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8 +81/3 | - | in | IOT11[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8 +80/3 | - | in | IOT12[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8 +79/3 | - | in | IOT12[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8 +77/1 | - | in | IOT37[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +76/1 | - | in | IOT37[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +75/1 | - | in | IOT38[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +74/1 | - | in | IOT38[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +73/1 | - | in | IOT39[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +72/1 | - | in | IOT39[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +71/1 | debug4 | out | IOT41[A] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 3.3 +70/1 | debug3 | out | IOT41[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 3.3 +69/1 | - | in | IOT42[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +68/1 | - | in | IOT42[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- +17/2 | - | in | IOB2[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +18/2 | - | in | IOB2[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +19/2 | - | in | IOB4[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +20/2 | - | in | IOB4[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +25/2 | dir_data | in | IOB8[A] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +26/2 | dir_lock | in | IOB8[B] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +27/2 | dir_bframe | in | IOB11[A] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +28/2 | aes3_out | out | IOB11[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3 +29/2 | i2s_out_data_1 | out | IOB13[A] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3 +30/2 | i2s_out_data_2 | out | IOB13[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3 +31/2 | - | in | IOB15[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +32/2 | - | in | IOB15[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +33/2 | i2s_out_data_3 | out | IOB23[A] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3 +34/2 | i2s_out_data_4 | out | IOB23[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3 +35/2 | i2s_out_sclk | out | IOB29[A] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3 +36/2 | audio_clk | in | IOB29[B] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +37/2 | dir_bclk | in | IOB31[A] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +38/2 | dir_sclk | in | IOB31[B] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +39/2 | dir_lrclk | in | IOB33[A] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +40/2 | i2s_out_lrclk | out | IOB33[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3 +41/2 | i2s_out_bclk | out | IOB41[A] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3 +42/2 | i2s_in_bclk | out | IOB41[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3 +47/2 | - | in | IOB43[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- +4/3 | sys_key_b | in | IOL5[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | OFF | NA | 1.8 +5/3 | - | in | IOL11[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8 +6/3 | - | in | IOL11[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8 +7/3 | - | in | IOL12[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8 +8/3 | - | out | IOL13[A] | LVCMOS18 | 8 | UP | NA | NA | OFF | NA | NA | NA | 1.8 +9/3 | - | in | IOL13[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8 +10/3 | - | in | IOL15[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8 +11/3 | - | in | IOL16[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8 +13/3 | - | in | IOL21[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8 +14/3 | - | in | IOL22[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8 +15/3 | - | in | IOL25[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8 +16/3 | - | in | IOL26[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8 +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- +63/1 | - | in | IOR5[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +62/1 | - | in | IOR11[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +61/1 | - | in | IOR11[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +60/1 | - | in | IOR12[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +59/1 | - | in | IOR12[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +57/1 | i2s_in_data_4 | in | IOR13[A] | LVCMOS33 | NA | DOWN | ON | NONE | NA | NA | OFF | NA | 3.3 +56/1 | i2s_in_data_3 | in | IOR14[A] | LVCMOS33 | NA | DOWN | ON | NONE | NA | NA | OFF | NA | 3.3 +55/1 | i2s_in_data_2 | in | IOR14[B] | LVCMOS33 | NA | DOWN | ON | NONE | NA | NA | OFF | NA | 3.3 +54/1 | i2s_in_data_1 | in | IOR15[A] | LVCMOS33 | NA | DOWN | ON | NONE | NA | NA | OFF | NA | 3.3 +53/1 | i2s_in_lrclk | out | IOR15[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 3.3 +52/1 | sys_clk | in | IOR17[A] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | OFF | NA | 3.3 +51/1 | i2s_in_sclk | out | IOR17[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 3.3 +50/1 | - | in | IOR22[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3 +49/1 | debug1 | out | IOR24[A] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 3.3 +48/1 | debug2 | out | IOR24[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 3.3 +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- +=============================================================================================================================================================================================== + + diff --git a/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.timing_paths b/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.timing_paths new file mode 100644 index 0000000..814aff2 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.timing_paths @@ -0,0 +1,719 @@ +===== +SETUP +-1.200 +2.133 +0.933 +main_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_4_s0 +0.242 +0.700 +main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_4_s0 +2.133 +===== +SETUP +-1.196 +2.129 +0.933 +main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_0_s0 +0.242 +0.700 +main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_0_s0 +2.129 +===== +SETUP +-1.196 +2.129 +0.933 +main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_1_s0 +0.242 +0.700 +main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_1_s0 +2.129 +===== +SETUP +-1.196 +2.129 +0.933 +main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_2_s0 +0.242 +0.700 +main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_2_s0 +2.129 +===== +SETUP +-1.196 +2.129 +0.933 +main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_3_s0 +0.242 +0.700 +main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_3_s0 +2.129 +===== +SETUP +6.506 +16.473 +22.978 +dir_sclk_ibuf +0.000 +2.088 +main_rx_1_inst/transmitter/bit_counter_0_s3 +3.033 +3.492 +main_rx_1_inst/transmitter/n1630_s87 +7.002 +8.034 +main_rx_1_inst/transmitter/n1630_s73 +8.034 +8.183 +main_rx_1_inst/transmitter/n1630_s65 +8.183 +8.346 +main_rx_1_inst/transmitter/n1630_s62 +8.346 +8.509 +main_rx_1_inst/transmitter/n1649_s3 +9.972 +11.071 +main_rx_1_inst/transmitter/n1649_s0 +11.876 +12.908 +main_rx_1_inst/transmitter/sdout_1_s0 +16.473 +===== +SETUP +8.112 +14.866 +22.978 +dir_sclk_ibuf +0.000 +2.088 +main_rx_1_inst/transmitter/bit_counter_0_s3 +3.033 +3.492 +main_rx_1_inst/transmitter/n1636_s77 +6.033 +7.065 +main_rx_1_inst/transmitter/n1636_s69 +7.065 +7.214 +main_rx_1_inst/transmitter/n1636_s67 +7.214 +7.377 +main_rx_1_inst/transmitter/n1636_s63 +7.377 +7.540 +main_rx_1_inst/transmitter/n1650_s3 +9.173 +9.799 +main_rx_1_inst/transmitter/n1650_s0 +10.604 +11.636 +main_rx_1_inst/transmitter/sdout_2_s0 +14.866 +===== +SETUP +8.486 +14.493 +22.978 +dir_sclk_ibuf +0.000 +2.088 +main_rx_1_inst/transmitter/bit_counter_0_s3 +3.033 +3.492 +main_rx_1_inst/transmitter/n1648_s85 +6.943 +7.975 +main_rx_1_inst/transmitter/n1648_s55 +7.975 +8.124 +main_rx_1_inst/transmitter/n1652_s1 +10.727 +11.353 +main_rx_1_inst/transmitter/n1652_s0 +11.358 +12.390 +main_rx_1_inst/transmitter/sdout_4_s0 +14.493 +===== +SETUP +8.564 +14.414 +22.978 +dir_sclk_ibuf +0.000 +2.088 +main_rx_1_inst/transmitter/bit_counter_0_s3 +3.033 +3.492 +main_rx_1_inst/transmitter/n1642_s85 +6.943 +7.975 +main_rx_1_inst/transmitter/n1642_s55 +7.975 +8.124 +main_rx_1_inst/transmitter/n1651_s1 +10.242 +11.274 +main_rx_1_inst/transmitter/n1651_s0 +11.280 +12.312 +main_rx_1_inst/transmitter/sdout_3_s0 +14.414 +===== +SETUP +15.181 +8.124 +23.305 +dir_bclk_ibuf +0.000 +2.088 +main_rx_1_inst/demuxer/data_ready_s0 +3.116 +3.575 +main_rx_1_inst/transmitter/n2212_s0 +4.546 +5.171 +main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_10_s0 +8.124 +===== +SETUP +15.181 +8.124 +23.305 +dir_bclk_ibuf +0.000 +2.088 +main_rx_1_inst/demuxer/data_ready_s0 +3.116 +3.575 +main_rx_1_inst/transmitter/n2212_s0 +4.546 +5.171 +main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_11_s0 +8.124 +===== +SETUP +15.181 +8.124 +23.305 +dir_bclk_ibuf +0.000 +2.088 +main_rx_1_inst/demuxer/data_ready_s0 +3.116 +3.575 +main_rx_1_inst/transmitter/n2212_s0 +4.546 +5.171 +main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_16_s0 +8.124 +===== +SETUP +15.181 +8.124 +23.305 +dir_bclk_ibuf +0.000 +2.088 +main_rx_1_inst/demuxer/data_ready_s0 +3.116 +3.575 +main_rx_1_inst/transmitter/n2212_s0 +4.546 +5.171 +main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_17_s0 +8.124 +===== +SETUP +15.181 +8.124 +23.305 +dir_bclk_ibuf +0.000 +2.088 +main_rx_1_inst/demuxer/data_ready_s0 +3.116 +3.575 +main_rx_1_inst/transmitter/n2212_s0 +4.546 +5.171 +main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_18_s0 +8.124 +===== +SETUP +15.181 +8.124 +23.305 +dir_bclk_ibuf +0.000 +2.088 +main_rx_1_inst/demuxer/data_ready_s0 +3.116 +3.575 +main_rx_1_inst/transmitter/n2212_s0 +4.546 +5.171 +main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_19_s0 +8.124 +===== +SETUP +15.181 +8.124 +23.305 +dir_bclk_ibuf +0.000 +2.088 +main_rx_1_inst/demuxer/data_ready_s0 +3.116 +3.575 +main_rx_1_inst/transmitter/n2212_s0 +4.546 +5.171 +main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_20_s0 +8.124 +===== +SETUP +15.181 +8.124 +23.305 +dir_bclk_ibuf +0.000 +2.088 +main_rx_1_inst/demuxer/data_ready_s0 +3.116 +3.575 +main_rx_1_inst/transmitter/n2212_s0 +4.546 +5.171 +main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_21_s0 +8.124 +===== +SETUP +15.181 +8.124 +23.305 +dir_bclk_ibuf +0.000 +2.088 +main_rx_1_inst/demuxer/data_ready_s0 +3.116 +3.575 +main_rx_1_inst/transmitter/n2212_s0 +4.546 +5.171 +main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_23_s0 +8.124 +===== +SETUP +15.181 +8.124 +23.305 +dir_bclk_ibuf +0.000 +2.088 +main_rx_1_inst/demuxer/data_ready_s0 +3.116 +3.575 +main_rx_1_inst/transmitter/n2212_s0 +4.546 +5.171 +main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_29_s0 +8.124 +===== +SETUP +15.181 +8.124 +23.305 +dir_bclk_ibuf +0.000 +2.088 +main_rx_1_inst/demuxer/data_ready_s0 +3.116 +3.575 +main_rx_1_inst/transmitter/n2212_s0 +4.546 +5.171 +main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_30_s0 +8.124 +===== +SETUP +15.181 +8.124 +23.305 +dir_bclk_ibuf +0.000 +2.088 +main_rx_1_inst/demuxer/data_ready_s0 +3.116 +3.575 +main_rx_1_inst/transmitter/n2212_s0 +4.546 +5.171 +main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_31_s0 +8.124 +===== +SETUP +15.181 +8.124 +23.305 +dir_bclk_ibuf +0.000 +2.088 +main_rx_1_inst/demuxer/data_ready_s0 +3.116 +3.575 +main_rx_1_inst/transmitter/n2212_s0 +4.546 +5.171 +main_rx_1_inst/transmitter/sample_ch_4_l_buf_load_10_s0 +8.124 +===== +SETUP +15.181 +8.124 +23.305 +dir_bclk_ibuf +0.000 +2.088 +main_rx_1_inst/demuxer/data_ready_s0 +3.116 +3.575 +main_rx_1_inst/transmitter/n2212_s0 +4.546 +5.171 +main_rx_1_inst/transmitter/sample_ch_4_l_buf_load_11_s0 +8.124 +===== +SETUP +15.181 +8.124 +23.305 +dir_bclk_ibuf +0.000 +2.088 +main_rx_1_inst/demuxer/data_ready_s0 +3.116 +3.575 +main_rx_1_inst/transmitter/n2212_s0 +4.546 +5.171 +main_rx_1_inst/transmitter/sample_ch_4_l_buf_load_12_s0 +8.124 +===== +SETUP +15.181 +8.124 +23.305 +dir_bclk_ibuf +0.000 +2.088 +main_rx_1_inst/demuxer/data_ready_s0 +3.116 +3.575 +main_rx_1_inst/transmitter/n2212_s0 +4.546 +5.171 +main_rx_1_inst/transmitter/sample_ch_4_l_buf_load_13_s0 +8.124 +===== +HOLD +-0.256 +1.850 +2.105 +main_rx_1_inst/transmitter/zbclk_s0 +1.850 +===== +HOLD +0.161 +0.374 +0.213 +main_rx_1_inst/clocks/n52_s3 +0.002 +0.374 +main_rx_1_inst/clocks/bclk_s2 +0.374 +===== +HOLD +0.474 +1.472 +0.998 +main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_0_s0 +0.183 +0.516 +main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_0_s0 +1.472 +===== +HOLD +0.474 +1.472 +0.998 +main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_1_s0 +0.183 +0.516 +main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_1_s0 +1.472 +===== +HOLD +0.474 +1.472 +0.998 +main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_2_s0 +0.183 +0.516 +main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_2_s0 +1.472 +===== +HOLD +0.474 +1.472 +0.998 +main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_3_s0 +0.183 +0.516 +main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_3_s0 +1.472 +===== +HOLD +0.475 +1.473 +0.998 +main_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_4_s0 +0.183 +0.516 +main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_4_s0 +1.473 +===== +HOLD +0.557 +0.753 +0.196 +main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Validity_bit_req_s0 +0.183 +0.516 +main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_24_s0 +0.753 +===== +HOLD +0.708 +0.891 +0.183 +main_rx_1_inst/clocks/count_i2s_bclk_0_s0 +0.183 +0.516 +main_rx_1_inst/clocks/n48_s2 +0.519 +0.891 +main_rx_1_inst/clocks/count_i2s_bclk_0_s0 +0.891 +===== +HOLD +0.708 +0.891 +0.183 +rx_status/led_state_s1 +0.183 +0.516 +rx_status/n74_s2 +0.519 +0.891 +rx_status/led_state_s1 +0.891 +===== +HOLD +0.708 +0.891 +0.183 +rx_status/counter_0_s0 +0.183 +0.516 +rx_status/n50_s2 +0.519 +0.891 +rx_status/counter_0_s0 +0.891 +===== +HOLD +0.708 +0.891 +0.183 +main_tx_1_inst/receiver/bit_cnt_2_s1 +0.183 +0.516 +main_tx_1_inst/receiver/n44_s1 +0.519 +0.891 +main_tx_1_inst/receiver/bit_cnt_2_s1 +0.891 +===== +HOLD +0.708 +0.891 +0.183 +main_tx_1_inst/clocks/count_i2s_bclk_0_s0 +0.183 +0.516 +main_tx_1_inst/clocks/n48_s2 +0.519 +0.891 +main_tx_1_inst/clocks/count_i2s_bclk_0_s0 +0.891 +===== +HOLD +0.708 +0.891 +0.183 +main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame_4_s0 +0.183 +0.516 +main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/n15_s2 +0.519 +0.891 +main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame_4_s0 +0.891 +===== +HOLD +0.708 +0.891 +0.183 +main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_check_s0 +0.183 +0.516 +main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/n64_s1 +0.519 +0.891 +main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_check_s0 +0.891 +===== +HOLD +0.708 +0.891 +0.183 +main_tx_1_inst/channel_status/status_bit_index_6_s0 +0.183 +0.516 +main_tx_1_inst/channel_status/n51_s2 +0.519 +0.891 +main_tx_1_inst/channel_status/status_bit_index_6_s0 +0.891 +===== +HOLD +0.708 +0.891 +0.183 +main_tx_1_inst/channel_status/status_bit_index_10_s0 +0.183 +0.516 +main_tx_1_inst/channel_status/n47_s2 +0.519 +0.891 +main_tx_1_inst/channel_status/status_bit_index_10_s0 +0.891 +===== +HOLD +0.708 +0.891 +0.183 +tx_status/counter_0_s0 +0.183 +0.516 +tx_status/n50_s2 +0.519 +0.891 +tx_status/counter_0_s0 +0.891 +===== +HOLD +0.708 +41.589 +40.881 +main_rx_1_inst/clocks/count_i2s_lrclk_3_s0 +40.881 +41.214 +main_rx_1_inst/clocks/n185_s3 +41.217 +41.589 +main_rx_1_inst/clocks/count_i2s_lrclk_3_s0 +41.589 +===== +HOLD +0.708 +41.589 +40.881 +main_rx_1_inst/clocks/count_i2s_lrclk_4_s0 +40.881 +41.214 +main_rx_1_inst/clocks/n184_s3 +41.217 +41.589 +main_rx_1_inst/clocks/count_i2s_lrclk_4_s0 +41.589 +===== +HOLD +0.708 +41.589 +40.881 +main_rx_1_inst/clocks/count_i2s_lrclk_31_s0 +40.881 +41.214 +main_rx_1_inst/clocks/n157_s2 +41.217 +41.589 +main_rx_1_inst/clocks/count_i2s_lrclk_31_s0 +41.589 +===== +HOLD +0.708 +41.589 +40.881 +main_tx_1_inst/clocks/count_i2s_lrclk_3_s0 +40.881 +41.214 +main_tx_1_inst/clocks/n185_s3 +41.217 +41.589 +main_tx_1_inst/clocks/count_i2s_lrclk_3_s0 +41.589 +===== +HOLD +0.708 +41.589 +40.881 +main_tx_1_inst/clocks/count_i2s_lrclk_31_s0 +40.881 +41.214 +main_tx_1_inst/clocks/n157_s2 +41.217 +41.589 +main_tx_1_inst/clocks/count_i2s_lrclk_31_s0 +41.589 +===== +HOLD +0.709 +2.784 +2.075 +dir_sclk_ibuf +0.000 +1.392 +main_rx_1_inst/transmitter/bclk_neg_edge_s4 +2.075 +2.408 +main_rx_1_inst/transmitter/n8_s2 +2.412 +2.784 +main_rx_1_inst/transmitter/bclk_neg_edge_s4 +2.784 +===== +HOLD +0.709 +0.892 +0.183 +main_tx_1_inst/receiver/bit_cnt_3_s3 +0.183 +0.516 +main_tx_1_inst/receiver/n43_s4 +0.520 +0.892 +main_tx_1_inst/receiver/bit_cnt_3_s3 +0.892 diff --git a/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.tr.html b/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.tr.html new file mode 100644 index 0000000..64cdd3e --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.tr.html @@ -0,0 +1,10 @@ + + + +Timing Analysis Report + + + + + + diff --git a/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir_tr_cata.html b/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir_tr_cata.html new file mode 100644 index 0000000..9f8d9ee --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir_tr_cata.html @@ -0,0 +1,132 @@ + + + +Timing Report Navigation + + + + + + + diff --git a/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir_tr_content.html b/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir_tr_content.html new file mode 100644 index 0000000..91abb46 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir_tr_content.html @@ -0,0 +1,23544 @@ + + + +Timing Analysis Report + + + +
+

Timing Messages

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Report TitleTiming Analysis Report
Design FileC:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\impl\gwsynthesis\fpga_hypernet_dir.vg
Physical Constraints FileC:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\fpga_hypernet_dir.cst
Timing Constraint FileC:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\fpga_hypernet_dir.sdc
Tool VersionV1.9.10.03 Education (64-bit)
Part NumberGW1NR-LV9QN88PC6/I5
DeviceGW1NR-9
Device VersionC
Created TimeMon Oct 6 00:37:00 2025 +
Legal AnnouncementCopyright (C)2014-2024 Gowin Semiconductor Corporation. All rights reserved.
+

Timing Summaries

+

STA Tool Run Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Setup Delay ModelSlow 1.14V 85C C6/I5
Hold Delay ModelFast 1.26V 0C C6/I5
Numbers of Paths Analyzed4207
Numbers of Endpoints Analyzed2994
Numbers of Falling Endpoints185
Numbers of Setup Violated Endpoints5
Numbers of Hold Violated Endpoints1
+

Clock Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NO.Clock NameTypePeriodFrequency(MHz)RiseFallSourceMasterObjects
1i2s_out_sclkBase81.38012.288 +0.00040.690i2s_out_sclk i2s_out_sclk_d
2audio_clkBase81.38012.288 +0.00040.690audio_clk
3i2s_in_bclkBase325.5213.072 +0.000162.761i2s_in_bclk i2s_in_bclk_d
4i2s_in_sclkBase81.38012.288 +0.00040.690i2s_in_sclk i2s_in_sclk_d
5i2s_out_lrclkBase20833.3320.048 +0.00010416.666i2s_out_lrclk i2s_out_lrclk_d
6dir_lrclkBase5208.3330.192 +0.0002604.166dir_lrclk
7dir_bclkBase81.38012.288 +0.00040.690dir_bclk
8dir_sclkBase20.34549.152 +0.00010.172dir_sclk
9i2s_out_bclkBase325.5213.072 +0.000162.761i2s_out_bclk i2s_out_bclk_d
10i2s_in_lrclkBase20833.3320.048 +0.00010416.666i2s_in_lrclk i2s_in_lrclk_d
11sys_clkBase37.03727.000 +0.00018.519sys_clk_ibuf/I
12main_tx_1_inst/n9Base20.00050.000 +0.00010.000main_tx_1_inst/gowin_fifo/fifo_inst/n9_s2/F
13pll/rpll_inst/CLKOUT.default_gen_clkGenerated8.130123.000 +0.0004.065sys_clk_ibuf/Isys_clkpll/rpll_inst/CLKOUT
14pll/rpll_inst/CLKOUTP.default_gen_clkGenerated8.130123.000 +0.0004.065sys_clk_ibuf/Isys_clkpll/rpll_inst/CLKOUTP
15pll/rpll_inst/CLKOUTD.default_gen_clkGenerated16.26061.500 +0.0008.130sys_clk_ibuf/Isys_clkpll/rpll_inst/CLKOUTD
16pll/rpll_inst/CLKOUTD3.default_gen_clkGenerated24.39041.000 +0.00012.195sys_clk_ibuf/Isys_clkpll/rpll_inst/CLKOUTD3
17clk5/clkdiv_inst/CLKOUT.default_gen_clkGenerated40.65024.600 +0.00020.325pll/rpll_inst/CLKOUTpll/rpll_inst/CLKOUT.default_gen_clkclk5/clkdiv_inst/CLKOUT
+

Max Frequency Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NO.Clock NameConstraintActual FmaxLogic LevelEntity
1i2s_out_sclk12.288(MHz)83.934(MHz)3TOP
2i2s_in_bclk3.072(MHz)111.130(MHz)7TOP
3i2s_in_sclk12.288(MHz)95.326(MHz)7TOP
4dir_bclk12.288(MHz)125.542(MHz)3TOP
5dir_sclk49.152(MHz)72.257(MHz)5TOP
6main_tx_1_inst/n950.000(MHz)525.802(MHz)1TOP
+

No timing paths to get frequency of audio_clk!

+

No timing paths to get frequency of i2s_out_lrclk!

+

No timing paths to get frequency of dir_lrclk!

+

No timing paths to get frequency of i2s_out_bclk!

+

No timing paths to get frequency of i2s_in_lrclk!

+

No timing paths to get frequency of sys_clk!

+

No timing paths to get frequency of pll/rpll_inst/CLKOUT.default_gen_clk!

+

No timing paths to get frequency of pll/rpll_inst/CLKOUTP.default_gen_clk!

+

No timing paths to get frequency of pll/rpll_inst/CLKOUTD.default_gen_clk!

+

No timing paths to get frequency of pll/rpll_inst/CLKOUTD3.default_gen_clk!

+

No timing paths to get frequency of clk5/clkdiv_inst/CLKOUT.default_gen_clk!

+

Total Negative Slack Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Clock NameAnalysis TypeEndpoints TNSNumber of Endpoints
i2s_out_sclkSetup0.0000
i2s_out_sclkHold0.0000
audio_clkSetup0.0000
audio_clkHold0.0000
i2s_in_bclkSetup0.0000
i2s_in_bclkHold0.0000
i2s_in_sclkSetup0.0000
i2s_in_sclkHold0.0000
i2s_out_lrclkSetup0.0000
i2s_out_lrclkHold0.0000
dir_lrclkSetup0.0000
dir_lrclkHold0.0000
dir_bclkSetup0.0000
dir_bclkHold0.0000
dir_sclkSetup0.0000
dir_sclkHold0.0000
i2s_out_bclkSetup0.0000
i2s_out_bclkHold0.0000
i2s_in_lrclkSetup0.0000
i2s_in_lrclkHold0.0000
sys_clkSetup0.0000
sys_clkHold0.0000
main_tx_1_inst/n9Setup0.0000
main_tx_1_inst/n9Hold0.0000
pll/rpll_inst/CLKOUT.default_gen_clkSetup0.0000
pll/rpll_inst/CLKOUT.default_gen_clkHold0.0000
pll/rpll_inst/CLKOUTP.default_gen_clkSetup0.0000
pll/rpll_inst/CLKOUTP.default_gen_clkHold0.0000
pll/rpll_inst/CLKOUTD.default_gen_clkSetup0.0000
pll/rpll_inst/CLKOUTD.default_gen_clkHold0.0000
pll/rpll_inst/CLKOUTD3.default_gen_clkSetup0.0000
pll/rpll_inst/CLKOUTD3.default_gen_clkHold0.0000
clk5/clkdiv_inst/CLKOUT.default_gen_clkSetup0.0000
clk5/clkdiv_inst/CLKOUT.default_gen_clkHold0.0000
+

Timing Details

+

Path Slacks Table:

+

Setup Paths Table

+

Report Command:report_timing -setup -max_paths 25 -max_common_paths 1

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Path NumberPath SlackFrom NodeTo NodeFrom ClockTo ClockRelationClock SkewData Delay
1-1.200main_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_4_s0/Qmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_4_s0/Di2s_in_sclk:[R]i2s_in_bclk:[R]0.001-1.1201.891
2-1.196main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_0_s0/Qmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_0_s0/Di2s_in_sclk:[R]i2s_in_bclk:[R]0.001-1.1201.887
3-1.196main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_1_s0/Qmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_1_s0/Di2s_in_sclk:[R]i2s_in_bclk:[R]0.001-1.1201.887
4-1.196main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_2_s0/Qmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_2_s0/Di2s_in_sclk:[R]i2s_in_bclk:[R]0.001-1.1201.887
5-1.196main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_3_s0/Qmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_3_s0/Di2s_in_sclk:[R]i2s_in_bclk:[R]0.001-1.1201.887
66.506main_rx_1_inst/transmitter/bit_counter_0_s3/Qmain_rx_1_inst/transmitter/sdout_1_s0/Ddir_sclk:[R]dir_sclk:[R]20.3450.00013.439
78.112main_rx_1_inst/transmitter/bit_counter_0_s3/Qmain_rx_1_inst/transmitter/sdout_2_s0/Ddir_sclk:[R]dir_sclk:[R]20.3450.00011.833
88.486main_rx_1_inst/transmitter/bit_counter_0_s3/Qmain_rx_1_inst/transmitter/sdout_4_s0/Ddir_sclk:[R]dir_sclk:[R]20.3450.00011.459
98.564main_rx_1_inst/transmitter/bit_counter_0_s3/Qmain_rx_1_inst/transmitter/sdout_3_s0/Ddir_sclk:[R]dir_sclk:[R]20.3450.00011.381
1015.181main_rx_1_inst/demuxer/data_ready_s0/Qmain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_10_s0/CEdir_bclk:[R]dir_sclk:[R]20.3450.0835.007
1115.181main_rx_1_inst/demuxer/data_ready_s0/Qmain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_11_s0/CEdir_bclk:[R]dir_sclk:[R]20.3450.0835.007
1215.181main_rx_1_inst/demuxer/data_ready_s0/Qmain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_16_s0/CEdir_bclk:[R]dir_sclk:[R]20.3450.0835.007
1315.181main_rx_1_inst/demuxer/data_ready_s0/Qmain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_17_s0/CEdir_bclk:[R]dir_sclk:[R]20.3450.0835.007
1415.181main_rx_1_inst/demuxer/data_ready_s0/Qmain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_18_s0/CEdir_bclk:[R]dir_sclk:[R]20.3450.0835.007
1515.181main_rx_1_inst/demuxer/data_ready_s0/Qmain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_19_s0/CEdir_bclk:[R]dir_sclk:[R]20.3450.0835.007
1615.181main_rx_1_inst/demuxer/data_ready_s0/Qmain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_20_s0/CEdir_bclk:[R]dir_sclk:[R]20.3450.0835.007
1715.181main_rx_1_inst/demuxer/data_ready_s0/Qmain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_21_s0/CEdir_bclk:[R]dir_sclk:[R]20.3450.0835.007
1815.181main_rx_1_inst/demuxer/data_ready_s0/Qmain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_23_s0/CEdir_bclk:[R]dir_sclk:[R]20.3450.0835.007
1915.181main_rx_1_inst/demuxer/data_ready_s0/Qmain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_29_s0/CEdir_bclk:[R]dir_sclk:[R]20.3450.0835.007
2015.181main_rx_1_inst/demuxer/data_ready_s0/Qmain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_30_s0/CEdir_bclk:[R]dir_sclk:[R]20.3450.0835.007
2115.181main_rx_1_inst/demuxer/data_ready_s0/Qmain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_31_s0/CEdir_bclk:[R]dir_sclk:[R]20.3450.0835.007
2215.181main_rx_1_inst/demuxer/data_ready_s0/Qmain_rx_1_inst/transmitter/sample_ch_4_l_buf_load_10_s0/CEdir_bclk:[R]dir_sclk:[R]20.3450.0835.007
2315.181main_rx_1_inst/demuxer/data_ready_s0/Qmain_rx_1_inst/transmitter/sample_ch_4_l_buf_load_11_s0/CEdir_bclk:[R]dir_sclk:[R]20.3450.0835.007
2415.181main_rx_1_inst/demuxer/data_ready_s0/Qmain_rx_1_inst/transmitter/sample_ch_4_l_buf_load_12_s0/CEdir_bclk:[R]dir_sclk:[R]20.3450.0835.007
2515.181main_rx_1_inst/demuxer/data_ready_s0/Qmain_rx_1_inst/transmitter/sample_ch_4_l_buf_load_13_s0/CEdir_bclk:[R]dir_sclk:[R]20.3450.0835.007
+

Hold Paths Table

+

Report Command:report_timing -hold -max_paths 25 -max_common_paths 1

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Path NumberPath SlackFrom NodeTo NodeFrom ClockTo ClockRelationClock SkewData Delay
1-0.256main_rx_1_inst/transmitter/zbclk_s0/Dmain_rx_1_inst/transmitter/zbclk_s0/Di2s_out_bclk:[R]dir_sclk:[R]0.000-2.0751.850
20.161main_rx_1_inst/clocks/n52_s3/I0main_rx_1_inst/clocks/bclk_s2/Di2s_out_bclk:[R]i2s_out_sclk:[R]0.000-0.1830.374
30.474main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_0_s0/Qmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_0_s0/Di2s_in_sclk:[R]i2s_in_bclk:[R]0.092-0.7841.289
40.474main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_1_s0/Qmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_1_s0/Di2s_in_sclk:[R]i2s_in_bclk:[R]0.092-0.7841.289
50.474main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_2_s0/Qmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_2_s0/Di2s_in_sclk:[R]i2s_in_bclk:[R]0.092-0.7841.289
60.474main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_3_s0/Qmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_3_s0/Di2s_in_sclk:[R]i2s_in_bclk:[R]0.092-0.7841.289
70.475main_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_4_s0/Qmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_4_s0/Di2s_in_sclk:[R]i2s_in_bclk:[R]0.092-0.7841.290
80.557main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Validity_bit_req_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_24_s0/CEi2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0000.570
90.708main_rx_1_inst/clocks/count_i2s_bclk_0_s0/Qmain_rx_1_inst/clocks/count_i2s_bclk_0_s0/Di2s_out_sclk:[R]i2s_out_sclk:[R]0.0000.0000.708
100.708rx_status/led_state_s1/Qrx_status/led_state_s1/Di2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0000.708
110.708rx_status/counter_0_s0/Qrx_status/counter_0_s0/Di2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0000.708
120.708main_tx_1_inst/receiver/bit_cnt_2_s1/Qmain_tx_1_inst/receiver/bit_cnt_2_s1/Di2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0000.708
130.708main_tx_1_inst/clocks/count_i2s_bclk_0_s0/Qmain_tx_1_inst/clocks/count_i2s_bclk_0_s0/Di2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0000.708
140.708main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame_4_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame_4_s0/Di2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0000.708
150.708main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_check_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_check_s0/Di2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0000.708
160.708main_tx_1_inst/channel_status/status_bit_index_6_s0/Qmain_tx_1_inst/channel_status/status_bit_index_6_s0/Di2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0000.708
170.708main_tx_1_inst/channel_status/status_bit_index_10_s0/Qmain_tx_1_inst/channel_status/status_bit_index_10_s0/Di2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0000.708
180.708tx_status/counter_0_s0/Qtx_status/counter_0_s0/Di2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0000.708
190.708main_rx_1_inst/clocks/count_i2s_lrclk_3_s0/Qmain_rx_1_inst/clocks/count_i2s_lrclk_3_s0/Di2s_out_sclk:[F]i2s_out_sclk:[F]0.0000.0000.708
200.708main_rx_1_inst/clocks/count_i2s_lrclk_4_s0/Qmain_rx_1_inst/clocks/count_i2s_lrclk_4_s0/Di2s_out_sclk:[F]i2s_out_sclk:[F]0.0000.0000.708
210.708main_rx_1_inst/clocks/count_i2s_lrclk_31_s0/Qmain_rx_1_inst/clocks/count_i2s_lrclk_31_s0/Di2s_out_sclk:[F]i2s_out_sclk:[F]0.0000.0000.708
220.708main_tx_1_inst/clocks/count_i2s_lrclk_3_s0/Qmain_tx_1_inst/clocks/count_i2s_lrclk_3_s0/Di2s_in_sclk:[F]i2s_in_sclk:[F]0.0000.0000.708
230.708main_tx_1_inst/clocks/count_i2s_lrclk_31_s0/Qmain_tx_1_inst/clocks/count_i2s_lrclk_31_s0/Di2s_in_sclk:[F]i2s_in_sclk:[F]0.0000.0000.708
240.709main_rx_1_inst/transmitter/bclk_neg_edge_s4/Qmain_rx_1_inst/transmitter/bclk_neg_edge_s4/Ddir_sclk:[R]dir_sclk:[R]0.0000.0000.709
250.709main_tx_1_inst/receiver/bit_cnt_3_s3/Qmain_tx_1_inst/receiver/bit_cnt_3_s3/Di2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0000.709
+

Recovery Paths Table

+

Report Command:report_timing -recovery -max_paths 25 -max_common_paths 1

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Path NumberPath SlackFrom NodeTo NodeFrom ClockTo ClockRelationClock SkewData Delay
136.554main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Qmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.mem_Equal.mem_0_0_s/RESETBi2s_in_sclk:[F]i2s_in_sclk:[R]40.6900.0153.916
236.696main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Qmain_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/PRESETi2s_in_sclk:[F]i2s_in_sclk:[R]40.6900.0153.935
336.696main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Qmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq2_wptr_4_s0/CLEARi2s_in_sclk:[F]i2s_in_sclk:[R]40.6900.0153.935
436.696main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Qmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq1_wptr_1_s0/CLEARi2s_in_sclk:[F]i2s_in_sclk:[R]40.6900.0153.935
536.696main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Qmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq1_wptr_3_s0/CLEARi2s_in_sclk:[F]i2s_in_sclk:[R]40.6900.0153.935
636.696main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Qmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq1_wptr_4_s0/CLEARi2s_in_sclk:[F]i2s_in_sclk:[R]40.6900.0153.935
736.696main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Qmain_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_4_s0/CLEARi2s_in_sclk:[F]i2s_in_sclk:[R]40.6900.0153.935
836.706main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Qmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_0_s0/CLEARi2s_in_sclk:[F]i2s_in_sclk:[R]40.6900.0153.926
936.706main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Qmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_2_s0/CLEARi2s_in_sclk:[F]i2s_in_sclk:[R]40.6900.0153.926
1036.706main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Qmain_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_2_s0/CLEARi2s_in_sclk:[F]i2s_in_sclk:[R]40.6900.0153.926
1136.706main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Qmain_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_3_s0/CLEARi2s_in_sclk:[F]i2s_in_sclk:[R]40.6900.0153.926
1236.716main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Qmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_1_s0/CLEARi2s_in_sclk:[F]i2s_in_sclk:[R]40.6900.0153.916
1336.716main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Qmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_3_s0/CLEARi2s_in_sclk:[F]i2s_in_sclk:[R]40.6900.0153.916
1436.716main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Qmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq2_wptr_0_s0/CLEARi2s_in_sclk:[F]i2s_in_sclk:[R]40.6900.0153.916
1536.716main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Qmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq2_wptr_1_s0/CLEARi2s_in_sclk:[F]i2s_in_sclk:[R]40.6900.0153.916
1636.716main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Qmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq2_wptr_2_s0/CLEARi2s_in_sclk:[F]i2s_in_sclk:[R]40.6900.0153.916
1736.716main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Qmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq2_wptr_3_s0/CLEARi2s_in_sclk:[F]i2s_in_sclk:[R]40.6900.0153.916
1836.716main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Qmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq1_wptr_0_s0/CLEARi2s_in_sclk:[F]i2s_in_sclk:[R]40.6900.0153.916
1936.716main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Qmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq1_wptr_2_s0/CLEARi2s_in_sclk:[F]i2s_in_sclk:[R]40.6900.0153.916
2036.716main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Qmain_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_0_s0/CLEARi2s_in_sclk:[F]i2s_in_sclk:[R]40.6900.0153.916
2136.716main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Qmain_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_1_s0/CLEARi2s_in_sclk:[F]i2s_in_sclk:[R]40.6900.0153.916
2275.354main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_0_s2/CLEARi2s_in_sclk:[R]i2s_in_sclk:[R]81.3800.0005.983
2375.354main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_1_s2/CLEARi2s_in_sclk:[R]i2s_in_sclk:[R]81.3800.0005.983
2475.354main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Inv_preamble_s1/CLEARi2s_in_sclk:[R]i2s_in_sclk:[R]81.3800.0005.983
2575.354main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/I_block_flag_reg_2_s0/CLEARi2s_in_sclk:[R]i2s_in_sclk:[R]81.3800.0005.983
+

Removal Paths Table

+

Report Command:report_timing -removal -max_paths 25 -max_common_paths 1

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Path NumberPath SlackFrom NodeTo NodeFrom ClockTo ClockRelationClock SkewData Delay
13.673main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_0_s2/CLEARi2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0003.686
23.673main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_1_s2/CLEARi2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0003.686
33.673main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Inv_preamble_s1/CLEARi2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0003.686
43.673main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/I_block_flag_reg_2_s0/CLEARi2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0003.686
53.673main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_o_0_s0/CLEARi2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0003.686
63.673main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_o_1_s0/CLEARi2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0003.686
73.673main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode_0_s0/CLEARi2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0003.686
83.673main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode_1_s0/CLEARi2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0003.686
93.673main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_0_s0/CLEARi2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0003.686
103.673main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_1_s0/CLEARi2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0003.686
113.673main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_2_s0/CLEARi2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0003.686
123.673main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_3_s0/CLEARi2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0003.686
133.673main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_4_s0/CLEARi2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0003.686
143.673main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/I_sub_frame_flag_reg_0_s0/CLEARi2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0003.686
153.673main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/I_sub_frame_flag_reg_1_s0/CLEARi2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0003.686
163.673main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/I_block_flag_reg_1_s0/CLEARi2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0003.686
173.673main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame_4_s0/CLEARi2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0003.686
183.673main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/O_spdif_tx_d_s0/CLEARi2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0003.686
193.673main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_26_s0/CLEARi2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0003.686
203.673main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_25_s0/CLEARi2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0003.686
213.673main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_24_s0/CLEARi2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0003.686
223.673main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_0_s0/CLEARi2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0003.686
233.673main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_1_s0/CLEARi2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0003.686
243.673main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_2_s0/CLEARi2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0003.686
253.673main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Qmain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_3_s0/CLEARi2s_in_sclk:[R]i2s_in_sclk:[R]0.0000.0003.686
+

Minimum Pulse Width Table:

+ + + + + + + + + + +

Report Command:report_min_pulse_width -nworst 10 -detail

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NumberSlackActual WidthRequired WidthTypeClockObjects
17.6088.8581.250Low Pulse Widthdir_sclkmain_rx_1_inst/transmitter/zzbclk_s0
27.6088.8581.250Low Pulse Widthdir_sclkmain_rx_1_inst/transmitter/bclk_pos_edge_s0
37.6088.8581.250Low Pulse Widthdir_sclkmain_rx_1_inst/transmitter/lrclk_edge_s0
47.6088.8581.250Low Pulse Widthdir_sclkmain_rx_1_inst/transmitter/sample_ch_1_l_buf_load_24_s0
57.6088.8581.250Low Pulse Widthdir_sclkmain_rx_1_inst/transmitter/sample_ch_1_r_buf_load_30_s0
67.6088.8581.250Low Pulse Widthdir_sclkmain_rx_1_inst/transmitter/sample_ch_2_l_buf_load_20_s0
77.6088.8581.250Low Pulse Widthdir_sclkmain_rx_1_inst/transmitter/sample_ch_3_r_buf_load_22_s0
87.6088.8581.250Low Pulse Widthdir_sclkmain_rx_1_inst/transmitter/sample_ch_2_r_buf_play_26_s0
97.6088.8581.250Low Pulse Widthdir_sclkmain_rx_1_inst/transmitter/sample_ch_2_r_buf_play_27_s0
107.6088.8581.250Low Pulse Widthdir_sclkmain_rx_1_inst/transmitter/sample_ch_3_r_buf_load_23_s0
+

Timing Report By Analysis Type:

+

Setup Analysis Report

+

Report Command:report_timing -setup -max_paths 25 -max_common_paths 1

+

Path1

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack-1.200
Data Arrival Time327.653
Data Required Time326.453
Frommain_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_4_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_4_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_bclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
325.520325.520active clock edge time
325.5200.000i2s_in_sclk
325.5200.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
325.7620.242tNETRR1R8C21[1][B]main_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_4_s0/CLK
326.2200.458tC2QRF3R8C21[1][B]main_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_4_s0/Q
327.6531.433tNETFF1R9C20[1][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_4_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
325.521325.521active clock edge time
325.5210.000i2s_in_bclk
325.5210.000tCLRR25R12C10[1][A]main_tx_1_inst/clocks/bclk_s1/Q
326.8831.362tNETRR1R9C20[1][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_4_s0/CLK
326.853-0.030tUncmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_4_s0
326.453-0.400tSu1R9C20[1][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_4_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew1.120
Setup Relationship 0.001
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 1.433, 75.767%; tC2Q: 0.458, 24.233%
Required Clock Path Delaycell: 0.000, 0.000%; route: 1.362, 100.000%
+

Path2

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack-1.196
Data Arrival Time327.649
Data Required Time326.453
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_0_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_0_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_bclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
325.520325.520active clock edge time
325.5200.000i2s_in_sclk
325.5200.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
325.7620.242tNETRR1R9C23[0][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_0_s0/CLK
326.2200.458tC2QRF1R9C23[0][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_0_s0/Q
327.6491.429tNETFF1R12C23[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_0_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
325.521325.521active clock edge time
325.5210.000i2s_in_bclk
325.5210.000tCLRR25R12C10[1][A]main_tx_1_inst/clocks/bclk_s1/Q
326.8831.362tNETRR1R12C23[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_0_s0/CLK
326.853-0.030tUncmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_0_s0
326.453-0.400tSu1R12C23[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_0_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew1.120
Setup Relationship 0.001
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 1.429, 75.715%; tC2Q: 0.458, 24.285%
Required Clock Path Delaycell: 0.000, 0.000%; route: 1.362, 100.000%
+

Path3

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack-1.196
Data Arrival Time327.649
Data Required Time326.453
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_1_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_1_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_bclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
325.520325.520active clock edge time
325.5200.000i2s_in_sclk
325.5200.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
325.7620.242tNETRR1R11C20[2][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_1_s0/CLK
326.2200.458tC2QRF1R11C20[2][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_1_s0/Q
327.6491.429tNETFF1R12C21[1][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_1_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
325.521325.521active clock edge time
325.5210.000i2s_in_bclk
325.5210.000tCLRR25R12C10[1][A]main_tx_1_inst/clocks/bclk_s1/Q
326.8831.362tNETRR1R12C21[1][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_1_s0/CLK
326.853-0.030tUncmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_1_s0
326.453-0.400tSu1R12C21[1][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_1_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew1.120
Setup Relationship 0.001
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 1.429, 75.715%; tC2Q: 0.458, 24.285%
Required Clock Path Delaycell: 0.000, 0.000%; route: 1.362, 100.000%
+

Path4

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack-1.196
Data Arrival Time327.649
Data Required Time326.453
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_2_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_2_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_bclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
325.520325.520active clock edge time
325.5200.000i2s_in_sclk
325.5200.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
325.7620.242tNETRR1R9C23[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_2_s0/CLK
326.2200.458tC2QRF1R9C23[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_2_s0/Q
327.6491.429tNETFF1R12C23[1][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_2_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
325.521325.521active clock edge time
325.5210.000i2s_in_bclk
325.5210.000tCLRR25R12C10[1][A]main_tx_1_inst/clocks/bclk_s1/Q
326.8831.362tNETRR1R12C23[1][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_2_s0/CLK
326.853-0.030tUncmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_2_s0
326.453-0.400tSu1R12C23[1][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_2_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew1.120
Setup Relationship 0.001
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 1.429, 75.715%; tC2Q: 0.458, 24.285%
Required Clock Path Delaycell: 0.000, 0.000%; route: 1.362, 100.000%
+

Path5

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack-1.196
Data Arrival Time327.649
Data Required Time326.453
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_3_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_3_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_bclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
325.520325.520active clock edge time
325.5200.000i2s_in_sclk
325.5200.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
325.7620.242tNETRR1R11C20[2][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_3_s0/CLK
326.2200.458tC2QRF1R11C20[2][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_3_s0/Q
327.6491.429tNETFF1R12C21[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_3_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
325.521325.521active clock edge time
325.5210.000i2s_in_bclk
325.5210.000tCLRR25R12C10[1][A]main_tx_1_inst/clocks/bclk_s1/Q
326.8831.362tNETRR1R12C21[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_3_s0/CLK
326.853-0.030tUncmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_3_s0
326.453-0.400tSu1R12C21[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_3_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew1.120
Setup Relationship 0.001
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 1.429, 75.715%; tC2Q: 0.458, 24.285%
Required Clock Path Delaycell: 0.000, 0.000%; route: 1.362, 100.000%
+

Path6

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack6.506
Data Arrival Time16.473
Data Required Time22.978
Frommain_rx_1_inst/transmitter/bit_counter_0_s3
Tomain_rx_1_inst/transmitter/sdout_1_s0
Launch Clkdir_sclk:[R]
Latch Clkdir_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000dir_sclk
0.0000.000tCLRR1IOB31[B]dir_sclk_ibuf/I
2.0882.088tINSRR371IOB31[B]dir_sclk_ibuf/O
3.0330.945tNETRR1R8C27[1][B]main_rx_1_inst/transmitter/bit_counter_0_s3/CLK
3.4920.458tC2QRF93R8C27[1][B]main_rx_1_inst/transmitter/bit_counter_0_s3/Q
7.0023.510tNETFF1R9C34[0][B]main_rx_1_inst/transmitter/n1630_s87/I2
8.0341.032tINSFF1R9C34[0][B]main_rx_1_inst/transmitter/n1630_s87/F
8.0340.000tNETFF1R9C34[0][A]main_rx_1_inst/transmitter/n1630_s73/I1
8.1830.149tINSFF1R9C34[0][A]main_rx_1_inst/transmitter/n1630_s73/O
8.1830.000tNETFF1R9C34[0][B]main_rx_1_inst/transmitter/n1630_s65/I1
8.3460.163tINSFF1R9C34[0][B]main_rx_1_inst/transmitter/n1630_s65/O
8.3460.000tNETFF1R9C34[1][B]main_rx_1_inst/transmitter/n1630_s62/I1
8.5090.163tINSFF1R9C34[1][B]main_rx_1_inst/transmitter/n1630_s62/O
9.9721.463tNETFF1R9C28[3][A]main_rx_1_inst/transmitter/n1649_s3/I0
11.0711.099tINSFF1R9C28[3][A]main_rx_1_inst/transmitter/n1649_s3/F
11.8760.804tNETFF1R9C26[3][A]main_rx_1_inst/transmitter/n1649_s0/I2
12.9081.032tINSFF1R9C26[3][A]main_rx_1_inst/transmitter/n1649_s0/F
16.4733.565tNETFF1IOB13[A]main_rx_1_inst/transmitter/sdout_1_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
20.34520.345active clock edge time
20.3450.000dir_sclk
20.3450.000tCLRR1IOB31[B]dir_sclk_ibuf/I
22.4332.088tINSRR371IOB31[B]dir_sclk_ibuf/O
23.3780.945tNETRR1IOB13[A]main_rx_1_inst/transmitter/sdout_1_s0/CLK
22.978-0.400tSu1IOB13[A]main_rx_1_inst/transmitter/sdout_1_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 20.345
Logic Level5
Arrival Clock Path Delaycell: 2.088, 68.832%; route: 0.945, 31.168%
Arrival Data Path Delaycell: 3.638, 27.070%; route: 9.343, 69.520%; tC2Q: 0.458, 3.410%
Required Clock Path Delaycell: 2.088, 68.832%; route: 0.945, 31.168%
+

Path7

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack8.112
Data Arrival Time14.866
Data Required Time22.978
Frommain_rx_1_inst/transmitter/bit_counter_0_s3
Tomain_rx_1_inst/transmitter/sdout_2_s0
Launch Clkdir_sclk:[R]
Latch Clkdir_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000dir_sclk
0.0000.000tCLRR1IOB31[B]dir_sclk_ibuf/I
2.0882.088tINSRR371IOB31[B]dir_sclk_ibuf/O
3.0330.945tNETRR1R8C27[1][B]main_rx_1_inst/transmitter/bit_counter_0_s3/CLK
3.4920.458tC2QRF93R8C27[1][B]main_rx_1_inst/transmitter/bit_counter_0_s3/Q
6.0332.541tNETFF1R9C32[0][B]main_rx_1_inst/transmitter/n1636_s77/I2
7.0651.032tINSFF1R9C32[0][B]main_rx_1_inst/transmitter/n1636_s77/F
7.0650.000tNETFF1R9C32[0][A]main_rx_1_inst/transmitter/n1636_s69/I1
7.2140.149tINSFF1R9C32[0][A]main_rx_1_inst/transmitter/n1636_s69/O
7.2140.000tNETFF1R9C32[0][B]main_rx_1_inst/transmitter/n1636_s67/I1
7.3770.163tINSFF1R9C32[0][B]main_rx_1_inst/transmitter/n1636_s67/O
7.3770.000tNETFF1R9C32[1][B]main_rx_1_inst/transmitter/n1636_s63/I1
7.5400.163tINSFF1R9C32[1][B]main_rx_1_inst/transmitter/n1636_s63/O
9.1731.633tNETFF1R15C30[2][B]main_rx_1_inst/transmitter/n1650_s3/I1
9.7990.626tINSFF1R15C30[2][B]main_rx_1_inst/transmitter/n1650_s3/F
10.6040.804tNETFF1R16C28[3][B]main_rx_1_inst/transmitter/n1650_s0/I2
11.6361.032tINSFF1R16C28[3][B]main_rx_1_inst/transmitter/n1650_s0/F
14.8663.231tNETFF1IOB13[B]main_rx_1_inst/transmitter/sdout_2_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
20.34520.345active clock edge time
20.3450.000dir_sclk
20.3450.000tCLRR1IOB31[B]dir_sclk_ibuf/I
22.4332.088tINSRR371IOB31[B]dir_sclk_ibuf/O
23.3780.945tNETRR1IOB13[B]main_rx_1_inst/transmitter/sdout_2_s0/CLK
22.978-0.400tSu1IOB13[B]main_rx_1_inst/transmitter/sdout_2_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 20.345
Logic Level5
Arrival Clock Path Delaycell: 2.088, 68.832%; route: 0.945, 31.168%
Arrival Data Path Delaycell: 3.165, 26.748%; route: 8.209, 69.379%; tC2Q: 0.458, 3.873%
Required Clock Path Delaycell: 2.088, 68.832%; route: 0.945, 31.168%
+

Path8

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack8.486
Data Arrival Time14.493
Data Required Time22.978
Frommain_rx_1_inst/transmitter/bit_counter_0_s3
Tomain_rx_1_inst/transmitter/sdout_4_s0
Launch Clkdir_sclk:[R]
Latch Clkdir_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000dir_sclk
0.0000.000tCLRR1IOB31[B]dir_sclk_ibuf/I
2.0882.088tINSRR371IOB31[B]dir_sclk_ibuf/O
3.0330.945tNETRR1R8C27[1][B]main_rx_1_inst/transmitter/bit_counter_0_s3/CLK
3.4920.458tC2QRF93R8C27[1][B]main_rx_1_inst/transmitter/bit_counter_0_s3/Q
6.9433.451tNETFF1R14C36[3][B]main_rx_1_inst/transmitter/n1648_s85/I2
7.9751.032tINSFF1R14C36[3][B]main_rx_1_inst/transmitter/n1648_s85/F
7.9750.000tNETFF1R14C36[3][A]main_rx_1_inst/transmitter/n1648_s55/I1
8.1240.149tINSFF1R14C36[3][A]main_rx_1_inst/transmitter/n1648_s55/O
10.7272.602tNETFF1R11C24[2][B]main_rx_1_inst/transmitter/n1652_s1/I0
11.3530.626tINSFF1R11C24[2][B]main_rx_1_inst/transmitter/n1652_s1/F
11.3580.005tNETFF1R11C24[1][A]main_rx_1_inst/transmitter/n1652_s0/I0
12.3901.032tINSFF1R11C24[1][A]main_rx_1_inst/transmitter/n1652_s0/F
14.4932.103tNETFF1IOB23[B]main_rx_1_inst/transmitter/sdout_4_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
20.34520.345active clock edge time
20.3450.000dir_sclk
20.3450.000tCLRR1IOB31[B]dir_sclk_ibuf/I
22.4332.088tINSRR371IOB31[B]dir_sclk_ibuf/O
23.3780.945tNETRR1IOB23[B]main_rx_1_inst/transmitter/sdout_4_s0/CLK
22.978-0.400tSu1IOB23[B]main_rx_1_inst/transmitter/sdout_4_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 20.345
Logic Level4
Arrival Clock Path Delaycell: 2.088, 68.832%; route: 0.945, 31.168%
Arrival Data Path Delaycell: 2.839, 24.775%; route: 8.162, 71.226%; tC2Q: 0.458, 4.000%
Required Clock Path Delaycell: 2.088, 68.832%; route: 0.945, 31.168%
+

Path9

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack8.564
Data Arrival Time14.414
Data Required Time22.978
Frommain_rx_1_inst/transmitter/bit_counter_0_s3
Tomain_rx_1_inst/transmitter/sdout_3_s0
Launch Clkdir_sclk:[R]
Latch Clkdir_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000dir_sclk
0.0000.000tCLRR1IOB31[B]dir_sclk_ibuf/I
2.0882.088tINSRR371IOB31[B]dir_sclk_ibuf/O
3.0330.945tNETRR1R8C27[1][B]main_rx_1_inst/transmitter/bit_counter_0_s3/CLK
3.4920.458tC2QRF93R8C27[1][B]main_rx_1_inst/transmitter/bit_counter_0_s3/Q
6.9433.451tNETFF1R14C37[0][B]main_rx_1_inst/transmitter/n1642_s85/I2
7.9751.032tINSFF1R14C37[0][B]main_rx_1_inst/transmitter/n1642_s85/F
7.9750.000tNETFF1R14C37[0][A]main_rx_1_inst/transmitter/n1642_s55/I1
8.1240.149tINSFF1R14C37[0][A]main_rx_1_inst/transmitter/n1642_s55/O
10.2422.118tNETFF1R16C25[3][B]main_rx_1_inst/transmitter/n1651_s1/I0
11.2741.032tINSFF1R16C25[3][B]main_rx_1_inst/transmitter/n1651_s1/F
11.2800.005tNETFF1R16C25[2][A]main_rx_1_inst/transmitter/n1651_s0/I0
12.3121.032tINSFF1R16C25[2][A]main_rx_1_inst/transmitter/n1651_s0/F
14.4142.103tNETFF1IOB23[A]main_rx_1_inst/transmitter/sdout_3_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
20.34520.345active clock edge time
20.3450.000dir_sclk
20.3450.000tCLRR1IOB31[B]dir_sclk_ibuf/I
22.4332.088tINSRR371IOB31[B]dir_sclk_ibuf/O
23.3780.945tNETRR1IOB23[A]main_rx_1_inst/transmitter/sdout_3_s0/CLK
22.978-0.400tSu1IOB23[A]main_rx_1_inst/transmitter/sdout_3_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 20.345
Logic Level4
Arrival Clock Path Delaycell: 2.088, 68.832%; route: 0.945, 31.168%
Arrival Data Path Delaycell: 3.245, 28.513%; route: 7.677, 67.460%; tC2Q: 0.458, 4.027%
Required Clock Path Delaycell: 2.088, 68.832%; route: 0.945, 31.168%
+

Path10

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack15.181
Data Arrival Time8.124
Data Required Time23.305
Frommain_rx_1_inst/demuxer/data_ready_s0
Tomain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_10_s0
Launch Clkdir_bclk:[R]
Latch Clkdir_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000dir_bclk
0.0000.000tCLRR1IOB31[A]dir_bclk_ibuf/I
2.0882.088tINSRR234IOB31[A]dir_bclk_ibuf/O
3.1161.028tNETRR1R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/CLK
3.5750.458tC2QRF2R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/Q
4.5460.972tNETFF1R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/I1
5.1710.625tINSFR176R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/F
8.1242.952tNETRR1R13C24[2][A]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_10_s0/CE
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
20.34520.345active clock edge time
20.3450.000dir_sclk
20.3450.000tCLRR1IOB31[B]dir_sclk_ibuf/I
22.4332.088tINSRR371IOB31[B]dir_sclk_ibuf/O
23.3780.945tNETRR1R13C24[2][A]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_10_s0/CLK
23.348-0.030tUncmain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_10_s0
23.305-0.043tSu1R13C24[2][A]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_10_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.083
Setup Relationship 20.345
Logic Level2
Arrival Clock Path Delaycell: 2.088, 66.999%; route: 1.028, 33.001%
Arrival Data Path Delaycell: 0.625, 12.482%; route: 3.924, 78.365%; tC2Q: 0.458, 9.153%
Required Clock Path Delaycell: 2.088, 68.832%; route: 0.945, 31.168%
+

Path11

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack15.181
Data Arrival Time8.124
Data Required Time23.305
Frommain_rx_1_inst/demuxer/data_ready_s0
Tomain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_11_s0
Launch Clkdir_bclk:[R]
Latch Clkdir_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000dir_bclk
0.0000.000tCLRR1IOB31[A]dir_bclk_ibuf/I
2.0882.088tINSRR234IOB31[A]dir_bclk_ibuf/O
3.1161.028tNETRR1R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/CLK
3.5750.458tC2QRF2R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/Q
4.5460.972tNETFF1R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/I1
5.1710.625tINSFR176R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/F
8.1242.952tNETRR1R13C24[1][B]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_11_s0/CE
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
20.34520.345active clock edge time
20.3450.000dir_sclk
20.3450.000tCLRR1IOB31[B]dir_sclk_ibuf/I
22.4332.088tINSRR371IOB31[B]dir_sclk_ibuf/O
23.3780.945tNETRR1R13C24[1][B]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_11_s0/CLK
23.348-0.030tUncmain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_11_s0
23.305-0.043tSu1R13C24[1][B]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_11_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.083
Setup Relationship 20.345
Logic Level2
Arrival Clock Path Delaycell: 2.088, 66.999%; route: 1.028, 33.001%
Arrival Data Path Delaycell: 0.625, 12.482%; route: 3.924, 78.365%; tC2Q: 0.458, 9.153%
Required Clock Path Delaycell: 2.088, 68.832%; route: 0.945, 31.168%
+

Path12

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack15.181
Data Arrival Time8.124
Data Required Time23.305
Frommain_rx_1_inst/demuxer/data_ready_s0
Tomain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_16_s0
Launch Clkdir_bclk:[R]
Latch Clkdir_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000dir_bclk
0.0000.000tCLRR1IOB31[A]dir_bclk_ibuf/I
2.0882.088tINSRR234IOB31[A]dir_bclk_ibuf/O
3.1161.028tNETRR1R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/CLK
3.5750.458tC2QRF2R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/Q
4.5460.972tNETFF1R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/I1
5.1710.625tINSFR176R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/F
8.1242.952tNETRR1R12C32[2][A]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_16_s0/CE
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
20.34520.345active clock edge time
20.3450.000dir_sclk
20.3450.000tCLRR1IOB31[B]dir_sclk_ibuf/I
22.4332.088tINSRR371IOB31[B]dir_sclk_ibuf/O
23.3780.945tNETRR1R12C32[2][A]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_16_s0/CLK
23.348-0.030tUncmain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_16_s0
23.305-0.043tSu1R12C32[2][A]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_16_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.083
Setup Relationship 20.345
Logic Level2
Arrival Clock Path Delaycell: 2.088, 66.999%; route: 1.028, 33.001%
Arrival Data Path Delaycell: 0.625, 12.482%; route: 3.924, 78.365%; tC2Q: 0.458, 9.153%
Required Clock Path Delaycell: 2.088, 68.832%; route: 0.945, 31.168%
+

Path13

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack15.181
Data Arrival Time8.124
Data Required Time23.305
Frommain_rx_1_inst/demuxer/data_ready_s0
Tomain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_17_s0
Launch Clkdir_bclk:[R]
Latch Clkdir_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000dir_bclk
0.0000.000tCLRR1IOB31[A]dir_bclk_ibuf/I
2.0882.088tINSRR234IOB31[A]dir_bclk_ibuf/O
3.1161.028tNETRR1R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/CLK
3.5750.458tC2QRF2R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/Q
4.5460.972tNETFF1R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/I1
5.1710.625tINSFR176R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/F
8.1242.952tNETRR1R12C32[1][B]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_17_s0/CE
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
20.34520.345active clock edge time
20.3450.000dir_sclk
20.3450.000tCLRR1IOB31[B]dir_sclk_ibuf/I
22.4332.088tINSRR371IOB31[B]dir_sclk_ibuf/O
23.3780.945tNETRR1R12C32[1][B]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_17_s0/CLK
23.348-0.030tUncmain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_17_s0
23.305-0.043tSu1R12C32[1][B]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_17_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.083
Setup Relationship 20.345
Logic Level2
Arrival Clock Path Delaycell: 2.088, 66.999%; route: 1.028, 33.001%
Arrival Data Path Delaycell: 0.625, 12.482%; route: 3.924, 78.365%; tC2Q: 0.458, 9.153%
Required Clock Path Delaycell: 2.088, 68.832%; route: 0.945, 31.168%
+

Path14

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack15.181
Data Arrival Time8.124
Data Required Time23.305
Frommain_rx_1_inst/demuxer/data_ready_s0
Tomain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_18_s0
Launch Clkdir_bclk:[R]
Latch Clkdir_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000dir_bclk
0.0000.000tCLRR1IOB31[A]dir_bclk_ibuf/I
2.0882.088tINSRR234IOB31[A]dir_bclk_ibuf/O
3.1161.028tNETRR1R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/CLK
3.5750.458tC2QRF2R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/Q
4.5460.972tNETFF1R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/I1
5.1710.625tINSFR176R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/F
8.1242.952tNETRR1R12C32[1][A]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_18_s0/CE
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
20.34520.345active clock edge time
20.3450.000dir_sclk
20.3450.000tCLRR1IOB31[B]dir_sclk_ibuf/I
22.4332.088tINSRR371IOB31[B]dir_sclk_ibuf/O
23.3780.945tNETRR1R12C32[1][A]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_18_s0/CLK
23.348-0.030tUncmain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_18_s0
23.305-0.043tSu1R12C32[1][A]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_18_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.083
Setup Relationship 20.345
Logic Level2
Arrival Clock Path Delaycell: 2.088, 66.999%; route: 1.028, 33.001%
Arrival Data Path Delaycell: 0.625, 12.482%; route: 3.924, 78.365%; tC2Q: 0.458, 9.153%
Required Clock Path Delaycell: 2.088, 68.832%; route: 0.945, 31.168%
+

Path15

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack15.181
Data Arrival Time8.124
Data Required Time23.305
Frommain_rx_1_inst/demuxer/data_ready_s0
Tomain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_19_s0
Launch Clkdir_bclk:[R]
Latch Clkdir_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000dir_bclk
0.0000.000tCLRR1IOB31[A]dir_bclk_ibuf/I
2.0882.088tINSRR234IOB31[A]dir_bclk_ibuf/O
3.1161.028tNETRR1R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/CLK
3.5750.458tC2QRF2R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/Q
4.5460.972tNETFF1R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/I1
5.1710.625tINSFR176R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/F
8.1242.952tNETRR1R12C32[0][B]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_19_s0/CE
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
20.34520.345active clock edge time
20.3450.000dir_sclk
20.3450.000tCLRR1IOB31[B]dir_sclk_ibuf/I
22.4332.088tINSRR371IOB31[B]dir_sclk_ibuf/O
23.3780.945tNETRR1R12C32[0][B]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_19_s0/CLK
23.348-0.030tUncmain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_19_s0
23.305-0.043tSu1R12C32[0][B]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_19_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.083
Setup Relationship 20.345
Logic Level2
Arrival Clock Path Delaycell: 2.088, 66.999%; route: 1.028, 33.001%
Arrival Data Path Delaycell: 0.625, 12.482%; route: 3.924, 78.365%; tC2Q: 0.458, 9.153%
Required Clock Path Delaycell: 2.088, 68.832%; route: 0.945, 31.168%
+

Path16

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack15.181
Data Arrival Time8.124
Data Required Time23.305
Frommain_rx_1_inst/demuxer/data_ready_s0
Tomain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_20_s0
Launch Clkdir_bclk:[R]
Latch Clkdir_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000dir_bclk
0.0000.000tCLRR1IOB31[A]dir_bclk_ibuf/I
2.0882.088tINSRR234IOB31[A]dir_bclk_ibuf/O
3.1161.028tNETRR1R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/CLK
3.5750.458tC2QRF2R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/Q
4.5460.972tNETFF1R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/I1
5.1710.625tINSFR176R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/F
8.1242.952tNETRR1R13C24[2][B]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_20_s0/CE
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
20.34520.345active clock edge time
20.3450.000dir_sclk
20.3450.000tCLRR1IOB31[B]dir_sclk_ibuf/I
22.4332.088tINSRR371IOB31[B]dir_sclk_ibuf/O
23.3780.945tNETRR1R13C24[2][B]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_20_s0/CLK
23.348-0.030tUncmain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_20_s0
23.305-0.043tSu1R13C24[2][B]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_20_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.083
Setup Relationship 20.345
Logic Level2
Arrival Clock Path Delaycell: 2.088, 66.999%; route: 1.028, 33.001%
Arrival Data Path Delaycell: 0.625, 12.482%; route: 3.924, 78.365%; tC2Q: 0.458, 9.153%
Required Clock Path Delaycell: 2.088, 68.832%; route: 0.945, 31.168%
+

Path17

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack15.181
Data Arrival Time8.124
Data Required Time23.305
Frommain_rx_1_inst/demuxer/data_ready_s0
Tomain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_21_s0
Launch Clkdir_bclk:[R]
Latch Clkdir_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000dir_bclk
0.0000.000tCLRR1IOB31[A]dir_bclk_ibuf/I
2.0882.088tINSRR234IOB31[A]dir_bclk_ibuf/O
3.1161.028tNETRR1R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/CLK
3.5750.458tC2QRF2R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/Q
4.5460.972tNETFF1R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/I1
5.1710.625tINSFR176R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/F
8.1242.952tNETRR1R12C30[0][A]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_21_s0/CE
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
20.34520.345active clock edge time
20.3450.000dir_sclk
20.3450.000tCLRR1IOB31[B]dir_sclk_ibuf/I
22.4332.088tINSRR371IOB31[B]dir_sclk_ibuf/O
23.3780.945tNETRR1R12C30[0][A]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_21_s0/CLK
23.348-0.030tUncmain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_21_s0
23.305-0.043tSu1R12C30[0][A]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_21_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.083
Setup Relationship 20.345
Logic Level2
Arrival Clock Path Delaycell: 2.088, 66.999%; route: 1.028, 33.001%
Arrival Data Path Delaycell: 0.625, 12.482%; route: 3.924, 78.365%; tC2Q: 0.458, 9.153%
Required Clock Path Delaycell: 2.088, 68.832%; route: 0.945, 31.168%
+

Path18

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack15.181
Data Arrival Time8.124
Data Required Time23.305
Frommain_rx_1_inst/demuxer/data_ready_s0
Tomain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_23_s0
Launch Clkdir_bclk:[R]
Latch Clkdir_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000dir_bclk
0.0000.000tCLRR1IOB31[A]dir_bclk_ibuf/I
2.0882.088tINSRR234IOB31[A]dir_bclk_ibuf/O
3.1161.028tNETRR1R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/CLK
3.5750.458tC2QRF2R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/Q
4.5460.972tNETFF1R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/I1
5.1710.625tINSFR176R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/F
8.1242.952tNETRR1R12C30[0][B]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_23_s0/CE
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
20.34520.345active clock edge time
20.3450.000dir_sclk
20.3450.000tCLRR1IOB31[B]dir_sclk_ibuf/I
22.4332.088tINSRR371IOB31[B]dir_sclk_ibuf/O
23.3780.945tNETRR1R12C30[0][B]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_23_s0/CLK
23.348-0.030tUncmain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_23_s0
23.305-0.043tSu1R12C30[0][B]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_23_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.083
Setup Relationship 20.345
Logic Level2
Arrival Clock Path Delaycell: 2.088, 66.999%; route: 1.028, 33.001%
Arrival Data Path Delaycell: 0.625, 12.482%; route: 3.924, 78.365%; tC2Q: 0.458, 9.153%
Required Clock Path Delaycell: 2.088, 68.832%; route: 0.945, 31.168%
+

Path19

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack15.181
Data Arrival Time8.124
Data Required Time23.305
Frommain_rx_1_inst/demuxer/data_ready_s0
Tomain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_29_s0
Launch Clkdir_bclk:[R]
Latch Clkdir_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000dir_bclk
0.0000.000tCLRR1IOB31[A]dir_bclk_ibuf/I
2.0882.088tINSRR234IOB31[A]dir_bclk_ibuf/O
3.1161.028tNETRR1R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/CLK
3.5750.458tC2QRF2R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/Q
4.5460.972tNETFF1R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/I1
5.1710.625tINSFR176R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/F
8.1242.952tNETRR1R13C24[0][A]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_29_s0/CE
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
20.34520.345active clock edge time
20.3450.000dir_sclk
20.3450.000tCLRR1IOB31[B]dir_sclk_ibuf/I
22.4332.088tINSRR371IOB31[B]dir_sclk_ibuf/O
23.3780.945tNETRR1R13C24[0][A]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_29_s0/CLK
23.348-0.030tUncmain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_29_s0
23.305-0.043tSu1R13C24[0][A]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_29_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.083
Setup Relationship 20.345
Logic Level2
Arrival Clock Path Delaycell: 2.088, 66.999%; route: 1.028, 33.001%
Arrival Data Path Delaycell: 0.625, 12.482%; route: 3.924, 78.365%; tC2Q: 0.458, 9.153%
Required Clock Path Delaycell: 2.088, 68.832%; route: 0.945, 31.168%
+

Path20

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack15.181
Data Arrival Time8.124
Data Required Time23.305
Frommain_rx_1_inst/demuxer/data_ready_s0
Tomain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_30_s0
Launch Clkdir_bclk:[R]
Latch Clkdir_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000dir_bclk
0.0000.000tCLRR1IOB31[A]dir_bclk_ibuf/I
2.0882.088tINSRR234IOB31[A]dir_bclk_ibuf/O
3.1161.028tNETRR1R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/CLK
3.5750.458tC2QRF2R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/Q
4.5460.972tNETFF1R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/I1
5.1710.625tINSFR176R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/F
8.1242.952tNETRR1R13C24[0][B]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_30_s0/CE
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
20.34520.345active clock edge time
20.3450.000dir_sclk
20.3450.000tCLRR1IOB31[B]dir_sclk_ibuf/I
22.4332.088tINSRR371IOB31[B]dir_sclk_ibuf/O
23.3780.945tNETRR1R13C24[0][B]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_30_s0/CLK
23.348-0.030tUncmain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_30_s0
23.305-0.043tSu1R13C24[0][B]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_30_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.083
Setup Relationship 20.345
Logic Level2
Arrival Clock Path Delaycell: 2.088, 66.999%; route: 1.028, 33.001%
Arrival Data Path Delaycell: 0.625, 12.482%; route: 3.924, 78.365%; tC2Q: 0.458, 9.153%
Required Clock Path Delaycell: 2.088, 68.832%; route: 0.945, 31.168%
+

Path21

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack15.181
Data Arrival Time8.124
Data Required Time23.305
Frommain_rx_1_inst/demuxer/data_ready_s0
Tomain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_31_s0
Launch Clkdir_bclk:[R]
Latch Clkdir_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000dir_bclk
0.0000.000tCLRR1IOB31[A]dir_bclk_ibuf/I
2.0882.088tINSRR234IOB31[A]dir_bclk_ibuf/O
3.1161.028tNETRR1R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/CLK
3.5750.458tC2QRF2R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/Q
4.5460.972tNETFF1R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/I1
5.1710.625tINSFR176R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/F
8.1242.952tNETRR1R13C24[1][A]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_31_s0/CE
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
20.34520.345active clock edge time
20.3450.000dir_sclk
20.3450.000tCLRR1IOB31[B]dir_sclk_ibuf/I
22.4332.088tINSRR371IOB31[B]dir_sclk_ibuf/O
23.3780.945tNETRR1R13C24[1][A]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_31_s0/CLK
23.348-0.030tUncmain_rx_1_inst/transmitter/sample_ch_4_r_buf_load_31_s0
23.305-0.043tSu1R13C24[1][A]main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_31_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.083
Setup Relationship 20.345
Logic Level2
Arrival Clock Path Delaycell: 2.088, 66.999%; route: 1.028, 33.001%
Arrival Data Path Delaycell: 0.625, 12.482%; route: 3.924, 78.365%; tC2Q: 0.458, 9.153%
Required Clock Path Delaycell: 2.088, 68.832%; route: 0.945, 31.168%
+

Path22

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack15.181
Data Arrival Time8.124
Data Required Time23.305
Frommain_rx_1_inst/demuxer/data_ready_s0
Tomain_rx_1_inst/transmitter/sample_ch_4_l_buf_load_10_s0
Launch Clkdir_bclk:[R]
Latch Clkdir_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000dir_bclk
0.0000.000tCLRR1IOB31[A]dir_bclk_ibuf/I
2.0882.088tINSRR234IOB31[A]dir_bclk_ibuf/O
3.1161.028tNETRR1R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/CLK
3.5750.458tC2QRF2R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/Q
4.5460.972tNETFF1R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/I1
5.1710.625tINSFR176R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/F
8.1242.952tNETRR1R13C25[0][B]main_rx_1_inst/transmitter/sample_ch_4_l_buf_load_10_s0/CE
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
20.34520.345active clock edge time
20.3450.000dir_sclk
20.3450.000tCLRR1IOB31[B]dir_sclk_ibuf/I
22.4332.088tINSRR371IOB31[B]dir_sclk_ibuf/O
23.3780.945tNETRR1R13C25[0][B]main_rx_1_inst/transmitter/sample_ch_4_l_buf_load_10_s0/CLK
23.348-0.030tUncmain_rx_1_inst/transmitter/sample_ch_4_l_buf_load_10_s0
23.305-0.043tSu1R13C25[0][B]main_rx_1_inst/transmitter/sample_ch_4_l_buf_load_10_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.083
Setup Relationship 20.345
Logic Level2
Arrival Clock Path Delaycell: 2.088, 66.999%; route: 1.028, 33.001%
Arrival Data Path Delaycell: 0.625, 12.482%; route: 3.924, 78.365%; tC2Q: 0.458, 9.153%
Required Clock Path Delaycell: 2.088, 68.832%; route: 0.945, 31.168%
+

Path23

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack15.181
Data Arrival Time8.124
Data Required Time23.305
Frommain_rx_1_inst/demuxer/data_ready_s0
Tomain_rx_1_inst/transmitter/sample_ch_4_l_buf_load_11_s0
Launch Clkdir_bclk:[R]
Latch Clkdir_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000dir_bclk
0.0000.000tCLRR1IOB31[A]dir_bclk_ibuf/I
2.0882.088tINSRR234IOB31[A]dir_bclk_ibuf/O
3.1161.028tNETRR1R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/CLK
3.5750.458tC2QRF2R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/Q
4.5460.972tNETFF1R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/I1
5.1710.625tINSFR176R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/F
8.1242.952tNETRR1R13C25[0][A]main_rx_1_inst/transmitter/sample_ch_4_l_buf_load_11_s0/CE
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
20.34520.345active clock edge time
20.3450.000dir_sclk
20.3450.000tCLRR1IOB31[B]dir_sclk_ibuf/I
22.4332.088tINSRR371IOB31[B]dir_sclk_ibuf/O
23.3780.945tNETRR1R13C25[0][A]main_rx_1_inst/transmitter/sample_ch_4_l_buf_load_11_s0/CLK
23.348-0.030tUncmain_rx_1_inst/transmitter/sample_ch_4_l_buf_load_11_s0
23.305-0.043tSu1R13C25[0][A]main_rx_1_inst/transmitter/sample_ch_4_l_buf_load_11_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.083
Setup Relationship 20.345
Logic Level2
Arrival Clock Path Delaycell: 2.088, 66.999%; route: 1.028, 33.001%
Arrival Data Path Delaycell: 0.625, 12.482%; route: 3.924, 78.365%; tC2Q: 0.458, 9.153%
Required Clock Path Delaycell: 2.088, 68.832%; route: 0.945, 31.168%
+

Path24

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack15.181
Data Arrival Time8.124
Data Required Time23.305
Frommain_rx_1_inst/demuxer/data_ready_s0
Tomain_rx_1_inst/transmitter/sample_ch_4_l_buf_load_12_s0
Launch Clkdir_bclk:[R]
Latch Clkdir_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000dir_bclk
0.0000.000tCLRR1IOB31[A]dir_bclk_ibuf/I
2.0882.088tINSRR234IOB31[A]dir_bclk_ibuf/O
3.1161.028tNETRR1R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/CLK
3.5750.458tC2QRF2R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/Q
4.5460.972tNETFF1R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/I1
5.1710.625tINSFR176R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/F
8.1242.952tNETRR1R13C25[1][A]main_rx_1_inst/transmitter/sample_ch_4_l_buf_load_12_s0/CE
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
20.34520.345active clock edge time
20.3450.000dir_sclk
20.3450.000tCLRR1IOB31[B]dir_sclk_ibuf/I
22.4332.088tINSRR371IOB31[B]dir_sclk_ibuf/O
23.3780.945tNETRR1R13C25[1][A]main_rx_1_inst/transmitter/sample_ch_4_l_buf_load_12_s0/CLK
23.348-0.030tUncmain_rx_1_inst/transmitter/sample_ch_4_l_buf_load_12_s0
23.305-0.043tSu1R13C25[1][A]main_rx_1_inst/transmitter/sample_ch_4_l_buf_load_12_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.083
Setup Relationship 20.345
Logic Level2
Arrival Clock Path Delaycell: 2.088, 66.999%; route: 1.028, 33.001%
Arrival Data Path Delaycell: 0.625, 12.482%; route: 3.924, 78.365%; tC2Q: 0.458, 9.153%
Required Clock Path Delaycell: 2.088, 68.832%; route: 0.945, 31.168%
+

Path25

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack15.181
Data Arrival Time8.124
Data Required Time23.305
Frommain_rx_1_inst/demuxer/data_ready_s0
Tomain_rx_1_inst/transmitter/sample_ch_4_l_buf_load_13_s0
Launch Clkdir_bclk:[R]
Latch Clkdir_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000dir_bclk
0.0000.000tCLRR1IOB31[A]dir_bclk_ibuf/I
2.0882.088tINSRR234IOB31[A]dir_bclk_ibuf/O
3.1161.028tNETRR1R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/CLK
3.5750.458tC2QRF2R18C37[0][A]main_rx_1_inst/demuxer/data_ready_s0/Q
4.5460.972tNETFF1R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/I1
5.1710.625tINSFR176R9C37[0][B]main_rx_1_inst/transmitter/n2212_s0/F
8.1242.952tNETRR1R13C25[1][B]main_rx_1_inst/transmitter/sample_ch_4_l_buf_load_13_s0/CE
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
20.34520.345active clock edge time
20.3450.000dir_sclk
20.3450.000tCLRR1IOB31[B]dir_sclk_ibuf/I
22.4332.088tINSRR371IOB31[B]dir_sclk_ibuf/O
23.3780.945tNETRR1R13C25[1][B]main_rx_1_inst/transmitter/sample_ch_4_l_buf_load_13_s0/CLK
23.348-0.030tUncmain_rx_1_inst/transmitter/sample_ch_4_l_buf_load_13_s0
23.305-0.043tSu1R13C25[1][B]main_rx_1_inst/transmitter/sample_ch_4_l_buf_load_13_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.083
Setup Relationship 20.345
Logic Level2
Arrival Clock Path Delaycell: 2.088, 66.999%; route: 1.028, 33.001%
Arrival Data Path Delaycell: 0.625, 12.482%; route: 3.924, 78.365%; tC2Q: 0.458, 9.153%
Required Clock Path Delaycell: 2.088, 68.832%; route: 0.945, 31.168%
+

Hold Analysis Report

+

Report Command:report_timing -hold -max_paths 25 -max_common_paths 1

+

Path1

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack-0.256
Data Arrival Time1.850
Data Required Time2.105
Frommain_rx_1_inst/transmitter/zbclk_s0
Tomain_rx_1_inst/transmitter/zbclk_s0
Launch Clki2s_out_bclk:[R]
Latch Clkdir_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_out_bclk
0.0000.000tCLRR3R9C40[1][A]main_rx_1_inst/clocks/bclk_s2/Q
1.8501.850tNETRR1R16C25[1][B]main_rx_1_inst/transmitter/zbclk_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000dir_sclk
0.0000.000tCLRR1IOB31[B]dir_sclk_ibuf/I
1.3921.392tINSRR371IOB31[B]dir_sclk_ibuf/O
2.0750.683tNETRR1R16C25[1][B]main_rx_1_inst/transmitter/zbclk_s0/CLK
2.1050.030tUncmain_rx_1_inst/transmitter/zbclk_s0
2.1050.000tHld1R16C25[1][B]main_rx_1_inst/transmitter/zbclk_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew2.075
Hold Relationship 0.000
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.000, 0.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 0.000, 0.000%; tC2Q: 1.850, 100.000%
Required Clock Path Delaycell: 1.392, 67.080%; route: 0.683, 32.920%
+

Path2

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.161
Data Arrival Time0.374
Data Required Time0.213
Frommain_rx_1_inst/clocks/n52_s3
Tomain_rx_1_inst/clocks/bclk_s2
Launch Clki2s_out_bclk:[R]
Latch Clki2s_out_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_out_bclk
0.0000.000tCLRR3R9C40[1][A]main_rx_1_inst/clocks/bclk_s2/Q
0.0020.002tNETRR1R9C40[1][A]main_rx_1_inst/clocks/n52_s3/I0
0.3740.372tINSRF1R9C40[1][A]main_rx_1_inst/clocks/n52_s3/F
0.3740.000tNETFF1R9C40[1][A]main_rx_1_inst/clocks/bclk_s2/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_out_sclk
0.0000.000tCLRR71BOTTOMSIDE[0]main_rx_1_inst/sclk_div/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R9C40[1][A]main_rx_1_inst/clocks/bclk_s2/CLK
0.2130.030tUncmain_rx_1_inst/clocks/bclk_s2
0.2130.000tHld1R9C40[1][A]main_rx_1_inst/clocks/bclk_s2
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.183
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.000, 0.000%
Arrival Data Path Delaycell: 0.372, 99.369%; route: 0.000, 0.000%; tC2Q: 0.002, 0.631%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path3

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.474
Data Arrival Time29949.312
Data Required Time29948.838
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_0_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_0_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_bclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
29947.84029947.840active clock edge time
29947.8400.000i2s_in_sclk
29947.8400.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
29948.0230.183tNETRR1R9C23[0][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_0_s0/CLK
29948.3570.333tC2QRR1R9C23[0][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_0_s0/Q
29949.3110.955tNETRR1R12C23[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_0_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
29947.93229947.932active clock edge time
29947.9320.000i2s_in_bclk
29947.9320.000tCLRR25R12C10[1][A]main_tx_1_inst/clocks/bclk_s1/Q
29948.8980.967tNETRR1R12C23[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_0_s0/CLK
29948.9280.030tUncmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_0_s0
29948.9280.000tHld1R12C23[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_0_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.784
Hold Relationship 0.092
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 0.955, 74.135%; tC2Q: 0.333, 25.865%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.967, 100.000%
+

Path4

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.474
Data Arrival Time29949.312
Data Required Time29948.838
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_1_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_1_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_bclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
29947.84029947.840active clock edge time
29947.8400.000i2s_in_sclk
29947.8400.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
29948.0230.183tNETRR1R11C20[2][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_1_s0/CLK
29948.3570.333tC2QRR1R11C20[2][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_1_s0/Q
29949.3110.955tNETRR1R12C21[1][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_1_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
29947.93229947.932active clock edge time
29947.9320.000i2s_in_bclk
29947.9320.000tCLRR25R12C10[1][A]main_tx_1_inst/clocks/bclk_s1/Q
29948.8980.967tNETRR1R12C21[1][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_1_s0/CLK
29948.9280.030tUncmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_1_s0
29948.9280.000tHld1R12C21[1][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_1_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.784
Hold Relationship 0.092
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 0.955, 74.135%; tC2Q: 0.333, 25.865%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.967, 100.000%
+

Path5

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.474
Data Arrival Time29949.312
Data Required Time29948.838
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_2_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_2_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_bclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
29947.84029947.840active clock edge time
29947.8400.000i2s_in_sclk
29947.8400.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
29948.0230.183tNETRR1R9C23[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_2_s0/CLK
29948.3570.333tC2QRR1R9C23[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_2_s0/Q
29949.3110.955tNETRR1R12C23[1][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_2_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
29947.93229947.932active clock edge time
29947.9320.000i2s_in_bclk
29947.9320.000tCLRR25R12C10[1][A]main_tx_1_inst/clocks/bclk_s1/Q
29948.8980.967tNETRR1R12C23[1][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_2_s0/CLK
29948.9280.030tUncmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_2_s0
29948.9280.000tHld1R12C23[1][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_2_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.784
Hold Relationship 0.092
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 0.955, 74.135%; tC2Q: 0.333, 25.865%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.967, 100.000%
+

Path6

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.474
Data Arrival Time29949.312
Data Required Time29948.838
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_3_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_3_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_bclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
29947.84029947.840active clock edge time
29947.8400.000i2s_in_sclk
29947.8400.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
29948.0230.183tNETRR1R11C20[2][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_3_s0/CLK
29948.3570.333tC2QRR1R11C20[2][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_3_s0/Q
29949.3110.955tNETRR1R12C21[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_3_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
29947.93229947.932active clock edge time
29947.9320.000i2s_in_bclk
29947.9320.000tCLRR25R12C10[1][A]main_tx_1_inst/clocks/bclk_s1/Q
29948.8980.967tNETRR1R12C21[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_3_s0/CLK
29948.9280.030tUncmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_3_s0
29948.9280.000tHld1R12C21[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_3_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.784
Hold Relationship 0.092
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 0.955, 74.135%; tC2Q: 0.333, 25.865%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.967, 100.000%
+

Path7

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.475
Data Arrival Time29949.312
Data Required Time29948.838
Frommain_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_4_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_4_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_bclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
29947.84029947.840active clock edge time
29947.8400.000i2s_in_sclk
29947.8400.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
29948.0230.183tNETRR1R8C21[1][B]main_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_4_s0/CLK
29948.3570.333tC2QRR3R8C21[1][B]main_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_4_s0/Q
29949.3120.957tNETRR1R9C20[1][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_4_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
29947.93229947.932active clock edge time
29947.9320.000i2s_in_bclk
29947.9320.000tCLRR25R12C10[1][A]main_tx_1_inst/clocks/bclk_s1/Q
29948.8980.967tNETRR1R9C20[1][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_4_s0/CLK
29948.9280.030tUncmain_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_4_s0
29948.9280.000tHld1R9C20[1][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_4_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.784
Hold Relationship 0.092
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 0.957, 74.158%; tC2Q: 0.333, 25.842%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.967, 100.000%
+

Path8

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.557
Data Arrival Time0.753
Data Required Time0.196
Frommain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Validity_bit_req_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_24_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R11C17[1][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Validity_bit_req_s0/CLK
0.5160.333tC2QRR1R11C17[1][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Validity_bit_req_s0/Q
0.7530.236tNETRR1R11C17[2][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_24_s0/CE
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R11C17[2][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_24_s0/CLK
0.1960.012tHld1R11C17[2][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_24_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 0.236, 41.492%; tC2Q: 0.333, 58.508%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path9

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.708
Data Arrival Time0.891
Data Required Time0.183
Frommain_rx_1_inst/clocks/count_i2s_bclk_0_s0
Tomain_rx_1_inst/clocks/count_i2s_bclk_0_s0
Launch Clki2s_out_sclk:[R]
Latch Clki2s_out_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_out_sclk
0.0000.000tCLRR71BOTTOMSIDE[0]main_rx_1_inst/sclk_div/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R9C40[0][A]main_rx_1_inst/clocks/count_i2s_bclk_0_s0/CLK
0.5160.333tC2QRR3R9C40[0][A]main_rx_1_inst/clocks/count_i2s_bclk_0_s0/Q
0.5190.002tNETRR1R9C40[0][A]main_rx_1_inst/clocks/n48_s2/I0
0.8910.372tINSRF1R9C40[0][A]main_rx_1_inst/clocks/n48_s2/F
0.8910.000tNETFF1R9C40[0][A]main_rx_1_inst/clocks/count_i2s_bclk_0_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_out_sclk
0.0000.000tCLRR71BOTTOMSIDE[0]main_rx_1_inst/sclk_div/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R9C40[0][A]main_rx_1_inst/clocks/count_i2s_bclk_0_s0/CLK
0.1830.000tHld1R9C40[0][A]main_rx_1_inst/clocks/count_i2s_bclk_0_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.372, 52.565%; route: 0.002, 0.334%; tC2Q: 0.333, 47.101%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path10

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.708
Data Arrival Time0.891
Data Required Time0.183
Fromrx_status/led_state_s1
Torx_status/led_state_s1
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R20C9[0][A]rx_status/led_state_s1/CLK
0.5160.333tC2QRR2R20C9[0][A]rx_status/led_state_s1/Q
0.5190.002tNETRR1R20C9[0][A]rx_status/n74_s2/I0
0.8910.372tINSRF1R20C9[0][A]rx_status/n74_s2/F
0.8910.000tNETFF1R20C9[0][A]rx_status/led_state_s1/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R20C9[0][A]rx_status/led_state_s1/CLK
0.1830.000tHld1R20C9[0][A]rx_status/led_state_s1
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.372, 52.565%; route: 0.002, 0.334%; tC2Q: 0.333, 47.101%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path11

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.708
Data Arrival Time0.891
Data Required Time0.183
Fromrx_status/counter_0_s0
Torx_status/counter_0_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R20C9[1][A]rx_status/counter_0_s0/CLK
0.5160.333tC2QRR3R20C9[1][A]rx_status/counter_0_s0/Q
0.5190.002tNETRR1R20C9[1][A]rx_status/n50_s2/I0
0.8910.372tINSRF1R20C9[1][A]rx_status/n50_s2/F
0.8910.000tNETFF1R20C9[1][A]rx_status/counter_0_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R20C9[1][A]rx_status/counter_0_s0/CLK
0.1830.000tHld1R20C9[1][A]rx_status/counter_0_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.372, 52.565%; route: 0.002, 0.334%; tC2Q: 0.333, 47.101%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path12

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.708
Data Arrival Time0.891
Data Required Time0.183
Frommain_tx_1_inst/receiver/bit_cnt_2_s1
Tomain_tx_1_inst/receiver/bit_cnt_2_s1
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R14C12[1][A]main_tx_1_inst/receiver/bit_cnt_2_s1/CLK
0.5160.333tC2QRR4R14C12[1][A]main_tx_1_inst/receiver/bit_cnt_2_s1/Q
0.5190.002tNETRR1R14C12[1][A]main_tx_1_inst/receiver/n44_s1/I2
0.8910.372tINSRF1R14C12[1][A]main_tx_1_inst/receiver/n44_s1/F
0.8910.000tNETFF1R14C12[1][A]main_tx_1_inst/receiver/bit_cnt_2_s1/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R14C12[1][A]main_tx_1_inst/receiver/bit_cnt_2_s1/CLK
0.1830.000tHld1R14C12[1][A]main_tx_1_inst/receiver/bit_cnt_2_s1
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.372, 52.565%; route: 0.002, 0.334%; tC2Q: 0.333, 47.101%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path13

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.708
Data Arrival Time0.891
Data Required Time0.183
Frommain_tx_1_inst/clocks/count_i2s_bclk_0_s0
Tomain_tx_1_inst/clocks/count_i2s_bclk_0_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R12C13[0][A]main_tx_1_inst/clocks/count_i2s_bclk_0_s0/CLK
0.5160.333tC2QRR3R12C13[0][A]main_tx_1_inst/clocks/count_i2s_bclk_0_s0/Q
0.5190.002tNETRR1R12C13[0][A]main_tx_1_inst/clocks/n48_s2/I0
0.8910.372tINSRF1R12C13[0][A]main_tx_1_inst/clocks/n48_s2/F
0.8910.000tNETFF1R12C13[0][A]main_tx_1_inst/clocks/count_i2s_bclk_0_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R12C13[0][A]main_tx_1_inst/clocks/count_i2s_bclk_0_s0/CLK
0.1830.000tHld1R12C13[0][A]main_tx_1_inst/clocks/count_i2s_bclk_0_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.372, 52.565%; route: 0.002, 0.334%; tC2Q: 0.333, 47.101%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path14

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.708
Data Arrival Time0.891
Data Required Time0.183
Frommain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame_4_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame_4_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C17[0][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame_4_s0/CLK
0.5160.333tC2QRR6R8C17[0][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame_4_s0/Q
0.5190.002tNETRR1R8C17[0][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/n15_s2/I0
0.8910.372tINSRF1R8C17[0][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/n15_s2/F
0.8910.000tNETFF1R8C17[0][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame_4_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C17[0][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame_4_s0/CLK
0.1830.000tHld1R8C17[0][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame_4_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.372, 52.565%; route: 0.002, 0.334%; tC2Q: 0.333, 47.101%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path15

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.708
Data Arrival Time0.891
Data Required Time0.183
Frommain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_check_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_check_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C15[1][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_check_s0/CLK
0.5160.333tC2QRR2R8C15[1][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_check_s0/Q
0.5190.002tNETRR1R8C15[1][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/n64_s1/I2
0.8910.372tINSRF1R8C15[1][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/n64_s1/F
0.8910.000tNETFF1R8C15[1][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_check_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C15[1][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_check_s0/CLK
0.1830.000tHld1R8C15[1][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_check_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.372, 52.565%; route: 0.002, 0.334%; tC2Q: 0.333, 47.101%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path16

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.708
Data Arrival Time0.891
Data Required Time0.183
Frommain_tx_1_inst/channel_status/status_bit_index_6_s0
Tomain_tx_1_inst/channel_status/status_bit_index_6_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R13C16[1][A]main_tx_1_inst/channel_status/status_bit_index_6_s0/CLK
0.5160.333tC2QRR3R13C16[1][A]main_tx_1_inst/channel_status/status_bit_index_6_s0/Q
0.5190.002tNETRR1R13C16[1][A]main_tx_1_inst/channel_status/n51_s2/I3
0.8910.372tINSRF1R13C16[1][A]main_tx_1_inst/channel_status/n51_s2/F
0.8910.000tNETFF1R13C16[1][A]main_tx_1_inst/channel_status/status_bit_index_6_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R13C16[1][A]main_tx_1_inst/channel_status/status_bit_index_6_s0/CLK
0.1830.000tHld1R13C16[1][A]main_tx_1_inst/channel_status/status_bit_index_6_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.372, 52.565%; route: 0.002, 0.334%; tC2Q: 0.333, 47.101%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path17

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.708
Data Arrival Time0.891
Data Required Time0.183
Frommain_tx_1_inst/channel_status/status_bit_index_10_s0
Tomain_tx_1_inst/channel_status/status_bit_index_10_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R12C14[1][A]main_tx_1_inst/channel_status/status_bit_index_10_s0/CLK
0.5160.333tC2QRR2R12C14[1][A]main_tx_1_inst/channel_status/status_bit_index_10_s0/Q
0.5190.002tNETRR1R12C14[1][A]main_tx_1_inst/channel_status/n47_s2/I2
0.8910.372tINSRF1R12C14[1][A]main_tx_1_inst/channel_status/n47_s2/F
0.8910.000tNETFF1R12C14[1][A]main_tx_1_inst/channel_status/status_bit_index_10_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R12C14[1][A]main_tx_1_inst/channel_status/status_bit_index_10_s0/CLK
0.1830.000tHld1R12C14[1][A]main_tx_1_inst/channel_status/status_bit_index_10_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.372, 52.565%; route: 0.002, 0.334%; tC2Q: 0.333, 47.101%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path18

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.708
Data Arrival Time0.891
Data Required Time0.183
Fromtx_status/counter_0_s0
Totx_status/counter_0_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R9C11[1][A]tx_status/counter_0_s0/CLK
0.5160.333tC2QRR3R9C11[1][A]tx_status/counter_0_s0/Q
0.5190.002tNETRR1R9C11[1][A]tx_status/n50_s2/I0
0.8910.372tINSRF1R9C11[1][A]tx_status/n50_s2/F
0.8910.000tNETFF1R9C11[1][A]tx_status/counter_0_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R9C11[1][A]tx_status/counter_0_s0/CLK
0.1830.000tHld1R9C11[1][A]tx_status/counter_0_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.372, 52.565%; route: 0.002, 0.334%; tC2Q: 0.333, 47.101%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path19

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.708
Data Arrival Time41.589
Data Required Time40.881
Frommain_rx_1_inst/clocks/count_i2s_lrclk_3_s0
Tomain_rx_1_inst/clocks/count_i2s_lrclk_3_s0
Launch Clki2s_out_sclk:[F]
Latch Clki2s_out_sclk:[F]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
40.69040.690active clock edge time
40.6900.000i2s_out_sclk
40.6900.000tCLFF71BOTTOMSIDE[0]main_rx_1_inst/sclk_div/clkdiv_inst/CLKOUT
40.8810.191tNETFF1R9C37[0][A]main_rx_1_inst/clocks/count_i2s_lrclk_3_s0/CLK
41.2140.333tC2QFR2R9C37[0][A]main_rx_1_inst/clocks/count_i2s_lrclk_3_s0/Q
41.2170.002tNETRR1R9C37[0][A]main_rx_1_inst/clocks/n185_s3/I3
41.5890.372tINSRF1R9C37[0][A]main_rx_1_inst/clocks/n185_s3/F
41.5890.000tNETFF1R9C37[0][A]main_rx_1_inst/clocks/count_i2s_lrclk_3_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
40.69040.690active clock edge time
40.6900.000i2s_out_sclk
40.6900.000tCLFF71BOTTOMSIDE[0]main_rx_1_inst/sclk_div/clkdiv_inst/CLKOUT
40.8810.191tNETFF1R9C37[0][A]main_rx_1_inst/clocks/count_i2s_lrclk_3_s0/CLK
40.8810.000tHld1R9C37[0][A]main_rx_1_inst/clocks/count_i2s_lrclk_3_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.191, 100.000%
Arrival Data Path Delaycell: 0.372, 52.565%; route: 0.002, 0.334%; tC2Q: 0.333, 47.101%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.191, 100.000%
+

Path20

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.708
Data Arrival Time41.589
Data Required Time40.881
Frommain_rx_1_inst/clocks/count_i2s_lrclk_4_s0
Tomain_rx_1_inst/clocks/count_i2s_lrclk_4_s0
Launch Clki2s_out_sclk:[F]
Latch Clki2s_out_sclk:[F]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
40.69040.690active clock edge time
40.6900.000i2s_out_sclk
40.6900.000tCLFF71BOTTOMSIDE[0]main_rx_1_inst/sclk_div/clkdiv_inst/CLKOUT
40.8810.191tNETFF1R16C38[0][A]main_rx_1_inst/clocks/count_i2s_lrclk_4_s0/CLK
41.2140.333tC2QFR5R16C38[0][A]main_rx_1_inst/clocks/count_i2s_lrclk_4_s0/Q
41.2170.002tNETRR1R16C38[0][A]main_rx_1_inst/clocks/n184_s3/I0
41.5890.372tINSRF1R16C38[0][A]main_rx_1_inst/clocks/n184_s3/F
41.5890.000tNETFF1R16C38[0][A]main_rx_1_inst/clocks/count_i2s_lrclk_4_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
40.69040.690active clock edge time
40.6900.000i2s_out_sclk
40.6900.000tCLFF71BOTTOMSIDE[0]main_rx_1_inst/sclk_div/clkdiv_inst/CLKOUT
40.8810.191tNETFF1R16C38[0][A]main_rx_1_inst/clocks/count_i2s_lrclk_4_s0/CLK
40.8810.000tHld1R16C38[0][A]main_rx_1_inst/clocks/count_i2s_lrclk_4_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.191, 100.000%
Arrival Data Path Delaycell: 0.372, 52.565%; route: 0.002, 0.334%; tC2Q: 0.333, 47.101%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.191, 100.000%
+

Path21

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.708
Data Arrival Time41.589
Data Required Time40.881
Frommain_rx_1_inst/clocks/count_i2s_lrclk_31_s0
Tomain_rx_1_inst/clocks/count_i2s_lrclk_31_s0
Launch Clki2s_out_sclk:[F]
Latch Clki2s_out_sclk:[F]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
40.69040.690active clock edge time
40.6900.000i2s_out_sclk
40.6900.000tCLFF71BOTTOMSIDE[0]main_rx_1_inst/sclk_div/clkdiv_inst/CLKOUT
40.8810.191tNETFF1R16C38[1][A]main_rx_1_inst/clocks/count_i2s_lrclk_31_s0/CLK
41.2140.333tC2QFR2R16C38[1][A]main_rx_1_inst/clocks/count_i2s_lrclk_31_s0/Q
41.2170.002tNETRR1R16C38[1][A]main_rx_1_inst/clocks/n157_s2/I3
41.5890.372tINSRF1R16C38[1][A]main_rx_1_inst/clocks/n157_s2/F
41.5890.000tNETFF1R16C38[1][A]main_rx_1_inst/clocks/count_i2s_lrclk_31_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
40.69040.690active clock edge time
40.6900.000i2s_out_sclk
40.6900.000tCLFF71BOTTOMSIDE[0]main_rx_1_inst/sclk_div/clkdiv_inst/CLKOUT
40.8810.191tNETFF1R16C38[1][A]main_rx_1_inst/clocks/count_i2s_lrclk_31_s0/CLK
40.8810.000tHld1R16C38[1][A]main_rx_1_inst/clocks/count_i2s_lrclk_31_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.191, 100.000%
Arrival Data Path Delaycell: 0.372, 52.565%; route: 0.002, 0.334%; tC2Q: 0.333, 47.101%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.191, 100.000%
+

Path22

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.708
Data Arrival Time41.589
Data Required Time40.881
Frommain_tx_1_inst/clocks/count_i2s_lrclk_3_s0
Tomain_tx_1_inst/clocks/count_i2s_lrclk_3_s0
Launch Clki2s_in_sclk:[F]
Latch Clki2s_in_sclk:[F]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
40.69040.690active clock edge time
40.6900.000i2s_in_sclk
40.6900.000tCLFF632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
40.8810.191tNETFF1R11C9[0][A]main_tx_1_inst/clocks/count_i2s_lrclk_3_s0/CLK
41.2140.333tC2QFR2R11C9[0][A]main_tx_1_inst/clocks/count_i2s_lrclk_3_s0/Q
41.2170.002tNETRR1R11C9[0][A]main_tx_1_inst/clocks/n185_s3/I3
41.5890.372tINSRF1R11C9[0][A]main_tx_1_inst/clocks/n185_s3/F
41.5890.000tNETFF1R11C9[0][A]main_tx_1_inst/clocks/count_i2s_lrclk_3_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
40.69040.690active clock edge time
40.6900.000i2s_in_sclk
40.6900.000tCLFF632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
40.8810.191tNETFF1R11C9[0][A]main_tx_1_inst/clocks/count_i2s_lrclk_3_s0/CLK
40.8810.000tHld1R11C9[0][A]main_tx_1_inst/clocks/count_i2s_lrclk_3_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.191, 100.000%
Arrival Data Path Delaycell: 0.372, 52.565%; route: 0.002, 0.334%; tC2Q: 0.333, 47.101%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.191, 100.000%
+

Path23

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.708
Data Arrival Time41.589
Data Required Time40.881
Frommain_tx_1_inst/clocks/count_i2s_lrclk_31_s0
Tomain_tx_1_inst/clocks/count_i2s_lrclk_31_s0
Launch Clki2s_in_sclk:[F]
Latch Clki2s_in_sclk:[F]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
40.69040.690active clock edge time
40.6900.000i2s_in_sclk
40.6900.000tCLFF632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
40.8810.191tNETFF1R9C11[0][A]main_tx_1_inst/clocks/count_i2s_lrclk_31_s0/CLK
41.2140.333tC2QFR2R9C11[0][A]main_tx_1_inst/clocks/count_i2s_lrclk_31_s0/Q
41.2170.002tNETRR1R9C11[0][A]main_tx_1_inst/clocks/n157_s2/I3
41.5890.372tINSRF1R9C11[0][A]main_tx_1_inst/clocks/n157_s2/F
41.5890.000tNETFF1R9C11[0][A]main_tx_1_inst/clocks/count_i2s_lrclk_31_s0/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
40.69040.690active clock edge time
40.6900.000i2s_in_sclk
40.6900.000tCLFF632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
40.8810.191tNETFF1R9C11[0][A]main_tx_1_inst/clocks/count_i2s_lrclk_31_s0/CLK
40.8810.000tHld1R9C11[0][A]main_tx_1_inst/clocks/count_i2s_lrclk_31_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.191, 100.000%
Arrival Data Path Delaycell: 0.372, 52.565%; route: 0.002, 0.334%; tC2Q: 0.333, 47.101%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.191, 100.000%
+

Path24

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.709
Data Arrival Time2.784
Data Required Time2.075
Frommain_rx_1_inst/transmitter/bclk_neg_edge_s4
Tomain_rx_1_inst/transmitter/bclk_neg_edge_s4
Launch Clkdir_sclk:[R]
Latch Clkdir_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000dir_sclk
0.0000.000tCLRR1IOB31[B]dir_sclk_ibuf/I
1.3921.392tINSRR371IOB31[B]dir_sclk_ibuf/O
2.0750.683tNETRR1R16C24[0][A]main_rx_1_inst/transmitter/bclk_neg_edge_s4/CLK
2.4080.333tC2QRR5R16C24[0][A]main_rx_1_inst/transmitter/bclk_neg_edge_s4/Q
2.4120.004tNETRR1R16C24[0][A]main_rx_1_inst/transmitter/n8_s2/I0
2.7840.372tINSRF1R16C24[0][A]main_rx_1_inst/transmitter/n8_s2/F
2.7840.000tNETFF1R16C24[0][A]main_rx_1_inst/transmitter/bclk_neg_edge_s4/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000dir_sclk
0.0000.000tCLRR1IOB31[B]dir_sclk_ibuf/I
1.3921.392tINSRR371IOB31[B]dir_sclk_ibuf/O
2.0750.683tNETRR1R16C24[0][A]main_rx_1_inst/transmitter/bclk_neg_edge_s4/CLK
2.0750.000tHld1R16C24[0][A]main_rx_1_inst/transmitter/bclk_neg_edge_s4
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 1.392, 67.080%; route: 0.683, 32.920%
Arrival Data Path Delaycell: 0.372, 52.478%; route: 0.004, 0.500%; tC2Q: 0.333, 47.023%
Required Clock Path Delaycell: 1.392, 67.080%; route: 0.683, 32.920%
+

Path25

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack0.709
Data Arrival Time0.892
Data Required Time0.183
Frommain_tx_1_inst/receiver/bit_cnt_3_s3
Tomain_tx_1_inst/receiver/bit_cnt_3_s3
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R14C13[0][A]main_tx_1_inst/receiver/bit_cnt_3_s3/CLK
0.5160.333tC2QRR4R14C13[0][A]main_tx_1_inst/receiver/bit_cnt_3_s3/Q
0.5200.004tNETRR1R14C13[0][A]main_tx_1_inst/receiver/n43_s4/I2
0.8920.372tINSRF1R14C13[0][A]main_tx_1_inst/receiver/n43_s4/F
0.8920.000tNETFF1R14C13[0][A]main_tx_1_inst/receiver/bit_cnt_3_s3/D
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R14C13[0][A]main_tx_1_inst/receiver/bit_cnt_3_s3/CLK
0.1830.000tHld1R14C13[0][A]main_tx_1_inst/receiver/bit_cnt_3_s3
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.372, 52.478%; route: 0.004, 0.500%; tC2Q: 0.333, 47.023%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Recovery Analysis Report

+

Report Command:report_timing -recovery -max_paths 25 -max_common_paths 1

+

Path1

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack36.554
Data Arrival Time44.863
Data Required Time81.417
Frommain_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/Equal.mem_Equal.mem_0_0_s
Launch Clki2s_in_sclk:[F]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
40.69040.690active clock edge time
40.6900.000i2s_in_sclk
40.6900.000tCLFF632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
40.9470.257tNETFF1R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/CLK
41.4050.458tC2QFF21R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Q
44.8633.457tNETFF32BSRAM_R10[3]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.mem_Equal.mem_0_0_s/RESETB
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
81.38081.380active clock edge time
81.3800.000i2s_in_sclk
81.3800.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
81.6220.242tNETRR1BSRAM_R10[3]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.mem_Equal.mem_0_0_s/CLKB
81.417-0.205tSu1BSRAM_R10[3]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.mem_Equal.mem_0_0_s
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.015
Setup Relationship 40.690
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.257, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 3.457, 88.295%; tC2Q: 0.458, 11.705%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
+

Path2

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack36.696
Data Arrival Time44.882
Data Required Time81.579
Frommain_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0
Launch Clki2s_in_sclk:[F]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
40.69040.690active clock edge time
40.6900.000i2s_in_sclk
40.6900.000tCLFF632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
40.9470.257tNETFF1R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/CLK
41.4050.458tC2QFF21R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Q
44.8823.477tNETFF1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/PRESET
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
81.38081.380active clock edge time
81.3800.000i2s_in_sclk
81.3800.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
81.6220.242tNETRR1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/CLK
81.579-0.043tSu1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.015
Setup Relationship 40.690
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.257, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 3.477, 88.354%; tC2Q: 0.458, 11.646%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
+

Path3

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack36.696
Data Arrival Time44.882
Data Required Time81.579
Frommain_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq2_wptr_4_s0
Launch Clki2s_in_sclk:[F]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
40.69040.690active clock edge time
40.6900.000i2s_in_sclk
40.6900.000tCLFF632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
40.9470.257tNETFF1R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/CLK
41.4050.458tC2QFF21R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Q
44.8823.477tNETFF1R8C21[2][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq2_wptr_4_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
81.38081.380active clock edge time
81.3800.000i2s_in_sclk
81.3800.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
81.6220.242tNETRR1R8C21[2][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq2_wptr_4_s0/CLK
81.579-0.043tSu1R8C21[2][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq2_wptr_4_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.015
Setup Relationship 40.690
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.257, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 3.477, 88.354%; tC2Q: 0.458, 11.646%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
+

Path4

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack36.696
Data Arrival Time44.882
Data Required Time81.579
Frommain_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq1_wptr_1_s0
Launch Clki2s_in_sclk:[F]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
40.69040.690active clock edge time
40.6900.000i2s_in_sclk
40.6900.000tCLFF632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
40.9470.257tNETFF1R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/CLK
41.4050.458tC2QFF21R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Q
44.8823.477tNETFF1R8C21[2][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq1_wptr_1_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
81.38081.380active clock edge time
81.3800.000i2s_in_sclk
81.3800.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
81.6220.242tNETRR1R8C21[2][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq1_wptr_1_s0/CLK
81.579-0.043tSu1R8C21[2][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq1_wptr_1_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.015
Setup Relationship 40.690
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.257, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 3.477, 88.354%; tC2Q: 0.458, 11.646%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
+

Path5

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack36.696
Data Arrival Time44.882
Data Required Time81.579
Frommain_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq1_wptr_3_s0
Launch Clki2s_in_sclk:[F]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
40.69040.690active clock edge time
40.6900.000i2s_in_sclk
40.6900.000tCLFF632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
40.9470.257tNETFF1R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/CLK
41.4050.458tC2QFF21R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Q
44.8823.477tNETFF1R8C21[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq1_wptr_3_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
81.38081.380active clock edge time
81.3800.000i2s_in_sclk
81.3800.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
81.6220.242tNETRR1R8C21[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq1_wptr_3_s0/CLK
81.579-0.043tSu1R8C21[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq1_wptr_3_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.015
Setup Relationship 40.690
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.257, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 3.477, 88.354%; tC2Q: 0.458, 11.646%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
+

Path6

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack36.696
Data Arrival Time44.882
Data Required Time81.579
Frommain_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq1_wptr_4_s0
Launch Clki2s_in_sclk:[F]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
40.69040.690active clock edge time
40.6900.000i2s_in_sclk
40.6900.000tCLFF632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
40.9470.257tNETFF1R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/CLK
41.4050.458tC2QFF21R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Q
44.8823.477tNETFF1R8C21[0][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq1_wptr_4_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
81.38081.380active clock edge time
81.3800.000i2s_in_sclk
81.3800.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
81.6220.242tNETRR1R8C21[0][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq1_wptr_4_s0/CLK
81.579-0.043tSu1R8C21[0][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq1_wptr_4_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.015
Setup Relationship 40.690
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.257, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 3.477, 88.354%; tC2Q: 0.458, 11.646%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
+

Path7

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack36.696
Data Arrival Time44.882
Data Required Time81.579
Frommain_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_4_s0
Launch Clki2s_in_sclk:[F]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
40.69040.690active clock edge time
40.6900.000i2s_in_sclk
40.6900.000tCLFF632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
40.9470.257tNETFF1R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/CLK
41.4050.458tC2QFF21R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Q
44.8823.477tNETFF1R8C21[1][B]main_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_4_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
81.38081.380active clock edge time
81.3800.000i2s_in_sclk
81.3800.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
81.6220.242tNETRR1R8C21[1][B]main_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_4_s0/CLK
81.579-0.043tSu1R8C21[1][B]main_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_4_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.015
Setup Relationship 40.690
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.257, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 3.477, 88.354%; tC2Q: 0.458, 11.646%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
+

Path8

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack36.706
Data Arrival Time44.873
Data Required Time81.579
Frommain_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_0_s0
Launch Clki2s_in_sclk:[F]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
40.69040.690active clock edge time
40.6900.000i2s_in_sclk
40.6900.000tCLFF632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
40.9470.257tNETFF1R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/CLK
41.4050.458tC2QFF21R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Q
44.8733.467tNETFF1R9C23[0][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_0_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
81.38081.380active clock edge time
81.3800.000i2s_in_sclk
81.3800.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
81.6220.242tNETRR1R9C23[0][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_0_s0/CLK
81.579-0.043tSu1R9C23[0][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_0_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.015
Setup Relationship 40.690
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.257, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 3.467, 88.325%; tC2Q: 0.458, 11.675%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
+

Path9

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack36.706
Data Arrival Time44.873
Data Required Time81.579
Frommain_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_2_s0
Launch Clki2s_in_sclk:[F]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
40.69040.690active clock edge time
40.6900.000i2s_in_sclk
40.6900.000tCLFF632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
40.9470.257tNETFF1R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/CLK
41.4050.458tC2QFF21R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Q
44.8733.467tNETFF1R9C23[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_2_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
81.38081.380active clock edge time
81.3800.000i2s_in_sclk
81.3800.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
81.6220.242tNETRR1R9C23[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_2_s0/CLK
81.579-0.043tSu1R9C23[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_2_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.015
Setup Relationship 40.690
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.257, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 3.467, 88.325%; tC2Q: 0.458, 11.675%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
+

Path10

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack36.706
Data Arrival Time44.873
Data Required Time81.579
Frommain_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_2_s0
Launch Clki2s_in_sclk:[F]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
40.69040.690active clock edge time
40.6900.000i2s_in_sclk
40.6900.000tCLFF632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
40.9470.257tNETFF1R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/CLK
41.4050.458tC2QFF21R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Q
44.8733.467tNETFF1R9C23[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_2_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
81.38081.380active clock edge time
81.3800.000i2s_in_sclk
81.3800.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
81.6220.242tNETRR1R9C23[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_2_s0/CLK
81.579-0.043tSu1R9C23[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_2_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.015
Setup Relationship 40.690
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.257, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 3.467, 88.325%; tC2Q: 0.458, 11.675%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
+

Path11

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack36.706
Data Arrival Time44.873
Data Required Time81.579
Frommain_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_3_s0
Launch Clki2s_in_sclk:[F]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
40.69040.690active clock edge time
40.6900.000i2s_in_sclk
40.6900.000tCLFF632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
40.9470.257tNETFF1R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/CLK
41.4050.458tC2QFF21R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Q
44.8733.467tNETFF1R9C23[1][B]main_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_3_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
81.38081.380active clock edge time
81.3800.000i2s_in_sclk
81.3800.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
81.6220.242tNETRR1R9C23[1][B]main_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_3_s0/CLK
81.579-0.043tSu1R9C23[1][B]main_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_3_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.015
Setup Relationship 40.690
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.257, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 3.467, 88.325%; tC2Q: 0.458, 11.675%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
+

Path12

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack36.716
Data Arrival Time44.863
Data Required Time81.579
Frommain_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_1_s0
Launch Clki2s_in_sclk:[F]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
40.69040.690active clock edge time
40.6900.000i2s_in_sclk
40.6900.000tCLFF632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
40.9470.257tNETFF1R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/CLK
41.4050.458tC2QFF21R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Q
44.8633.457tNETFF1R11C20[2][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_1_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
81.38081.380active clock edge time
81.3800.000i2s_in_sclk
81.3800.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
81.6220.242tNETRR1R11C20[2][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_1_s0/CLK
81.579-0.043tSu1R11C20[2][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_1_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.015
Setup Relationship 40.690
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.257, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 3.457, 88.295%; tC2Q: 0.458, 11.705%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
+

Path13

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack36.716
Data Arrival Time44.863
Data Required Time81.579
Frommain_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_3_s0
Launch Clki2s_in_sclk:[F]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
40.69040.690active clock edge time
40.6900.000i2s_in_sclk
40.6900.000tCLFF632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
40.9470.257tNETFF1R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/CLK
41.4050.458tC2QFF21R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Q
44.8633.457tNETFF1R11C20[2][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_3_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
81.38081.380active clock edge time
81.3800.000i2s_in_sclk
81.3800.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
81.6220.242tNETRR1R11C20[2][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_3_s0/CLK
81.579-0.043tSu1R11C20[2][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_3_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.015
Setup Relationship 40.690
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.257, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 3.457, 88.295%; tC2Q: 0.458, 11.705%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
+

Path14

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack36.716
Data Arrival Time44.863
Data Required Time81.579
Frommain_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq2_wptr_0_s0
Launch Clki2s_in_sclk:[F]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
40.69040.690active clock edge time
40.6900.000i2s_in_sclk
40.6900.000tCLFF632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
40.9470.257tNETFF1R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/CLK
41.4050.458tC2QFF21R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Q
44.8633.457tNETFF1R8C22[0][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq2_wptr_0_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
81.38081.380active clock edge time
81.3800.000i2s_in_sclk
81.3800.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
81.6220.242tNETRR1R8C22[0][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq2_wptr_0_s0/CLK
81.579-0.043tSu1R8C22[0][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq2_wptr_0_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.015
Setup Relationship 40.690
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.257, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 3.457, 88.295%; tC2Q: 0.458, 11.705%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
+

Path15

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack36.716
Data Arrival Time44.863
Data Required Time81.579
Frommain_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq2_wptr_1_s0
Launch Clki2s_in_sclk:[F]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
40.69040.690active clock edge time
40.6900.000i2s_in_sclk
40.6900.000tCLFF632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
40.9470.257tNETFF1R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/CLK
41.4050.458tC2QFF21R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Q
44.8633.457tNETFF1R9C21[2][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq2_wptr_1_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
81.38081.380active clock edge time
81.3800.000i2s_in_sclk
81.3800.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
81.6220.242tNETRR1R9C21[2][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq2_wptr_1_s0/CLK
81.579-0.043tSu1R9C21[2][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq2_wptr_1_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.015
Setup Relationship 40.690
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.257, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 3.457, 88.295%; tC2Q: 0.458, 11.705%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
+

Path16

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack36.716
Data Arrival Time44.863
Data Required Time81.579
Frommain_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq2_wptr_2_s0
Launch Clki2s_in_sclk:[F]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
40.69040.690active clock edge time
40.6900.000i2s_in_sclk
40.6900.000tCLFF632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
40.9470.257tNETFF1R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/CLK
41.4050.458tC2QFF21R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Q
44.8633.457tNETFF1R9C20[2][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq2_wptr_2_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
81.38081.380active clock edge time
81.3800.000i2s_in_sclk
81.3800.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
81.6220.242tNETRR1R9C20[2][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq2_wptr_2_s0/CLK
81.579-0.043tSu1R9C20[2][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq2_wptr_2_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.015
Setup Relationship 40.690
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.257, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 3.457, 88.295%; tC2Q: 0.458, 11.705%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
+

Path17

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack36.716
Data Arrival Time44.863
Data Required Time81.579
Frommain_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq2_wptr_3_s0
Launch Clki2s_in_sclk:[F]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
40.69040.690active clock edge time
40.6900.000i2s_in_sclk
40.6900.000tCLFF632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
40.9470.257tNETFF1R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/CLK
41.4050.458tC2QFF21R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Q
44.8633.457tNETFF1R9C21[2][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq2_wptr_3_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
81.38081.380active clock edge time
81.3800.000i2s_in_sclk
81.3800.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
81.6220.242tNETRR1R9C21[2][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq2_wptr_3_s0/CLK
81.579-0.043tSu1R9C21[2][B]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq2_wptr_3_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.015
Setup Relationship 40.690
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.257, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 3.457, 88.295%; tC2Q: 0.458, 11.705%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
+

Path18

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack36.716
Data Arrival Time44.863
Data Required Time81.579
Frommain_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq1_wptr_0_s0
Launch Clki2s_in_sclk:[F]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
40.69040.690active clock edge time
40.6900.000i2s_in_sclk
40.6900.000tCLFF632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
40.9470.257tNETFF1R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/CLK
41.4050.458tC2QFF21R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Q
44.8633.457tNETFF1R7C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq1_wptr_0_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
81.38081.380active clock edge time
81.3800.000i2s_in_sclk
81.3800.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
81.6220.242tNETRR1R7C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq1_wptr_0_s0/CLK
81.579-0.043tSu1R7C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq1_wptr_0_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.015
Setup Relationship 40.690
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.257, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 3.457, 88.295%; tC2Q: 0.458, 11.705%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
+

Path19

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack36.716
Data Arrival Time44.863
Data Required Time81.579
Frommain_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq1_wptr_2_s0
Launch Clki2s_in_sclk:[F]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
40.69040.690active clock edge time
40.6900.000i2s_in_sclk
40.6900.000tCLFF632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
40.9470.257tNETFF1R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/CLK
41.4050.458tC2QFF21R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Q
44.8633.457tNETFF1R7C19[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq1_wptr_2_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
81.38081.380active clock edge time
81.3800.000i2s_in_sclk
81.3800.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
81.6220.242tNETRR1R7C19[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq1_wptr_2_s0/CLK
81.579-0.043tSu1R7C19[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rq1_wptr_2_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.015
Setup Relationship 40.690
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.257, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 3.457, 88.295%; tC2Q: 0.458, 11.705%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
+

Path20

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack36.716
Data Arrival Time44.863
Data Required Time81.579
Frommain_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_0_s0
Launch Clki2s_in_sclk:[F]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
40.69040.690active clock edge time
40.6900.000i2s_in_sclk
40.6900.000tCLFF632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
40.9470.257tNETFF1R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/CLK
41.4050.458tC2QFF21R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Q
44.8633.457tNETFF1R8C20[1][B]main_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_0_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
81.38081.380active clock edge time
81.3800.000i2s_in_sclk
81.3800.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
81.6220.242tNETRR1R8C20[1][B]main_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_0_s0/CLK
81.579-0.043tSu1R8C20[1][B]main_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_0_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.015
Setup Relationship 40.690
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.257, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 3.457, 88.295%; tC2Q: 0.458, 11.705%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
+

Path21

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack36.716
Data Arrival Time44.863
Data Required Time81.579
Frommain_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0
Tomain_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_1_s0
Launch Clki2s_in_sclk:[F]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
40.69040.690active clock edge time
40.6900.000i2s_in_sclk
40.6900.000tCLFF632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
40.9470.257tNETFF1R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/CLK
41.4050.458tC2QFF21R8C22[1][A]main_tx_1_inst/gowin_fifo/fifo_inst/reset_r_1_s0/Q
44.8633.457tNETFF1R9C20[2][A]main_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_1_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
81.38081.380active clock edge time
81.3800.000i2s_in_sclk
81.3800.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
81.6220.242tNETRR1R9C20[2][A]main_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_1_s0/CLK
81.579-0.043tSu1R9C20[2][A]main_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_1_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew-0.015
Setup Relationship 40.690
Logic Level1
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.257, 100.000%
Arrival Data Path Delaycell: 0.000, 0.000%; route: 3.457, 88.295%; tC2Q: 0.458, 11.705%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
+

Path22

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack75.354
Data Arrival Time6.225
Data Required Time81.579
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_0_s2
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.2420.242tNETRR1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/CLK
0.7000.458tC2QRF7R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Q
2.1791.479tNETFF1R8C16[3][A]main_tx_1_inst/n35_s0/I0
3.2781.099tINSFF79R8C16[3][A]main_tx_1_inst/n35_s0/F
6.2252.947tNETFF1R8C19[0][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_0_s2/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
81.38081.380active clock edge time
81.3800.000i2s_in_sclk
81.3800.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
81.6220.242tNETRR1R8C19[0][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_0_s2/CLK
81.579-0.043tSu1R8C19[0][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_0_s2
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 81.380
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
Arrival Data Path Delaycell: 1.099, 18.369%; route: 4.426, 73.971%; tC2Q: 0.458, 7.661%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
+

Path23

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack75.354
Data Arrival Time6.225
Data Required Time81.579
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_1_s2
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.2420.242tNETRR1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/CLK
0.7000.458tC2QRF7R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Q
2.1791.479tNETFF1R8C16[3][A]main_tx_1_inst/n35_s0/I0
3.2781.099tINSFF79R8C16[3][A]main_tx_1_inst/n35_s0/F
6.2252.947tNETFF1R8C19[1][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_1_s2/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
81.38081.380active clock edge time
81.3800.000i2s_in_sclk
81.3800.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
81.6220.242tNETRR1R8C19[1][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_1_s2/CLK
81.579-0.043tSu1R8C19[1][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_1_s2
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 81.380
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
Arrival Data Path Delaycell: 1.099, 18.369%; route: 4.426, 73.971%; tC2Q: 0.458, 7.661%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
+

Path24

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack75.354
Data Arrival Time6.225
Data Required Time81.579
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Inv_preamble_s1
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.2420.242tNETRR1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/CLK
0.7000.458tC2QRF7R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Q
2.1791.479tNETFF1R8C16[3][A]main_tx_1_inst/n35_s0/I0
3.2781.099tINSFF79R8C16[3][A]main_tx_1_inst/n35_s0/F
6.2252.947tNETFF1R8C19[2][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Inv_preamble_s1/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
81.38081.380active clock edge time
81.3800.000i2s_in_sclk
81.3800.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
81.6220.242tNETRR1R8C19[2][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Inv_preamble_s1/CLK
81.579-0.043tSu1R8C19[2][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Inv_preamble_s1
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 81.380
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
Arrival Data Path Delaycell: 1.099, 18.369%; route: 4.426, 73.971%; tC2Q: 0.458, 7.661%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
+

Path25

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack75.354
Data Arrival Time6.225
Data Required Time81.579
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/I_block_flag_reg_2_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.2420.242tNETRR1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/CLK
0.7000.458tC2QRF7R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Q
2.1791.479tNETFF1R8C16[3][A]main_tx_1_inst/n35_s0/I0
3.2781.099tINSFF79R8C16[3][A]main_tx_1_inst/n35_s0/F
6.2252.947tNETFF1R12C19[1][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/I_block_flag_reg_2_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
81.38081.380active clock edge time
81.3800.000i2s_in_sclk
81.3800.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
81.6220.242tNETRR1R12C19[1][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/I_block_flag_reg_2_s0/CLK
81.579-0.043tSu1R12C19[1][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/I_block_flag_reg_2_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Setup Relationship 81.380
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
Arrival Data Path Delaycell: 1.099, 18.369%; route: 4.426, 73.971%; tC2Q: 0.458, 7.661%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.242, 100.000%
+

Removal Analysis Report

+

Report Command:report_timing -removal -max_paths 25 -max_common_paths 1

+

Path1

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack3.673
Data Arrival Time3.869
Data Required Time0.196
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_0_s2
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/CLK
0.5160.333tC2QRR7R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Q
1.3170.801tNETRR1R8C16[3][A]main_tx_1_inst/n35_s0/I0
2.0410.724tINSRR79R8C16[3][A]main_tx_1_inst/n35_s0/F
3.8691.827tNETRR1R8C19[0][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_0_s2/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C19[0][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_0_s2/CLK
0.1960.012tHld1R8C19[0][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_0_s2
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.724, 19.644%; route: 2.628, 71.312%; tC2Q: 0.333, 9.044%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path2

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack3.673
Data Arrival Time3.869
Data Required Time0.196
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_1_s2
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/CLK
0.5160.333tC2QRR7R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Q
1.3170.801tNETRR1R8C16[3][A]main_tx_1_inst/n35_s0/I0
2.0410.724tINSRR79R8C16[3][A]main_tx_1_inst/n35_s0/F
3.8691.827tNETRR1R8C19[1][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_1_s2/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C19[1][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_1_s2/CLK
0.1960.012tHld1R8C19[1][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_1_s2
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.724, 19.644%; route: 2.628, 71.312%; tC2Q: 0.333, 9.044%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path3

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack3.673
Data Arrival Time3.869
Data Required Time0.196
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Inv_preamble_s1
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/CLK
0.5160.333tC2QRR7R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Q
1.3170.801tNETRR1R8C16[3][A]main_tx_1_inst/n35_s0/I0
2.0410.724tINSRR79R8C16[3][A]main_tx_1_inst/n35_s0/F
3.8691.827tNETRR1R8C19[2][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Inv_preamble_s1/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C19[2][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Inv_preamble_s1/CLK
0.1960.012tHld1R8C19[2][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Inv_preamble_s1
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.724, 19.644%; route: 2.628, 71.312%; tC2Q: 0.333, 9.044%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path4

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack3.673
Data Arrival Time3.869
Data Required Time0.196
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/I_block_flag_reg_2_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/CLK
0.5160.333tC2QRR7R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Q
1.3170.801tNETRR1R8C16[3][A]main_tx_1_inst/n35_s0/I0
2.0410.724tINSRR79R8C16[3][A]main_tx_1_inst/n35_s0/F
3.8691.827tNETRR1R12C19[1][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/I_block_flag_reg_2_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R12C19[1][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/I_block_flag_reg_2_s0/CLK
0.1960.012tHld1R12C19[1][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/I_block_flag_reg_2_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.724, 19.644%; route: 2.628, 71.312%; tC2Q: 0.333, 9.044%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path5

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack3.673
Data Arrival Time3.869
Data Required Time0.196
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_o_0_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/CLK
0.5160.333tC2QRR7R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Q
1.3170.801tNETRR1R8C16[3][A]main_tx_1_inst/n35_s0/I0
2.0410.724tINSRR79R8C16[3][A]main_tx_1_inst/n35_s0/F
3.8691.827tNETRR1R12C19[2][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_o_0_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R12C19[2][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_o_0_s0/CLK
0.1960.012tHld1R12C19[2][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_o_0_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.724, 19.644%; route: 2.628, 71.312%; tC2Q: 0.333, 9.044%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path6

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack3.673
Data Arrival Time3.869
Data Required Time0.196
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_o_1_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/CLK
0.5160.333tC2QRR7R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Q
1.3170.801tNETRR1R8C16[3][A]main_tx_1_inst/n35_s0/I0
2.0410.724tINSRR79R8C16[3][A]main_tx_1_inst/n35_s0/F
3.8691.827tNETRR1R12C19[0][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_o_1_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R12C19[0][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_o_1_s0/CLK
0.1960.012tHld1R12C19[0][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_o_1_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.724, 19.644%; route: 2.628, 71.312%; tC2Q: 0.333, 9.044%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path7

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack3.673
Data Arrival Time3.869
Data Required Time0.196
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode_0_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/CLK
0.5160.333tC2QRR7R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Q
1.3170.801tNETRR1R8C16[3][A]main_tx_1_inst/n35_s0/I0
2.0410.724tINSRR79R8C16[3][A]main_tx_1_inst/n35_s0/F
3.8691.827tNETRR1R11C19[2][B]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode_0_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R11C19[2][B]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode_0_s0/CLK
0.1960.012tHld1R11C19[2][B]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode_0_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.724, 19.644%; route: 2.628, 71.312%; tC2Q: 0.333, 9.044%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path8

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack3.673
Data Arrival Time3.869
Data Required Time0.196
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode_1_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/CLK
0.5160.333tC2QRR7R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Q
1.3170.801tNETRR1R8C16[3][A]main_tx_1_inst/n35_s0/I0
2.0410.724tINSRR79R8C16[3][A]main_tx_1_inst/n35_s0/F
3.8691.827tNETRR1R9C19[2][B]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode_1_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R9C19[2][B]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode_1_s0/CLK
0.1960.012tHld1R9C19[2][B]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode_1_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.724, 19.644%; route: 2.628, 71.312%; tC2Q: 0.333, 9.044%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path9

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack3.673
Data Arrival Time3.869
Data Required Time0.196
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_0_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/CLK
0.5160.333tC2QRR7R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Q
1.3170.801tNETRR1R8C16[3][A]main_tx_1_inst/n35_s0/I0
2.0410.724tINSRR79R8C16[3][A]main_tx_1_inst/n35_s0/F
3.8691.827tNETRR1R9C19[1][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_0_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R9C19[1][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_0_s0/CLK
0.1960.012tHld1R9C19[1][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_0_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.724, 19.644%; route: 2.628, 71.312%; tC2Q: 0.333, 9.044%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path10

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack3.673
Data Arrival Time3.869
Data Required Time0.196
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_1_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/CLK
0.5160.333tC2QRR7R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Q
1.3170.801tNETRR1R8C16[3][A]main_tx_1_inst/n35_s0/I0
2.0410.724tINSRR79R8C16[3][A]main_tx_1_inst/n35_s0/F
3.8691.827tNETRR1R9C19[0][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_1_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R9C19[0][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_1_s0/CLK
0.1960.012tHld1R9C19[0][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_1_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.724, 19.644%; route: 2.628, 71.312%; tC2Q: 0.333, 9.044%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path11

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack3.673
Data Arrival Time3.869
Data Required Time0.196
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_2_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/CLK
0.5160.333tC2QRR7R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Q
1.3170.801tNETRR1R8C16[3][A]main_tx_1_inst/n35_s0/I0
2.0410.724tINSRR79R8C16[3][A]main_tx_1_inst/n35_s0/F
3.8691.827tNETRR1R11C19[1][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_2_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R11C19[1][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_2_s0/CLK
0.1960.012tHld1R11C19[1][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_2_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.724, 19.644%; route: 2.628, 71.312%; tC2Q: 0.333, 9.044%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path12

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack3.673
Data Arrival Time3.869
Data Required Time0.196
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_3_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/CLK
0.5160.333tC2QRR7R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Q
1.3170.801tNETRR1R8C16[3][A]main_tx_1_inst/n35_s0/I0
2.0410.724tINSRR79R8C16[3][A]main_tx_1_inst/n35_s0/F
3.8691.827tNETRR1R11C19[1][B]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_3_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R11C19[1][B]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_3_s0/CLK
0.1960.012tHld1R11C19[1][B]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_3_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.724, 19.644%; route: 2.628, 71.312%; tC2Q: 0.333, 9.044%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path13

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack3.673
Data Arrival Time3.869
Data Required Time0.196
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_4_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/CLK
0.5160.333tC2QRR7R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Q
1.3170.801tNETRR1R8C16[3][A]main_tx_1_inst/n35_s0/I0
2.0410.724tINSRR79R8C16[3][A]main_tx_1_inst/n35_s0/F
3.8691.827tNETRR1R11C19[0][B]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_4_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R11C19[0][B]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_4_s0/CLK
0.1960.012tHld1R11C19[0][B]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_4_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.724, 19.644%; route: 2.628, 71.312%; tC2Q: 0.333, 9.044%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path14

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack3.673
Data Arrival Time3.869
Data Required Time0.196
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/I_sub_frame_flag_reg_0_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/CLK
0.5160.333tC2QRR7R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Q
1.3170.801tNETRR1R8C16[3][A]main_tx_1_inst/n35_s0/I0
2.0410.724tINSRR79R8C16[3][A]main_tx_1_inst/n35_s0/F
3.8691.827tNETRR1R11C18[0][B]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/I_sub_frame_flag_reg_0_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R11C18[0][B]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/I_sub_frame_flag_reg_0_s0/CLK
0.1960.012tHld1R11C18[0][B]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/I_sub_frame_flag_reg_0_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.724, 19.644%; route: 2.628, 71.312%; tC2Q: 0.333, 9.044%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path15

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack3.673
Data Arrival Time3.869
Data Required Time0.196
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/I_sub_frame_flag_reg_1_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/CLK
0.5160.333tC2QRR7R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Q
1.3170.801tNETRR1R8C16[3][A]main_tx_1_inst/n35_s0/I0
2.0410.724tINSRR79R8C16[3][A]main_tx_1_inst/n35_s0/F
3.8691.827tNETRR1R11C18[0][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/I_sub_frame_flag_reg_1_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R11C18[0][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/I_sub_frame_flag_reg_1_s0/CLK
0.1960.012tHld1R11C18[0][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/I_sub_frame_flag_reg_1_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.724, 19.644%; route: 2.628, 71.312%; tC2Q: 0.333, 9.044%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path16

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack3.673
Data Arrival Time3.869
Data Required Time0.196
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/I_block_flag_reg_1_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/CLK
0.5160.333tC2QRR7R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Q
1.3170.801tNETRR1R8C16[3][A]main_tx_1_inst/n35_s0/I0
2.0410.724tINSRR79R8C16[3][A]main_tx_1_inst/n35_s0/F
3.8691.827tNETRR1R9C18[2][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/I_block_flag_reg_1_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R9C18[2][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/I_block_flag_reg_1_s0/CLK
0.1960.012tHld1R9C18[2][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/I_block_flag_reg_1_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.724, 19.644%; route: 2.628, 71.312%; tC2Q: 0.333, 9.044%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path17

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack3.673
Data Arrival Time3.869
Data Required Time0.196
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame_4_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/CLK
0.5160.333tC2QRR7R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Q
1.3170.801tNETRR1R8C16[3][A]main_tx_1_inst/n35_s0/I0
2.0410.724tINSRR79R8C16[3][A]main_tx_1_inst/n35_s0/F
3.8691.827tNETRR1R8C17[0][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame_4_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C17[0][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame_4_s0/CLK
0.1960.012tHld1R8C17[0][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame_4_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.724, 19.644%; route: 2.628, 71.312%; tC2Q: 0.333, 9.044%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path18

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack3.673
Data Arrival Time3.869
Data Required Time0.196
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/O_spdif_tx_d_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/CLK
0.5160.333tC2QRR7R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Q
1.3170.801tNETRR1R8C16[3][A]main_tx_1_inst/n35_s0/I0
2.0410.724tINSRR79R8C16[3][A]main_tx_1_inst/n35_s0/F
3.8691.827tNETRR1R8C15[2][B]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/O_spdif_tx_d_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C15[2][B]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/O_spdif_tx_d_s0/CLK
0.1960.012tHld1R8C15[2][B]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/O_spdif_tx_d_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.724, 19.644%; route: 2.628, 71.312%; tC2Q: 0.333, 9.044%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path19

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack3.673
Data Arrival Time3.869
Data Required Time0.196
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_26_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/CLK
0.5160.333tC2QRR7R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Q
1.3170.801tNETRR1R8C16[3][A]main_tx_1_inst/n35_s0/I0
2.0410.724tINSRR79R8C16[3][A]main_tx_1_inst/n35_s0/F
3.8691.827tNETRR1R11C16[2][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_26_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R11C16[2][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_26_s0/CLK
0.1960.012tHld1R11C16[2][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_26_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.724, 19.644%; route: 2.628, 71.312%; tC2Q: 0.333, 9.044%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path20

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack3.673
Data Arrival Time3.869
Data Required Time0.196
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_25_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/CLK
0.5160.333tC2QRR7R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Q
1.3170.801tNETRR1R8C16[3][A]main_tx_1_inst/n35_s0/I0
2.0410.724tINSRR79R8C16[3][A]main_tx_1_inst/n35_s0/F
3.8691.827tNETRR1R12C18[0][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_25_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R12C18[0][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_25_s0/CLK
0.1960.012tHld1R12C18[0][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_25_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.724, 19.644%; route: 2.628, 71.312%; tC2Q: 0.333, 9.044%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path21

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack3.673
Data Arrival Time3.869
Data Required Time0.196
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_24_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/CLK
0.5160.333tC2QRR7R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Q
1.3170.801tNETRR1R8C16[3][A]main_tx_1_inst/n35_s0/I0
2.0410.724tINSRR79R8C16[3][A]main_tx_1_inst/n35_s0/F
3.8691.827tNETRR1R11C17[2][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_24_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R11C17[2][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_24_s0/CLK
0.1960.012tHld1R11C17[2][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_24_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.724, 19.644%; route: 2.628, 71.312%; tC2Q: 0.333, 9.044%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path22

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack3.673
Data Arrival Time3.869
Data Required Time0.196
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_0_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/CLK
0.5160.333tC2QRR7R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Q
1.3170.801tNETRR1R8C16[3][A]main_tx_1_inst/n35_s0/I0
2.0410.724tINSRR79R8C16[3][A]main_tx_1_inst/n35_s0/F
3.8691.827tNETRR1R8C16[1][B]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_0_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C16[1][B]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_0_s0/CLK
0.1960.012tHld1R8C16[1][B]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_0_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.724, 19.644%; route: 2.628, 71.312%; tC2Q: 0.333, 9.044%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path23

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack3.673
Data Arrival Time3.869
Data Required Time0.196
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_1_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/CLK
0.5160.333tC2QRR7R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Q
1.3170.801tNETRR1R8C16[3][A]main_tx_1_inst/n35_s0/I0
2.0410.724tINSRR79R8C16[3][A]main_tx_1_inst/n35_s0/F
3.8691.827tNETRR1R9C15[2][B]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_1_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R9C15[2][B]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_1_s0/CLK
0.1960.012tHld1R9C15[2][B]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_1_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.724, 19.644%; route: 2.628, 71.312%; tC2Q: 0.333, 9.044%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path24

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack3.673
Data Arrival Time3.869
Data Required Time0.196
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_2_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/CLK
0.5160.333tC2QRR7R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Q
1.3170.801tNETRR1R8C16[3][A]main_tx_1_inst/n35_s0/I0
2.0410.724tINSRR79R8C16[3][A]main_tx_1_inst/n35_s0/F
3.8691.827tNETRR1R8C16[1][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_2_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C16[1][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_2_s0/CLK
0.1960.012tHld1R8C16[1][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_2_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.724, 19.644%; route: 2.628, 71.312%; tC2Q: 0.333, 9.044%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Path25

+

Path Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack3.673
Data Arrival Time3.869
Data Required Time0.196
Frommain_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0
Tomain_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_3_s0
Launch Clki2s_in_sclk:[R]
Latch Clki2s_in_sclk:[R]
+

Data Arrival Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/CLK
0.5160.333tC2QRR7R8C21[0][A]main_tx_1_inst/gowin_fifo/fifo_inst/Empty_s0/Q
1.3170.801tNETRR1R8C16[3][A]main_tx_1_inst/n35_s0/I0
2.0410.724tINSRR79R8C16[3][A]main_tx_1_inst/n35_s0/F
3.8691.827tNETRR1R9C15[2][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_3_s0/CLEAR
+

Data Required Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTLOCNODE
0.0000.000active clock edge time
0.0000.000i2s_in_sclk
0.0000.000tCLRR632TOPSIDE[0]clk2/clkdiv_inst/CLKOUT
0.1830.183tNETRR1R9C15[2][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_3_s0/CLK
0.1960.012tHld1R9C15[2][A]main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_3_s0
+

Path Statistics:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Clock Skew0.000
Hold Relationship 0.000
Logic Level2
Arrival Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
Arrival Data Path Delaycell: 0.724, 19.644%; route: 2.628, 71.312%; tC2Q: 0.333, 9.044%
Required Clock Path Delaycell: 0.000, 0.000%; route: 0.183, 100.000%
+

Minimum Pulse Width Report:

+

Report Command:report_min_pulse_width -nworst 10 -detail

+

MPW1

+

MPW Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Slack:7.608
Actual Width:8.858
Required Width:1.250
Type:Low Pulse Width
Clock:dir_sclk
Objects:main_rx_1_inst/transmitter/zzbclk_s0
+

Late clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
10.1720.000active clock edge time
10.1720.000dir_sclk
10.1720.000tCLFFdir_sclk_ibuf/I
12.4862.314tINSFFdir_sclk_ibuf/O
13.5621.076tNETFFmain_rx_1_inst/transmitter/zzbclk_s0/CLK
+

Early clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
20.3450.000active clock edge time
20.3450.000dir_sclk
20.3450.000tCLRRdir_sclk_ibuf/I
21.7371.392tINSRRdir_sclk_ibuf/O
22.4200.683tNETRRmain_rx_1_inst/transmitter/zzbclk_s0/CLK
+

MPW2

+

MPW Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Slack:7.608
Actual Width:8.858
Required Width:1.250
Type:Low Pulse Width
Clock:dir_sclk
Objects:main_rx_1_inst/transmitter/bclk_pos_edge_s0
+

Late clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
10.1720.000active clock edge time
10.1720.000dir_sclk
10.1720.000tCLFFdir_sclk_ibuf/I
12.4862.314tINSFFdir_sclk_ibuf/O
13.5621.076tNETFFmain_rx_1_inst/transmitter/bclk_pos_edge_s0/CLK
+

Early clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
20.3450.000active clock edge time
20.3450.000dir_sclk
20.3450.000tCLRRdir_sclk_ibuf/I
21.7371.392tINSRRdir_sclk_ibuf/O
22.4200.683tNETRRmain_rx_1_inst/transmitter/bclk_pos_edge_s0/CLK
+

MPW3

+

MPW Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Slack:7.608
Actual Width:8.858
Required Width:1.250
Type:Low Pulse Width
Clock:dir_sclk
Objects:main_rx_1_inst/transmitter/lrclk_edge_s0
+

Late clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
10.1720.000active clock edge time
10.1720.000dir_sclk
10.1720.000tCLFFdir_sclk_ibuf/I
12.4862.314tINSFFdir_sclk_ibuf/O
13.5621.076tNETFFmain_rx_1_inst/transmitter/lrclk_edge_s0/CLK
+

Early clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
20.3450.000active clock edge time
20.3450.000dir_sclk
20.3450.000tCLRRdir_sclk_ibuf/I
21.7371.392tINSRRdir_sclk_ibuf/O
22.4200.683tNETRRmain_rx_1_inst/transmitter/lrclk_edge_s0/CLK
+

MPW4

+

MPW Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Slack:7.608
Actual Width:8.858
Required Width:1.250
Type:Low Pulse Width
Clock:dir_sclk
Objects:main_rx_1_inst/transmitter/sample_ch_1_l_buf_load_24_s0
+

Late clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
10.1720.000active clock edge time
10.1720.000dir_sclk
10.1720.000tCLFFdir_sclk_ibuf/I
12.4862.314tINSFFdir_sclk_ibuf/O
13.5621.076tNETFFmain_rx_1_inst/transmitter/sample_ch_1_l_buf_load_24_s0/CLK
+

Early clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
20.3450.000active clock edge time
20.3450.000dir_sclk
20.3450.000tCLRRdir_sclk_ibuf/I
21.7371.392tINSRRdir_sclk_ibuf/O
22.4200.683tNETRRmain_rx_1_inst/transmitter/sample_ch_1_l_buf_load_24_s0/CLK
+

MPW5

+

MPW Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Slack:7.608
Actual Width:8.858
Required Width:1.250
Type:Low Pulse Width
Clock:dir_sclk
Objects:main_rx_1_inst/transmitter/sample_ch_1_r_buf_load_30_s0
+

Late clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
10.1720.000active clock edge time
10.1720.000dir_sclk
10.1720.000tCLFFdir_sclk_ibuf/I
12.4862.314tINSFFdir_sclk_ibuf/O
13.5621.076tNETFFmain_rx_1_inst/transmitter/sample_ch_1_r_buf_load_30_s0/CLK
+

Early clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
20.3450.000active clock edge time
20.3450.000dir_sclk
20.3450.000tCLRRdir_sclk_ibuf/I
21.7371.392tINSRRdir_sclk_ibuf/O
22.4200.683tNETRRmain_rx_1_inst/transmitter/sample_ch_1_r_buf_load_30_s0/CLK
+

MPW6

+

MPW Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Slack:7.608
Actual Width:8.858
Required Width:1.250
Type:Low Pulse Width
Clock:dir_sclk
Objects:main_rx_1_inst/transmitter/sample_ch_2_l_buf_load_20_s0
+

Late clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
10.1720.000active clock edge time
10.1720.000dir_sclk
10.1720.000tCLFFdir_sclk_ibuf/I
12.4862.314tINSFFdir_sclk_ibuf/O
13.5621.076tNETFFmain_rx_1_inst/transmitter/sample_ch_2_l_buf_load_20_s0/CLK
+

Early clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
20.3450.000active clock edge time
20.3450.000dir_sclk
20.3450.000tCLRRdir_sclk_ibuf/I
21.7371.392tINSRRdir_sclk_ibuf/O
22.4200.683tNETRRmain_rx_1_inst/transmitter/sample_ch_2_l_buf_load_20_s0/CLK
+

MPW7

+

MPW Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Slack:7.608
Actual Width:8.858
Required Width:1.250
Type:Low Pulse Width
Clock:dir_sclk
Objects:main_rx_1_inst/transmitter/sample_ch_3_r_buf_load_22_s0
+

Late clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
10.1720.000active clock edge time
10.1720.000dir_sclk
10.1720.000tCLFFdir_sclk_ibuf/I
12.4862.314tINSFFdir_sclk_ibuf/O
13.5621.076tNETFFmain_rx_1_inst/transmitter/sample_ch_3_r_buf_load_22_s0/CLK
+

Early clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
20.3450.000active clock edge time
20.3450.000dir_sclk
20.3450.000tCLRRdir_sclk_ibuf/I
21.7371.392tINSRRdir_sclk_ibuf/O
22.4200.683tNETRRmain_rx_1_inst/transmitter/sample_ch_3_r_buf_load_22_s0/CLK
+

MPW8

+

MPW Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Slack:7.608
Actual Width:8.858
Required Width:1.250
Type:Low Pulse Width
Clock:dir_sclk
Objects:main_rx_1_inst/transmitter/sample_ch_2_r_buf_play_26_s0
+

Late clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
10.1720.000active clock edge time
10.1720.000dir_sclk
10.1720.000tCLFFdir_sclk_ibuf/I
12.4862.314tINSFFdir_sclk_ibuf/O
13.5621.076tNETFFmain_rx_1_inst/transmitter/sample_ch_2_r_buf_play_26_s0/CLK
+

Early clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
20.3450.000active clock edge time
20.3450.000dir_sclk
20.3450.000tCLRRdir_sclk_ibuf/I
21.7371.392tINSRRdir_sclk_ibuf/O
22.4200.683tNETRRmain_rx_1_inst/transmitter/sample_ch_2_r_buf_play_26_s0/CLK
+

MPW9

+

MPW Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Slack:7.608
Actual Width:8.858
Required Width:1.250
Type:Low Pulse Width
Clock:dir_sclk
Objects:main_rx_1_inst/transmitter/sample_ch_2_r_buf_play_27_s0
+

Late clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
10.1720.000active clock edge time
10.1720.000dir_sclk
10.1720.000tCLFFdir_sclk_ibuf/I
12.4862.314tINSFFdir_sclk_ibuf/O
13.5621.076tNETFFmain_rx_1_inst/transmitter/sample_ch_2_r_buf_play_27_s0/CLK
+

Early clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
20.3450.000active clock edge time
20.3450.000dir_sclk
20.3450.000tCLRRdir_sclk_ibuf/I
21.7371.392tINSRRdir_sclk_ibuf/O
22.4200.683tNETRRmain_rx_1_inst/transmitter/sample_ch_2_r_buf_play_27_s0/CLK
+

MPW10

+

MPW Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
Slack:7.608
Actual Width:8.858
Required Width:1.250
Type:Low Pulse Width
Clock:dir_sclk
Objects:main_rx_1_inst/transmitter/sample_ch_3_r_buf_load_23_s0
+

Late clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
10.1720.000active clock edge time
10.1720.000dir_sclk
10.1720.000tCLFFdir_sclk_ibuf/I
12.4862.314tINSFFdir_sclk_ibuf/O
13.5621.076tNETFFmain_rx_1_inst/transmitter/sample_ch_3_r_buf_load_23_s0/CLK
+

Early clock Path:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFNODE
20.3450.000active clock edge time
20.3450.000dir_sclk
20.3450.000tCLRRdir_sclk_ibuf/I
21.7371.392tINSRRdir_sclk_ibuf/O
22.4200.683tNETRRmain_rx_1_inst/transmitter/sample_ch_3_r_buf_load_23_s0/CLK
+

High Fanout Nets Report:

+

Report Command:report_high_fanout_nets -max_nets 10

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
FANOUTNET NAMEWORST SLACKMAX DELAY
632i2s_in_sclk_d-1.2000.659
371dir_sclk_d6.5061.446
234dir_bclk_d15.1811.061
176n2212_315.1813.462
176n2710_315.5224.117
93bit_counter[0]6.5063.510
88n2020_375.5944.410
88sample_ch_1_r_buf_21_677.4611.869
88n1829_676.1383.931
88n1641_676.4043.446
+

Route Congestions Report:

+

Report Command:report_route_congestion -max_grids 10

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
GRID LOCROUTE CONGESTIONS
R18C3386.11%
R12C2484.72%
R18C3483.33%
R13C3081.94%
R11C1281.94%
R12C2681.94%
R15C1781.94%
R15C3681.94%
R16C3081.94%
R16C1381.94%
+

Timing Exceptions Report:

+

Setup Analysis Report

+

Report Command:report_exceptions -setup -max_paths 5 -max_common_paths 1

+

No timing exceptions to report!

+

Hold Analysis Report

+

Report Command:report_exceptions -hold -max_paths 5 -max_common_paths 1

+

No timing exceptions to report!

+

Recovery Analysis Report

+

Report Command:report_exceptions -recovery -max_paths 5 -max_common_paths 1

+

No timing exceptions to report!

+

Removal Analysis Report

+

Report Command:report_exceptions -removal -max_paths 5 -max_common_paths 1

+

No timing exceptions to report!

+

Timing Constraints Report:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
SDC Command TypeStateDetail Command
TC_CLOCKActivedcreate_clock -name i2s_out_sclk -period 81.38 -waveform {0 40.69} [get_ports {i2s_out_sclk}]
TC_CLOCKActivedcreate_clock -name audio_clk -period 81.38 -waveform {0 40.69} [get_ports {audio_clk}]
TC_CLOCKActivedcreate_clock -name i2s_in_bclk -period 325.521 -waveform {0 162.761} [get_ports {i2s_in_bclk}]
TC_CLOCKActivedcreate_clock -name i2s_in_sclk -period 81.38 -waveform {0 40.69} [get_ports {i2s_in_sclk}]
TC_CLOCKActivedcreate_clock -name i2s_out_lrclk -period 20833.332 -waveform {0 10416.666} [get_ports {i2s_out_lrclk}]
TC_CLOCKActivedcreate_clock -name dir_lrclk -period 5208.333 -waveform {0 2604.166} [get_ports {dir_lrclk}]
TC_CLOCKActivedcreate_clock -name dir_bclk -period 81.38 -waveform {0 40.69} [get_ports {dir_bclk}]
TC_CLOCKActivedcreate_clock -name dir_sclk -period 20.345 -waveform {0 10.172} [get_ports {dir_sclk}]
TC_CLOCKActivedcreate_clock -name i2s_out_bclk -period 325.521 -waveform {0 162.761} [get_ports {i2s_out_bclk}]
TC_CLOCKActivedcreate_clock -name i2s_in_lrclk -period 20833.332 -waveform {0 10416.666} [get_ports {i2s_in_lrclk}]
+
+ + diff --git a/src/hdl/fpga_hypernet_dir/impl/temp/rtl_parser.result b/src/hdl/fpga_hypernet_dir/impl/temp/rtl_parser.result new file mode 100644 index 0000000..5d47553 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/impl/temp/rtl_parser.result @@ -0,0 +1,152 @@ +[ + { + "InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v", + "InstLine" : 1, + "InstName" : "top", + "ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v", + "ModuleLine" : 1, + "ModuleName" : "top", + "SubInsts" : [ + { + "InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v", + "InstLine" : 42, + "InstName" : "pll", + "ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_rpll/gowin_rpll_123M.vhd", + "ModuleLine" : 13, + "ModuleName" : "Gowin_rPLL_123M" + }, + { + "InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v", + "InstLine" : 48, + "InstName" : "clk5", + "ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv5.vhd", + "ModuleLine" : 13, + "ModuleName" : "Gowin_CLKDIV5" + }, + { + "InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v", + "InstLine" : 54, + "InstName" : "clk2", + "ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv2.vhd", + "ModuleLine" : 13, + "ModuleName" : "Gowin_CLKDIV2" + }, + { + "InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v", + "InstLine" : 61, + "InstName" : "tx_status", + "ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/blinky.vhd", + "ModuleLine" : 5, + "ModuleName" : "led_blinker" + }, + { + "InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v", + "InstLine" : 67, + "InstName" : "main_tx_1_inst", + "ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_tx.v", + "ModuleLine" : 5, + "ModuleName" : "main_tx", + "SubInsts" : [ + { + "InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_tx.v", + "InstLine" : 37, + "InstName" : "gowin_fifo", + "ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_fifo/gowin_fifo24.vhd", + "ModuleLine" : 12, + "ModuleName" : "gowin_fifo24" + }, + { + "InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_tx.v", + "InstLine" : 72, + "InstName" : "gowin_aes3_tx", + "ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/gowin_spdif_tx.vhd", + "ModuleLine" : 9, + "ModuleName" : "Gowin_SPDIF_TX" + }, + { + "InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_tx.v", + "InstLine" : 61, + "InstName" : "channel_status", + "ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/hypernet_tx_channel_status.vhd", + "ModuleLine" : 6, + "ModuleName" : "hypernet_tx_channel_status" + }, + { + "InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_tx.v", + "InstLine" : 99, + "InstName" : "clocks", + "ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/hypernet_synced_i2s_clocks.vhd", + "ModuleLine" : 4, + "ModuleName" : "hypernet_synced_i2s_clocks" + }, + { + "InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_tx.v", + "InstLine" : 122, + "InstName" : "receiver", + "ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/i2s_quad_receiver.vhd", + "ModuleLine" : 6, + "ModuleName" : "i2s_quad_receiver" + }, + { + "InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_tx.v", + "InstLine" : 148, + "InstName" : "muxer", + "ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/hypernet_mux.vhd", + "ModuleLine" : 6, + "ModuleName" : "hypernet_mux" + } + ] + }, + { + "InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v", + "InstLine" : 85, + "InstName" : "rx_status", + "ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/blinky.vhd", + "ModuleLine" : 5, + "ModuleName" : "led_blinker" + }, + { + "InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v", + "InstLine" : 91, + "InstName" : "main_rx_1_inst", + "ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_rx.v", + "ModuleLine" : 12, + "ModuleName" : "main_rx", + "SubInsts" : [ + { + "InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_rx.v", + "InstLine" : 44, + "InstName" : "demuxer", + "ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/hypernet_demux.vhd", + "ModuleLine" : 6, + "ModuleName" : "hypernet_demux" + }, + { + "InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_rx.v", + "InstLine" : 64, + "InstName" : "sclk_div", + "ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv4.vhd", + "ModuleLine" : 13, + "ModuleName" : "Gowin_CLKDIV4" + }, + { + "InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_rx.v", + "InstLine" : 71, + "InstName" : "clocks", + "ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/hypernet_synced_i2s_clocks.vhd", + "ModuleLine" : 4, + "ModuleName" : "hypernet_synced_i2s_clocks" + }, + { + "InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_rx.v", + "InstLine" : 83, + "InstName" : "transmitter", + "ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/i2s_quad_transmitter.vhd", + "ModuleLine" : 11, + "ModuleName" : "i2s_quad_transmitter" + } + ] + } + ] + } +] \ No newline at end of file diff --git a/src/hdl/fpga_hypernet_dir/impl/temp/rtl_parser_arg.json b/src/hdl/fpga_hypernet_dir/impl/temp/rtl_parser_arg.json new file mode 100644 index 0000000..1c97c92 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/impl/temp/rtl_parser_arg.json @@ -0,0 +1,90 @@ +{ + "Device" : "GW1NR-9C", + "Files" : [ + { + "Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v", + "Type" : "verilog" + }, + { + "Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_rx.v", + "Type" : "verilog" + }, + { + "Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_tx.v", + "Type" : "verilog" + }, + { + "Library" : "work", + "Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/blinky.vhd", + "Type" : "vhdl" + }, + { + "Library" : "work", + "Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv2.vhd", + "Type" : "vhdl" + }, + { + "Library" : "work", + "Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv4.vhd", + "Type" : "vhdl" + }, + { + "Library" : "work", + "Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv5.vhd", + "Type" : "vhdl" + }, + { + "Library" : "work", + "Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_fifo/gowin_fifo24.vhd", + "Type" : "vhdl" + }, + { + "Library" : "work", + "Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_rpll/gowin_rpll_123M.vhd", + "Type" : "vhdl" + }, + { + "Library" : "work", + "Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/gowin_spdif_tx.vhd", + "Type" : "vhdl" + }, + { + "Library" : "work", + "Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/hypernet_synced_i2s_clocks.vhd", + "Type" : "vhdl" + }, + { + "Library" : "work", + "Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/hypernet_tx_channel_status.vhd", + "Type" : "vhdl" + }, + { + "Library" : "work", + "Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/i2s_quad_receiver.vhd", + "Type" : "vhdl" + }, + { + "Library" : "work", + "Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/i2s_quad_transmitter.vhd", + "Type" : "vhdl" + }, + { + "Library" : "work", + "Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/hypernet_demux.vhd", + "Type" : "vhdl" + }, + { + "Library" : "work", + "Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/hypernet_mux.vhd", + "Type" : "vhdl" + } + ], + "IncludePath" : [ + + ], + "LoopLimit" : 2000, + "ResultFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/impl/temp/rtl_parser.result", + "Top" : "top", + "VerilogStd" : "verilog_2001", + "VhdlStd" : "vhdl_2008" +} \ No newline at end of file diff --git a/src/hdl/fpga_hypernet_dir/src/blinky.vhd b/src/hdl/fpga_hypernet_dir/src/blinky.vhd new file mode 100644 index 0000000..ff9be48 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/blinky.vhd @@ -0,0 +1,45 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.numeric_std.all; + +entity led_blinker is + port ( + clk : in std_logic; -- 12.288MHz input clock + running : in std_logic; -- Lock signal (LED on when running, blinks when unlocked) + led_out : out std_logic; -- LED output (active high) + led_out_n : out std_logic -- LED output (active low) + ); +end entity led_blinker; + +architecture rtl of led_blinker is + constant CLK_FREQ : integer := 12_288_000; -- 12.288MHz input clock frequency + constant TOGGLE_PERIOD : integer := 6_144_000; + + -- Counter to divide down the clock + signal counter : integer range 0 to TOGGLE_PERIOD - 1 := 0; + signal led_state : std_logic := '0'; +begin + + -- Clock divider and LED control process + blink_process : process(clk) + begin + if rising_edge(clk) then + if running = '1' then + counter <= 0; + led_state <= '0'; -- Will be inverted to '0' at output + else + if counter = TOGGLE_PERIOD - 1 then + counter <= 0; + led_state <= not led_state; + else + counter <= counter + 1; + end if; + end if; + end if; + end process blink_process; + + -- Output assignment + led_out <= led_state; + led_out_n <= not led_state; + +end architecture rtl; \ No newline at end of file diff --git a/src/hdl/fpga_hypernet_dir/src/fpga_hypernet_dir.cst b/src/hdl/fpga_hypernet_dir/src/fpga_hypernet_dir.cst new file mode 100644 index 0000000..86793ad --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/fpga_hypernet_dir.cst @@ -0,0 +1,71 @@ +//Copyright (C)2014-2024 Gowin Semiconductor Corporation. +//All rights reserved. +//File Title: Physical Constraints file +//Tool Version: V1.9.10.03 Education (64-bit) +//Part Number: GW1NR-LV9QN88PC6/I5 +//Device: GW1NR-9 +//Device Version: C +//Created Time: Sun 10 05 22:55:21 2025 + +IO_LOC "debug4" 71; +IO_PORT "debug4" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3; +IO_LOC "debug3" 70; +IO_PORT "debug3" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3; +IO_LOC "debug2" 48; +IO_PORT "debug2" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3; +IO_LOC "debug1" 49; +IO_PORT "debug1" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3; +IO_LOC "aes3_in_active_n" 84; +IO_PORT "aes3_in_active_n" IO_TYPE=LVCMOS18 PULL_MODE=UP DRIVE=8 BANK_VCCIO=1.8; +IO_LOC "aes3_out" 28; +IO_PORT "aes3_out" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3; +IO_LOC "aes3_out_active_n" 85; +IO_PORT "aes3_out_active_n" IO_TYPE=LVCMOS18 PULL_MODE=UP DRIVE=8 BANK_VCCIO=1.8; +IO_LOC "i2s_in_lrclk" 53; +IO_PORT "i2s_in_lrclk" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3; +IO_LOC "i2s_in_bclk" 42; +IO_PORT "i2s_in_bclk" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3; +IO_LOC "i2s_in_sclk" 51; +IO_PORT "i2s_in_sclk" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3; +IO_LOC "i2s_out_data_4" 34; +IO_PORT "i2s_out_data_4" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3; +IO_LOC "i2s_out_data_3" 33; +IO_PORT "i2s_out_data_3" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3; +IO_LOC "i2s_out_data_2" 30; +IO_PORT "i2s_out_data_2" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3; +IO_LOC "i2s_out_data_1" 29; +IO_PORT "i2s_out_data_1" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3; +IO_LOC "i2s_out_lrclk" 40; +IO_PORT "i2s_out_lrclk" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3; +IO_LOC "i2s_out_bclk" 41; +IO_PORT "i2s_out_bclk" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3; +IO_LOC "i2s_out_sclk" 35; +IO_PORT "i2s_out_sclk" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3; +IO_LOC "i2s_in_data_4" 57; +IO_PORT "i2s_in_data_4" IO_TYPE=LVCMOS33 PULL_MODE=DOWN BANK_VCCIO=3.3; +IO_LOC "i2s_in_data_3" 56; +IO_PORT "i2s_in_data_3" IO_TYPE=LVCMOS33 PULL_MODE=DOWN BANK_VCCIO=3.3; +IO_LOC "i2s_in_data_2" 55; +IO_PORT "i2s_in_data_2" IO_TYPE=LVCMOS33 PULL_MODE=DOWN BANK_VCCIO=3.3; +IO_LOC "i2s_in_data_1" 54; +IO_PORT "i2s_in_data_1" IO_TYPE=LVCMOS33 PULL_MODE=DOWN BANK_VCCIO=3.3; +IO_LOC "dir_lock" 26; +IO_PORT "dir_lock" IO_TYPE=LVCMOS33 PULL_MODE=UP BANK_VCCIO=3.3; +IO_LOC "dir_data" 25; +IO_PORT "dir_data" IO_TYPE=LVCMOS33 PULL_MODE=UP BANK_VCCIO=3.3; +IO_LOC "dir_lrclk" 39; +IO_PORT "dir_lrclk" IO_TYPE=LVCMOS33 PULL_MODE=UP BANK_VCCIO=3.3; +IO_LOC "dir_bclk" 37; +IO_PORT "dir_bclk" IO_TYPE=LVCMOS33 PULL_MODE=UP BANK_VCCIO=3.3; +IO_LOC "dir_sclk" 38; +IO_PORT "dir_sclk" IO_TYPE=LVCMOS33 PULL_MODE=UP BANK_VCCIO=3.3; +IO_LOC "sys_key_a" 3; +IO_PORT "sys_key_a" IO_TYPE=LVCMOS18 PULL_MODE=UP BANK_VCCIO=1.8; +IO_LOC "sys_clk" 52; +IO_PORT "sys_clk" IO_TYPE=LVCMOS33 PULL_MODE=UP BANK_VCCIO=3.3; +IO_LOC "audio_clk" 36; +IO_PORT "audio_clk" IO_TYPE=LVCMOS33 PULL_MODE=UP BANK_VCCIO=3.3; +IO_LOC "dir_bframe" 27; +IO_PORT "dir_bframe" IO_TYPE=LVCMOS33 PULL_MODE=UP BANK_VCCIO=3.3; +IO_LOC "sys_key_b" 4; +IO_PORT "sys_key_b" IO_TYPE=LVCMOS18 PULL_MODE=UP BANK_VCCIO=1.8; diff --git a/src/hdl/fpga_hypernet_dir/src/fpga_hypernet_dir.sdc b/src/hdl/fpga_hypernet_dir/src/fpga_hypernet_dir.sdc new file mode 100644 index 0000000..123362b --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/fpga_hypernet_dir.sdc @@ -0,0 +1,15 @@ +//Copyright (C)2014-2025 GOWIN Semiconductor Corporation. +//All rights reserved. +//File Title: Timing Constraints file +//Tool Version: V1.9.10.03 Education (64-bit) +//Created Time: 2025-09-07 01:27:16 +create_clock -name i2s_out_sclk -period 81.38 -waveform {0 40.69} [get_ports {i2s_out_sclk}] +create_clock -name audio_clk -period 81.38 -waveform {0 40.69} [get_ports {audio_clk}] +create_clock -name i2s_in_bclk -period 325.521 -waveform {0 162.761} [get_ports {i2s_in_bclk}] +create_clock -name i2s_in_sclk -period 81.38 -waveform {0 40.69} [get_ports {i2s_in_sclk}] +create_clock -name i2s_out_lrclk -period 20833.332 -waveform {0 10416.666} [get_ports {i2s_out_lrclk}] +create_clock -name dir_lrclk -period 5208.333 -waveform {0 2604.166} [get_ports {dir_lrclk}] +create_clock -name dir_bclk -period 81.38 -waveform {0 40.69} [get_ports {dir_bclk}] +create_clock -name dir_sclk -period 20.345 -waveform {0 10.172} [get_ports {dir_sclk}] +create_clock -name i2s_out_bclk -period 325.521 -waveform {0 162.761} [get_ports {i2s_out_bclk}] +create_clock -name i2s_in_lrclk -period 20833.332 -waveform {0 10416.666} [get_ports {i2s_in_lrclk}] diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv2.ipc b/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv2.ipc new file mode 100644 index 0000000..f5c87f0 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv2.ipc @@ -0,0 +1,12 @@ +[General] +ipc_version=4 +file=gowin_clkdiv2 +module=Gowin_CLKDIV2 +target_device=gw1nr9c-004 +type=clock_clkdiv +version=1.0 + +[Config] +Calibration=false +Division_Factor=2 +Language=1 diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv2.mod b/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv2.mod new file mode 100644 index 0000000..f011d38 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv2.mod @@ -0,0 +1,14 @@ +-series GW1NR +-device GW1NR-9 +-device_version C +-package QFN88P +-part_number GW1NR-LV9QN88PC6/I5 + + +-mod_name Gowin_CLKDIV2 +-file_name gowin_clkdiv2 +-path C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/ +-type CLKDIV +-file_type vhdl +-division_factor 2 +-calib false \ No newline at end of file diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv2.vhd b/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv2.vhd new file mode 100644 index 0000000..c948b1f --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv2.vhd @@ -0,0 +1,54 @@ +--Copyright (C)2014-2024 Gowin Semiconductor Corporation. +--All rights reserved. +--File Title: IP file +--Tool Version: V1.9.10.03 Education (64-bit) +--Part Number: GW1NR-LV9QN88PC6/I5 +--Device: GW1NR-9 +--Device Version: C +--Created Time: Tue Aug 19 21:38:12 2025 + +library IEEE; +use IEEE.std_logic_1164.all; + +entity Gowin_CLKDIV2 is + port ( + clkout: out std_logic; + hclkin: in std_logic; + resetn: in std_logic + ); +end Gowin_CLKDIV2; + +architecture Behavioral of Gowin_CLKDIV2 is + + signal gw_gnd: std_logic; + + --component declaration + component CLKDIV + generic ( + GSREN: STRING := "false"; + DIV_MODE : STRING := "2" + ); + port ( + CLKOUT: out std_logic; + HCLKIN: in std_logic; + RESETN: in std_logic; + CALIB: in std_logic + ); + end component; + +begin + gw_gnd <= '0'; + + clkdiv_inst: CLKDIV + generic map ( + GSREN => "false", + DIV_MODE => "2" + ) + port map ( + CLKOUT => clkout, + HCLKIN => hclkin, + RESETN => resetn, + CALIB => gw_gnd + ); + +end Behavioral; --Gowin_CLKDIV2 diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv2_tmp.vhd b/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv2_tmp.vhd new file mode 100644 index 0000000..5aebdc4 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv2_tmp.vhd @@ -0,0 +1,28 @@ +--Copyright (C)2014-2024 Gowin Semiconductor Corporation. +--All rights reserved. +--File Title: Template file for instantiation +--Tool Version: V1.9.10.03 Education (64-bit) +--Part Number: GW1NR-LV9QN88PC6/I5 +--Device: GW1NR-9 +--Device Version: C +--Created Time: Tue Aug 19 21:38:12 2025 + +--Change the instance name and port connections to the signal names +----------Copy here to design-------- + +component Gowin_CLKDIV2 + port ( + clkout: out std_logic; + hclkin: in std_logic; + resetn: in std_logic + ); +end component; + +your_instance_name: Gowin_CLKDIV2 + port map ( + clkout => clkout, + hclkin => hclkin, + resetn => resetn + ); + +----------Copy end------------------- diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv4.ipc b/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv4.ipc new file mode 100644 index 0000000..c5ce0d9 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv4.ipc @@ -0,0 +1,12 @@ +[General] +ipc_version=4 +file=gowin_clkdiv4 +module=Gowin_CLKDIV4 +target_device=gw1nr9c-004 +type=clock_clkdiv +version=1.0 + +[Config] +Calibration=false +Division_Factor=4 +Language=1 diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv4.mod b/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv4.mod new file mode 100644 index 0000000..0241537 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv4.mod @@ -0,0 +1,14 @@ +-series GW1NR +-device GW1NR-9 +-device_version C +-package QFN88P +-part_number GW1NR-LV9QN88PC6/I5 + + +-mod_name Gowin_CLKDIV4 +-file_name gowin_clkdiv4 +-path C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/ +-type CLKDIV +-file_type vhdl +-division_factor 4 +-calib false \ No newline at end of file diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv4.vhd b/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv4.vhd new file mode 100644 index 0000000..9ea30e8 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv4.vhd @@ -0,0 +1,54 @@ +--Copyright (C)2014-2024 Gowin Semiconductor Corporation. +--All rights reserved. +--File Title: IP file +--Tool Version: V1.9.10.03 Education (64-bit) +--Part Number: GW1NR-LV9QN88PC6/I5 +--Device: GW1NR-9 +--Device Version: C +--Created Time: Tue Aug 19 22:30:07 2025 + +library IEEE; +use IEEE.std_logic_1164.all; + +entity Gowin_CLKDIV4 is + port ( + clkout: out std_logic; + hclkin: in std_logic; + resetn: in std_logic + ); +end Gowin_CLKDIV4; + +architecture Behavioral of Gowin_CLKDIV4 is + + signal gw_gnd: std_logic; + + --component declaration + component CLKDIV + generic ( + GSREN: STRING := "false"; + DIV_MODE : STRING := "2" + ); + port ( + CLKOUT: out std_logic; + HCLKIN: in std_logic; + RESETN: in std_logic; + CALIB: in std_logic + ); + end component; + +begin + gw_gnd <= '0'; + + clkdiv_inst: CLKDIV + generic map ( + GSREN => "false", + DIV_MODE => "4" + ) + port map ( + CLKOUT => clkout, + HCLKIN => hclkin, + RESETN => resetn, + CALIB => gw_gnd + ); + +end Behavioral; --Gowin_CLKDIV4 diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv4_tmp.vhd b/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv4_tmp.vhd new file mode 100644 index 0000000..b2d5777 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv4_tmp.vhd @@ -0,0 +1,28 @@ +--Copyright (C)2014-2024 Gowin Semiconductor Corporation. +--All rights reserved. +--File Title: Template file for instantiation +--Tool Version: V1.9.10.03 Education (64-bit) +--Part Number: GW1NR-LV9QN88PC6/I5 +--Device: GW1NR-9 +--Device Version: C +--Created Time: Tue Aug 19 22:30:07 2025 + +--Change the instance name and port connections to the signal names +----------Copy here to design-------- + +component Gowin_CLKDIV4 + port ( + clkout: out std_logic; + hclkin: in std_logic; + resetn: in std_logic + ); +end component; + +your_instance_name: Gowin_CLKDIV4 + port map ( + clkout => clkout, + hclkin => hclkin, + resetn => resetn + ); + +----------Copy end------------------- diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv5.ipc b/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv5.ipc new file mode 100644 index 0000000..45a0a57 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv5.ipc @@ -0,0 +1,12 @@ +[General] +ipc_version=4 +file=gowin_clkdiv5 +module=Gowin_CLKDIV5 +target_device=gw1nr9c-004 +type=clock_clkdiv +version=1.0 + +[Config] +Calibration=false +Division_Factor=5 +Language=1 diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv5.mod b/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv5.mod new file mode 100644 index 0000000..2c86ff8 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv5.mod @@ -0,0 +1,14 @@ +-series GW1NR +-device GW1NR-9 +-device_version C +-package QFN88P +-part_number GW1NR-LV9QN88PC6/I5 + + +-mod_name Gowin_CLKDIV5 +-file_name gowin_clkdiv5 +-path C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/ +-type CLKDIV +-file_type vhdl +-division_factor 5 +-calib false \ No newline at end of file diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv5.vhd b/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv5.vhd new file mode 100644 index 0000000..398a4f7 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv5.vhd @@ -0,0 +1,54 @@ +--Copyright (C)2014-2024 Gowin Semiconductor Corporation. +--All rights reserved. +--File Title: IP file +--Tool Version: V1.9.10.03 Education (64-bit) +--Part Number: GW1NR-LV9QN88PC6/I5 +--Device: GW1NR-9 +--Device Version: C +--Created Time: Tue Aug 19 21:38:44 2025 + +library IEEE; +use IEEE.std_logic_1164.all; + +entity Gowin_CLKDIV5 is + port ( + clkout: out std_logic; + hclkin: in std_logic; + resetn: in std_logic + ); +end Gowin_CLKDIV5; + +architecture Behavioral of Gowin_CLKDIV5 is + + signal gw_gnd: std_logic; + + --component declaration + component CLKDIV + generic ( + GSREN: STRING := "false"; + DIV_MODE : STRING := "2" + ); + port ( + CLKOUT: out std_logic; + HCLKIN: in std_logic; + RESETN: in std_logic; + CALIB: in std_logic + ); + end component; + +begin + gw_gnd <= '0'; + + clkdiv_inst: CLKDIV + generic map ( + GSREN => "false", + DIV_MODE => "5" + ) + port map ( + CLKOUT => clkout, + HCLKIN => hclkin, + RESETN => resetn, + CALIB => gw_gnd + ); + +end Behavioral; --Gowin_CLKDIV5 diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv5_tmp.vhd b/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv5_tmp.vhd new file mode 100644 index 0000000..1a18836 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv5_tmp.vhd @@ -0,0 +1,28 @@ +--Copyright (C)2014-2024 Gowin Semiconductor Corporation. +--All rights reserved. +--File Title: Template file for instantiation +--Tool Version: V1.9.10.03 Education (64-bit) +--Part Number: GW1NR-LV9QN88PC6/I5 +--Device: GW1NR-9 +--Device Version: C +--Created Time: Tue Aug 19 21:38:44 2025 + +--Change the instance name and port connections to the signal names +----------Copy here to design-------- + +component Gowin_CLKDIV5 + port ( + clkout: out std_logic; + hclkin: in std_logic; + resetn: in std_logic + ); +end component; + +your_instance_name: Gowin_CLKDIV5 + port map ( + clkout => clkout, + hclkin => hclkin, + resetn => resetn + ); + +----------Copy end------------------- diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_fifo/gowin_fifo24.ipc b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/gowin_fifo24.ipc new file mode 100644 index 0000000..11e88d0 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/gowin_fifo24.ipc @@ -0,0 +1,32 @@ +[General] +ipc_version=4 +file=gowin_fifo24 +module=gowin_fifo24 +target_device=gw1nr9c-004 +type=fifo +version=3.0 + +[Config] +ALEMPTY=0 +ALEMPTY_SET=4 +ALFULL=0 +ALFULL_SET=8 +COUNT_R=false +COUNT_W=false +DEPTH_R=3 +DEPTH_W=3 +ECC=false +EN_ALEMPTY=true +EN_ALFULL=true +FWFT=false +IMPL=0 +IO_INSERTION=false +LANG=1 +OUTPUT_REG=false +RDEN_CTRL=false +RESET=true +RESET_SYNC=true +Read_Write_Check_on_RAM=true +SIZE_W=24 +STANDARD_FIFO=true +Synthesis_tool=GowinSynthesis diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_fifo/gowin_fifo24.vhd b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/gowin_fifo24.vhd new file mode 100644 index 0000000..498a68b --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/gowin_fifo24.vhd @@ -0,0 +1,425 @@ +-- +--Written by GowinSynthesis +--Tool Version "V1.9.10.03 Education (64-bit)" +--Tue Aug 19 23:16:31 2025 + +--Source file index table: +--file0 "\C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/fifo_define.v" +--file1 "\C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/fifo_parameter.v" +--file2 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/edc.v" +--file3 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/fifo.v" +--file4 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/fifo_top.v" +`protect begin_protected +`protect version="2.3" +`protect author="default" +`protect author_info="default" +`protect encrypt_agent="GOWIN" +`protect encrypt_agent_info="GOWIN Encrypt Version 2.3" + +`protect encoding=(enctype="base64", line_length=76, bytes=256) +`protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa" +`protect key_block +tr4uTyjTC6ybPRQQl1Ho1FdeRaAetRnMEgw23NH75DaXXOeUL8ejGWW4yGukQ9GAE7L8a9UjQhi1 +Wv8JY8zi+egHNWHq2H/fYVhq4x7Nd7mMgDngeO9HB2ekxxkmBQOkAofubskB8/zL/WqsvnJcIgRd +NbobxhhoCD7U2m+xd2BG1MkGfF+yrC4TmfvOnJJiM8pzmEFGiS6INr2DfHsJzf4xAdOp0DN3DyRb +BcwThXPk6lrdFM9mYGO4r2dJiB25D8G6gw4mQex3sLOJUBHTQ94fggfjsHDqVoBwVJs29FmME9Rw +D6e2xIvPvj5lR/idlmTCNuS0Qch/yhM6djFROg== + +`protect encoding=(enctype="base64", line_length=76, bytes=22304) +`protect data_keyowner="default-ip-vendor" +`protect data_keyname="default-ip-key" +`protect data_method="aes128-cfb" +`protect data_block +Ui6eM/iI8GM3oWjv7qcNG9+HIlM/q19t7rBZYgbEgznKJb+5Q4x8So13P4HujKs34OVePTN6mPt8 +p0OkJNwvtbx68pN+xXCgUu7oHdBbVhQDa5P4zRpIfibumScRudqo3MUJ+LBC9QPIiGTAVtvqkFZu +n8vGTp2/y1Ywn9SZPqUVS5Cy4XNlW7/x4Lx5h4PySy7w4akj1ScskXSb5w3CdmFOItMiwj6ZOT/y +wkTu0hLmxJHXoBtevll2BcSw9hhuGn5QOmsNVvw988siti6bOmOaGl6WtxzkVNwHRsrxD6Gza13J +lGXVhXf1Jv/LN4QO8lr/LKaMw+pcUj30ZL+0bORlcJYW6FDyBdFYmsUH17IsbMMiLbFJ5U2Nh++U +PaYCGQ9edj7vm4Uz4R9kCtsj87BsmJCnMqcn0gdcT36VvDjNZvflG7+/TVUTdOAHVV9puS07moj+ +Uev/7yMdjJnEs0iCE6HMPsYrZZfvnQLv8+rJF+ZOOPiv9h3VdIW/ZyupJlbdncb+Dr8/wMKhLNE2 +l42v9OKIJ2YSj31tSoFUw/Z3G3kO0fe7lsZNkEH930oFL11ZkypCWjpodH/lj9hp3YQVG4vwd77b +FkJiMpLh+Tb9ap7uH/WXlJ30QnPCqgtdl5fNN302SS7IQHXNfFhyiXKmFiiuawa5wsZSmFliHvBT +TnjWrH2voxShd9Bzk53856/4n6Snw2vMCqkpHM9hqvR3x8ReNPjojNFbMHmpCxZrv/XRNv2JX2UB +vr4aqBhzDphPZhU90qXO29PN/hLaZGdgV9N8iX9IpDvCqeyKLLcGPrHW+wBQYNSGX0L18/7wDrQU +GUuQtIzN8xxgQqgwFUmqxDcLAK+0SrTYNpezd/W8hM8Kmf5g2hMGSTolQMFcjb2z39QtA1QR43Eb +aemg2zNK/6z1VPeNp4Bcxov5OGF3La/9jm420y8Lr78IXpB3vGjPYl2b3kRjF6+xuh3bAlk3yMrT +PJr2NciNbVb1SlbYj4oL+8UElldOHXv8Z6ZLLpamSy3DISmhKSggtEq1yMka/5uMmP4i0LKWJQHc +YySWcYg82kY95O/ePqy2wXPpi9XF0qeGmPvIw98iHJEv27P2lI9cx6I+/ESFzay2eSiNyq+D04b5 +qg5KvaAz1JRnRgubz6m45ushx17jfuZeFRKIIDJwCB8LshD5xtIXIcXnmahDLzZa41d5tRfxGSra +ZrLUEvEVeCOChlJ6g2hOQOBaea1sUVpX9CBmTn7xAgONoBS4UKUE6uHhI0P3iyC2NEhEdkl4x4A0 +tsfw7C6f5LZyWv0D1JUW8IdyrdQKIDztQrgLOlNH6v5pp25hdAbVHn0c+WF3YSd1+2FhIWzr3qXu +T310JmBiEPZ/EQjsW3WD1nGShu2pY5rUrUI9H5Tj9PeKDxircw4LvE6+OnHL29bh1vJsxCStY5lG +Fe+O96mpxU4Tbux1w09bnXaeLUNW/tKUZ8dIq6027GBgX13ktLkh6HroCJZK81mJOJS8Gun76dKK +5hcR9JjFA+/OQpqvr3Q9q+XP0yaaodqUfZGYSbNIWQTbFstubjNgYklHjI9W9OUdRJAYCl26889b +tawJU/RM1endT/LeveFlxxHZqRtl4Fs86OSDAx68gHCBZeym7LFiG7yG/efnMYcuJUyTWV6YLOks +gDcVcupEnPjFB9yNnk6ESOms1dMUogAT2TcCp39rtq1wXNEuRS3qhKAWBT0vAssaeP1OnzbTTvey +3guhe8Ms82eC8mE5h+wZMzoADkbhzXfD888z2Y/OqWuc86fXnbpk0VOHxC7c2M+vMWaNB+Tzg2fI +HQi00HAS+8zd/G4XIZopET+sbvFwmIcdb0QklInGme6sO/FUcjuzoMxeVSKAKM/8fBsis6b/irhN +5X37H6iXfkI+8WpTRmYn7u6aJqFXvfzt8h+aPhRAatBa8OT4XIxn+kUNeV0fGJpXMcoXnBSlLVzq +Mh5xQ+7knpOeVpKf+1g4HaJR4+zou0kcr9lRpdBCeYOA+gsH0vShbRc4pgKHwR+LmPXrqvTkjeZq +m03lbVmKKg/SCGNVvLtDflM7AIH4JUuwEXk2pIDdnuxxXYCA79vsv56rTh0/eV6R2gAvrG4akNhB +i1gE860sQlu/X/rM115IAjDVcZnMBLG88UJRuN6AlGKn1tU0tGP9wFDVIRkKJ89FTa7n8iOJ6KWK ++L8l4XtwDLNyKCpqUhWLSfFy1pY7FU6ZhfQYSHzYSqC+F4vyJhtwVGgB3G3+ozMlE6tqXesMNEbu +o92vq1IrmPO2rCcaU+42+CUvfNgtxJs9eTnOFhpy2bPQ2vBzUA+h5SgagSlK/t8pN+FwT0ksrB9D +eJ4+S88Rf5y6ISEUwe9eRQPIHZlKrhxAl5nKbeJMaegpYx2kq3ZLXGiO6E2xna8AFBpsWwXtIBh2 +M0ELS/9FMaKqEo6TvhR+Gpo1YwDDslwfdUWkMKblhB1A9rsFfmEmqaIhqDk2Q8x13PfUNQqDpTXx +dgBXYvrA6vbJ8lLsOhNCKvtspfqcKJRZQMF2LNMCKxG5ynKH9ULRTqV+irabuh6wGy7bv3tyN5St +J8Bcg+4XKLJZbdS8PIrICrHdx3EMShBWnB791ttD8N1IC2HSHBVQM75LxMZgffYN/DYRhwpTk7BV +GNgADS6k5sLzUFZU7+olx4rgOnG3NnO62hruj18GBZMCCz7vU0CCCcpAIiOhUfR8MC3MbKvINsZn +4L2ybMUUBK30dq3cYkx/iuXebgHErw9tNLwmtePd456nYB5bWVL7i4mfX5AtMr6WgPNaPN2JLw5i +GHgBeD5TH+hG8cMDCRVvnf1lLGD3VjieEFJJ25nZH6B6QXueMv9WeWBRH3UWKTUGGx9wuWKMoUHC +eO1YV6UkgBixprP77gixEUoL+F9HJrhtMT69P4QBQPevd0tJaOAg5mNwxXvaskN1PrPR3GwkH1Ej +JgWyzG9EosOJPcdXp5XpNfX/FSAB4aHPhy+N5a3S1NCjGwI/i2lNHcTgs5ckr96tPoQnGONkR1qf +XGdzAyvC8ZRnRwRuB/KSWaX6YtmLdftE07dBOPl+EEmTlnQggQDhTRbyUVH7u2cElLpLtzzNofG/ +LrbFRp+CgrBm9HoQBmLAjAXdS/PNPvpDlv+Zqm6SSD0F6edn0vCxpTHDGuWb/dNZzOXGn8TwBRfe +vgWpohE07CXzSFHydl66N82W+bxaq8t2srJFFxMHUFWjbXy70sizBM1BwzgmWza/Qu9afMGHoKh9 +LRmqgdb4Z1/A/pYUj7144l/z+3Lm1rCtyKdj4KHpM7c89CcTgxA05MqTFroBUfdJN4xM+r2nvmu2 +gJuYv6HsOChGJM1dL3Jl1XESh1b6FOt4AYiYsj0ZLedmQNDWfP0CkgbCwI1755q85xQd6JUJyEUL +HcOMAq7tw5WY9l+oOaWr1O0HlUC5Ip0DvFKtp2jrA82XXGn7WLmtHNf3F2h5+UyWldtg9H0YBv4R +UQtK27ST5t4O02ZMor3FuAuqTAteXx40RJ47BF0beNmgFTtHG8ZUPlrhMS9VEsNIMf4T2PLQiWaU +fWLmvKqSWiwPulJWW8GUXVrk8zad8crO65nzFVtWyZmn4P6O2fVIbVLzXMmNWlvMEW4n6QDu8v66 +5bksmJi6bt5SESFBnH3tej9GnezWGOpOUhyGFLln0UA3d6vjcL9TZHXZHwZUVR5iyv9sWomkFB2R +878t3oZpyL3U2aHrk3nEe6QBgVoRnYzZ8SuQAcn/wRtBOW5sl8Kr3hBE8cUXmxQlbM1B7SKASCeZ +LFJ7vMN4frzefPSmgI78Nytuyw5CuTwfj9dQ7AA3NnZTkH0q+LuTIaK1LQShSiTY/sk/WRL7BMgA +K/KSS/opQcUReClhSfdvQnWkbB3ScdndrFxtWx/kxwa8bJ1lmMxmqZV6NTGiWUUTJVCkh8gevQRS ++0x/KmJN8POz3u7IPG4I4U4QU7+k1FwyuoRmfvf/OQHhUrPHeshW6cQLXjIj0yW59XB/MV4Cr5en +te1ogqaulQabX2glQw6XJA3GvA9M0rrhbGValUJppmPmayRGXl21NXO7ezCpzBRBNjPBPoVlZOBt +RfSBiJxbmIgw+q77yNqFzGf8lpyFsRqbdoJjHHQiFIfgRHgHO2/I89K1N/pZ37j9rTwDzL51gqva +6CxvofAI4VzGyCjENlzAMrtO4JRZxXuQ1zl0ZTqgBkR9POnqUAMwx2OPXVGe70xpr8TcR9nPwdMb +INTy//+EaFXlNp5/9Gm2Pr7/HCdnsX9YvHQkhiaX3/1sl0wnr24tOQZ1H2qZc0pIO8J5p9W1V0Q2 +8vJyhe/f1fGnu2XpmdOS8OhUGBDNJvzLMO7KL/wnmiw2dIp0JXleE85iYIMyvlaR40GPmSTOSgLb +FrDn9AHpcIbp1iA2o4paHEnrjYcyJfB3NAA0ph9y6M5jTNrPNe+x5jR3o4EBTcF53x29aZwHuNLi +arnJ0Ss+GSch3GHAv2maL/AD7SZn7pGNZd4h78dn9RrJRyo6VHHgV28INDff0Haik0Rc6YlT3+ne +jWRbH7Onn2+oDFKWyP/1gYYyRoYBXd9Vg0vsru1ylfRUC8oD9z4SMzCo7dgVL3odjr1jBoeOy2+m +jNtYdn0B/AWtNMQc3kTijmrb25KqawAzvlEHSFmENJwxEYWBZ48c2nwlAGBdI6Kxx/m4Sl0d5FEF +Mk4LXsEVdIqvwlcHL9Axk7lE78VXoRppSDS5Pc3uDVZO8bfBxLCE1hBsfwuwS/1d9C4iNcBOLhcL +NmsMc6GZD9PGGcXfzroCyB4SVyP38BcRJnzQ0mraWg+isH8+IK659WHhJA2Ahh8KcNTnHEvVJF/D +bClcGYvz5b5ztVVoVvqLwSnurDIlcC+myAXyMGxg9Fk2JlWh+QpMZTCkh4tKBck642j7lv98UqeV +Z9+UZtrtWSZniZIPgxep1ww9FJS+ZHRuP/+Yerf7IAbHFgI2d6ne4eZGVJNV+8/hBQQnTrO3enHP +sxO288aeUEq8z0UB+0mrBPrEyqSbDgg45N59IgbR+3/JHywsGyFqd8Y3uuL1bShjaLFoLEWI5Mms +aIR43SaFfvA12qEL4Z5eTgLBrvnVr++RXWlLMIxy3e3dJYLHOhedhA5yCNWUdZegxfJJH8OhRm+I +E3ivA+XIA+DPrko8HHOniWjpx/cHynDzePtOwwnTCeSfgNVjPK7o4BJl35ZK1Nhom6TsykoqB2oB +2Pc+NZlcCxggZCXLBuIT4w+pPZJxLhfyf4Tp/6NkaZmPu8Ommlvo4j4PKEQ+Ien9/oa8HmkeeF62 +TduvTA0/P7XNvVK7X0qddTnatrHAA7I9UVryWCBgQDsp44ZBtMDWh4qjrunjHkTmHOWiIxZG3XHH +y4RVzAjz4DfNcqduqlmwvF07c/Va7wKx+VP00XjfspVOR3Mw2X/aIGgLqyHH8bLazkrG8YKkgrLL +I3Lov77ps2XuF5z0kMqPhMGFl59JxIR0sybRtwTKdukRww4Y44l/dzI0KQkPHOt7UBZWs7TOZ9pV +le1mciwCBWqW1yuwM7iORVYS+JBJpXyyS8XeqaGSTAyFooA9gc7EYLezeRM0pKbCsBRcIzg4wqtM +h4q/bqi02FZtO39oJlnEQV6JNwjpMDWh2hNh+lXbdY33eKCvCAunF9Gq8n/qy9VUhiBY7rXHqZIt +LLlv2sdqvnF1RQ2oyXl0jtZo9AGsUbdS1esGMQ+5YEjclOJWjPDkFsBrKnrKkYh6TIozgPL1Cm1F +anNSefdl8a/LCrqbVQCoGRAkG27xRT5FLW6jgr7JL57DgVKo/sw2w/Ki4m4r2YUKgCcYO1N5q8Ee +WT9SCKXULZBUP/uD56c92O/epylb9gAIjVSMFTP3hmhDfeU6vUcH43PUSkf+xuUQHdBCIwaLhADX +LR/17eIWT18dsia5MX7zENUxeySJ7/eXLhpD13Uv1xDOymIMMYosZnhTRorjdl3bLOaPVEFV7PxJ +xvw8BqJpZ1iTHZBc5zW1/BdEJKO+QFVBlrxWZ4TzM1cbeaKP1v7t8BrovDxLg0pLRa/Iq3b2rapC +UmFTrJKzfiVUYaJ5DaZ/u0HPxG2E9w7B6J6VdjS24kRxkjcm6PRQwcYfaG6QRi0QbuDoNjqdSziT +FOI1SsZXEXoprKbD93pBxbbFDyvZ2PKRd11tW7v6vW6WcTmmtXXGn/RnZjEZE0/GVFC1EsT2HsT6 +Lam3g7Vtm3ZAfqrareNoqNT4PcMIRhIrJCZxl57yxYCy8AEqzbWmxbAIBbU9EXVsXdRhB68wz2E7 +ANmx6Nj8j56dob44K8JKLCSDOuJqADzRAzznYB7iKndm8CrLzKNdRLTPRHgdmOVpB6gA0zK6K9KO +hVjcnyIvrGAwJz9JA1YXevgaAnH2Ygf5l65iJzYuWAinbJdkDtq1jDPAy8lMjoHZinD1TBLdQniy +hXPrQKidjUoeoHUZR6md/dvVgvE30pYc6ks49nPlVfkI2KAJPONZpFDnsGzaNr3iCsSBLrblGG1g +oBKCZW0S7SZUPbj0LgzgSF2tDN7YvqRc1O5HGL2z7eqvFshfbmkMnZvKZm5HfWoi22cnLfrX9K5n +u2xwSUVKJsHLXX9PBEMlySxK0+b98UZEXc1ZEeQ6+BvryLE/2Mq2v6lpyXVqK5+g1dKrp9mBxOOT +4iDrwo8r3jhFjGJ0F/N+IplgAG6EKusxSExVy3Odwm1JRAEM8WKjAjmtK/GoAu+4dWTtdRvrRmZl +GDAGZUyVWxTNClieJ1N71nQFVSomBpQYzotk4+LIAvVZDT+l9ZxIOqP4p0PdSd/saI0n1K9Cp7P5 +pbnCO9hNj0PZHZvDHO+H3VOB/wXE7xAAB4slGe+TcFHPD78AjGpgePMjyw7loGcrfbXD4HK+XYJ7 +QJpy1lctoTGASuO1JgbaPmgMH3096szDPGMR/ioA7Ai1GYXpzi5Wb82yDffaEVdaY5Ye2LGQUo4e +R0L85IJNjhhAmGO26Sk1FSqtXbW0E+6RxOEgMSikmN9PLCt3o8cpGoLLZziJKUS7S2ypszYF5EKQ +v8/8T3qn0azGV5cUnMYXirk/GxdKtLVA6mU6Pn2Dmc5KNOvp0VycGBD2eOad0AfTiyylHl4KqEpt +EvH+zOUKbZXV2uFhC7Yl7wbxbg+7tlETWv6uWwqt1km2NIR1MjUzDEibMoB3o5VyJScMjcliGCoX +4XXd/HVaIHVQ24lR99A5rSko9Y2OScVvcllMfgmu65LTutSQ7sua87FilA8LWhC69C0H+/LHSKCt +PBSXxGA628Xma+KBry9aNiaW+PH387GWfdrCVbnRHV5URWYLqBje9DaOePFqL4nncKHN3p/ROtXr +mYFIBzSmohuqF5IsxdokXa2UjcWpyjgHi7ZPTw9R9PYCDk3Ou5U37ke6jnW92gkg6vaewcI/fR85 +RbZttLd3y8mITstJA0SBVDls14KX2jkG2Fsy2+k8MhRo5xy4Dnazkw9aBxKssK5zlFzyHoMdh0sq +loVbNdzLWnjtJvgXol7aFfrAhDLVr/KqJTRyZDHWUKTCo4srfQvLZlW6Ty5kkQiCsOqNm2J+BA48 +uUnwOR6gFkEO/H07GpYmzc7ENLrdU56VFWZU023aTkm9IIzakbgOwYQ9Q4TM79SzQSOxSVbx9YgZ +mHUZccZG7wKoL/7mAPRzfre11Fbi0v8IvJQG2BDMPgOUm2MQtqTAf/M0cezVXiS98mOf8SvxywQQ +zylnGO7vtLNmo34Nsg3rIjq7nN/q0arDQCxtUjTDu3POtU/ksSrbQo35YtsOGTwrXsEQu5X0ie6w +kkYrEAz2lzJ2Yaxw+zsjBOEaUaevtg+1gcm0OI+EHKvNjgYWRHeHotzFMocF4RfLv+AJEusBzvNS +qt7o3qqu99gqjxsnDMrwzz21T16DDjtjmdK5Dbu81/zPjJJG9nRG70AkwvNhuMc1DkfDjsi9a9fd +FB3I99eRZnTterCpIHpoUweie5Z8h/pa/pY44xTNOAMGZPKBhoOSVeTt313oy39EUSGrFjn8PNQx +/068qJ6wsynsOsNcrUq4fyus8KB1VTCMexFy3lgadzF0rHcgF/k4txNZfp1B09gTGI+LYmypx1RA +yPARAxeMbFA+xv++PWTod3rB7p9ZiVQ0DtsdyTv3SGX+OzEW/+F2IzNt0GDaRdmvoyyRaHxzic+n +Z5nyo+yV3ERClRtgxYcbXTICyckQFlJoZ5eDHOH2gSeCdxYApOLskOUyAhVJskJPTFg0hwBB3bYN +UfPuR2sV8e7FjCQD5abxGqfl7FKgzbyoGHzxmiwv3iQ7b9blmZ0/uk+07eKLFHmVbJbfxRZQ1xOq +BBMsAa7Sml3aXqTmDsIYKXj9gHUiTt7RUfvCL+OyLorChrNYCg52aRYuEDzpIw7J80hO9QzD95uP +puwpW6d2v+FOLttzCREMtPM2MTqHO3nPRkDB47sYGf1sTDq2Ci0PjJuOCqhxB9NFQwLsirUzca/C +XqBTBEfMXsvLos7x9Fdz3w6aM17JHmLYoCFW3oIfjb60JeQTWMbizCiB/eOXoZxePycZkjmK7ECq +XQM399qE0i8CoF+5O8tiEtp8UfCJ8eBeGRyS3PX5ruSaHRrObthETz3l+W+u2fiIZwEHtHp7VDZe +WC92jLcn0m0v78SUO7uxerBg/4x3nj+PlM26ReVfmG50AzUY3OtNRxaX5vbWrGvfsNKa97yYpMh/ +Xt5FC0jOv2G/+hUVZSPXINy0gBrP9p77UmeXXh1h6fef+m/bIcXhCMf/8IMCCcBgi0nEDwz1FUcT +ebT0tNTjcaA7hNNrWHqaxDtRA24iMHmXUNozutWIRZd3qkey3TpRclVUbbeIVrhwqUmC2nCBQH/m +h5cmmQNopZuAxw8KTml8K7RykUChneol+BFLgsuEemo1Ss4nKv4AtX3BR9i/nHQaR0RpH2STbupK +0NcpB+x8SS+ALesFBBdTdY9ZcprsSL7N/6LCk6VUsR65yoLRpj/wk0uBDh0JcOs4zF5g2uzKlXP/ +XkIutlbBSV/XbuFrSKHsvpCVFXXT4DRXesqG2tuYPGiJSfl4p6aXdJK+527CSaK2XCdF1l8AOK8P +svsiIJ3mxucvGL1T0mS7YsQI8jQzzvLaaGMgMa9WNryg3+5yJ6RRmowOa3ZNLY/lKoFnk2XqzDaI +gYm73PGEhUttv0jY3d3us/3ILOQ3vvBBjQgdyYyPnAyriStCHyWMiXMtm944F2nk5cM4YwFzJcC9 +EIvyoVP7Bu2/bbW04PCKm98ESCsQkgdaD3wYqgTFGXhHXhDs5RupyFhOoBRHE1FxK59aFnsQKAIc +qhwpIX1Piis/mmDU6TyFBHeElasORNzQNXUHzusFpiJ4KQPa1zpvZk80fFtv9v9PpB8h9JJtYFQa +pltlNyL6K0aPSJVR7/QdnfqbLzShb/xb7HwzstK1sm7NWx7TJw6ztKLImasEDycshorJ7/Ap7eG7 +jlfyoYPfJgGvWS3Beyp+hW5qxBQWtP68v0GYU7rKXTUMCQriGJsgWxbSO327Cb88IyDGB3XxeER7 +ZgkCe54epAOqYwXQiLffaWZNkYzYYGlrmI/sBpWUQYReUNQabAUouOSXaZ89aRTN+LOJcbTeufsj +CRDHPTWTgf97IZpuzpcMd6lKM9WVcExETWubqWWNbDCukMM72PKq/HGP5pUaQSbJVAwb3GGeyKQt +3RU1FW830T7a7fTP8C3xn+ygC79bXKxk69xi2/7BzYs9Xq7Lb/oBVikpLRi5xxkTuniGwf5KEmYC +2vAgtlSvEkEozfPcqA5+lH6XJUKWDF14aIA/uKQjKmcRW1aNHdHqqTe+ZMABjCh4V1mQ/DTdzMDg +iIm78CObhooQSANZORMskE3O41fOELxTRElJ94jStoJQ9BWJTbtC2357PSn9EXBbs0uUyWrg+LRN +V+8XJGGoe8T7FzASP3sfUvGhGRzS1TkR4wCbdYdqN0NXWLlTEU9xfXZ8zUywD9jsSNwiL7IMBJOO +Gv0bkTm1sN57SyVEih+75iRwFb9WgRehRQ23MkHkbXo7VUy5QPtdMYfnBZkeUjq11f+z5TkzGFIJ +7Sov5ckdEFfKSKC5QWcB2FzX0gvzsEXTfuPSuMhTH8Z1od4M1Q1bia/bN2Dv+maYF8BUhihBTbOI +88uUSkeUeJXOUIFkGim9dQDx3RSAW7nUX3C4cDKFHRJCztzcb3qbqwn97uRYif11CSyUoftvhK0j +f9K8TiIFRKX72c1SJ1Wcy87C+OGqRD+U7WaAVABQFWcpE35eCZTd0RhXh1TmfIFcQUVuPmdSD2HZ +UuS0A6b2QxdBugYBUIiUsXGwxlH1fVvS1hAVVYEYWgUZQZrdhHbzx0aWexiuNqlnwTv3TUIMQPYS +oIDaItjjnV4DAVbeWq1ggleXBs0E9H9pPxkWb7dEyWKTSr5JrYBy0bCQy4MISvZgHD08O5FBpj9c +RPKYNOvQJip7LkPTt+gRc30BXAO9YIQwxpSskHUf9mLVkXna7hg+q9dL+W6RcNKmgD8B3WeQwpLT +Bp8HKt312BxmREiovL+z1dVW46RdXKvt8Zv7bk7L279OadWIUEn7+YT2BI63AtIz5q4w3jpjTaXz +QuFhlqlEmfondSdlVfiUrFFN3IFwUR8wU7kBIRDp7bnu61SfTdACh8/MwiZCNnfKhXQlD0C/CdVc +ZBuIR0DdBVfHRaIQV2LTDda/cuF+FRJuABdRrOoUBiCk51PIE+7SxgPAPnwZX9IGTw4c0j1CXOAg +Yi8Pwih2Tf6cPzlH5qyAcNwJ43BSbZEE0A2Wf7lQomQHBSxcaL2dzJr3GesCn44ahIYgLunJsTl0 +F8zf3BPyFIvTej4RpdrPOFOKwpXMT1QNcgGsGOc+zfTeCDXSKiVd5sJWSpxsN/lvdM1xchKc3r4k +sf7nOCWOpezO9WWsHEb4mDuRAf/udIfkO5FGKsoNYJnKPo7qOkgpPhXJmfpup/VMMATnj4ZbQhIG +bEpRy3Q1ajU+N8QizuuDgGN7KOyDR0tzGl+PVG1eTYQNXYUQxeCZZ+Cap7ftVMQwBBGMwC56QRas +Jg4jn8j42ZMv2ODrqNf3StNT+IuWqIYTKbWa/Dbdk2QN58n218/UzZcQyEJdCdC5eKquXez2q7Ua +l+rX7rL/+opYxljO9FcHb0kfDzKMmmkSWkK0+S2AY0sPTgTZwI0CTwiPi0X7qfsHNxofSw1fbUxz +TAz2SgXqmmRSjI7jfnKXmdJPe8mQr0xe77QHOmqh02SqBGwSzGKPdiXcL5tqiDurHjInuKMjN1jc +ufZ5DbEQr4bWxYCoMyIFv2COs0OApcmcfKTci795AahzN46o50T5dQ1675T3rGexlVRq/0vDvsT6 +fIMVjrkoXY0+SkjkGVRa6I2eDoIzqqHHbNl8iwyA/OdtVNjUV0eQYNHsTvmQv53YtpgxjQPTGclH +xKKcdJnSo0XvyU1mOg0HapmU/b61j66TWsb0AFntDaWL1kilS0Kc1vnCLybCmgopHMTJkrgWcEnI +Y9s4pIGGUVS0KR5uRYq0Iq+A4DSRsiQDCEF5AKYHpkcQN+CfT43/O9THKoGt4NvhBEdXHPWje1zz +8eD123pG8dOHTpHf+T1eIu3cXNFBkz4s7ebTc6y8tZmGZlGuIE9b2rpTph3UAE+fazYPYkgeoail +NfwU6zZ+FvZDcOCigJjeKI5cz6Z0osLVnR21NbAOW1w4kpfLw0D8zOuHI4qv8qJX/5u9sMueGBGw +2U1ampSPPXTrn7CAgXbKoRLgrNs9TOW+Y1rolXbb+Okj7k1zSXZoozmaoKTiU8B8pJEhujYkUDfv +ys4O15UYuI2BrXntM916/bFGP2IZ7EyFIIj9Fwgyr3CS+wZIXO7ECz2tH6Y8hJflfRL7jKOnMiy9 +3pGu31pjrqP4UGp8peMg7XKrqt6nvGyKHe7epfiHQ3miCofSKikQHyabiZ1Pnil1TW+Llqub5vlA +r6D/qSG1NFgItL2wJkxX9+KtI7ERqSSeOIPJMC6SzNXMI362NFKlDh7a6nQbiwdb/gWrCr3f0Ap0 +/bmXJj+THlffXUWsWzrtXn1NKkoPIwIonl+pem3rNZHXgFGDvqV8fzb9LMiYpGnJRm8ZCCEQV8kb +1S99wfGxHwRizuRxcBTI6eaGYP21g0TgTuYiYxLpaW1OzYcXzW4jGbabjFoR9Aa3OFcl4WpfDcuF +Resyc9cFIYCLME7PYxowpGBoMx4sIr+ny2c2Zt1zmFaIlbhdTQLwrIl4vjSeGWEICOaBEes28r3o +nJSv6aVZK3nIx+7N4K04E76mbFdxaia+c6Ya+kGyK28OaoVDmRVwtfKsgyV4+chPtZYrSM0cym1B +OQL2mX+zDjqKWzfTytuuo9ITmuAhnuW+Yn3XvcjjPJog/bKb69HP4GCDD9Ec9ercsVg0fQ+LetNB +YdpubK6q24hwJ71KDlQbpz04T8+YhA7mjosOp0675VwKwhnhh3OKSkJsOYTm+jO1kEYQLT0nEbQg +CtWXzO7784mWtlp2/NXk8JH1hVAhWhe/gbKz3/Nmahrc9A85ZIAgtx9dnwst6Lin3xaoBmPaMGZP +TQvkN7iyQ4mOzcNE4E0gBCSz9tRChPOt5Z6Ol5vOznWFFKZO3U5tK6875XvFg5TP6C+kwbp/SIWE +kM+v2JK5z5ZSnvAFD/7XooCEucm2Oge8Q2KlINc24w5kpaI8Y1HIy6AAEEDBrRxKcwpCuNVPRbEx +Q+k/BSxWJlB4AHrF6o+ToP/4Oebl7V5xYALuLK6wktBNxM+UC5tji+qQk+U4rS74SqTeZsiws/CD +eTxztASDY0t/ZGiim/D5IMEd3EkycibBg3AsfNOMYJgFJw6AAXLGRgOLDXcRShpLdqGnfw136eDv +MaDNZdBiiUjsvnHA7gJJNyEaQ9ul/GHj0LQcP+5DPpBK00SRDtbRMXWCKFyM0KUNauLkUcRI1G1A +/XqaPYsEwCx3PvloCAjOaI4NParISIQuPFtPGdPyMYBgyFiVBoH7uxDMO9rDkpVRgYWeko/sEaYh +fIpWtVaqbcsRoVsb9qcugVbp6R5FyAZSa85tCKQSySdjgPbQpAziiKn27s9/PpFPz4YKIJhlak/i +3Y1CBnbYw4oxf5qy+ACxTW2YW64VGnpzF8dcvHwokgsZXDjnrJ2kQsKC39KxEctH99OIB40qfUcR +0L4DShI0IKCEGJyRO0EUZJo29LLWOmTnL/AX4/k2nmbN47UnQNTanh4+99pOfsXv8xSeLi+ftFeA +k1FdjrlnmMHZWpkmgTGFO49dYotttuqfQmAP/xjPPj/a82UP/Mef2cG6hqkZyoSlkwNx+qf3jQQX +dVfKPbz61H9zKfAcX9tP5SSi92mslyIkvOqGUifi50IARPjy/bOiKVuNuO1zTUo8ueFwA+FcoM3M +wiNhF5xWyQpiN26iIU5mlqrV7eQqvJNC9evkWPh5l53cy8QVo8+D0HHjoZGzE2vi6yOTaLNyR7Jl +Vpb4syxyL7ohH7TorZ0y5gUSBK1s0K5f5UtF3LVKXiSSkxOWMN7nQcf+gcLRZ3TI9TFTprkIdCdF +7da/hgyG7U1RvqLQla90WCw+n4AHLoyumyT1KOZ7OsRMfScBgkcm4Xx3xLZZJtrdmnEwHNTys4lM +JCDlPn9AqgTabTqReU7YvIrkDA3e41j8Cw/idGhbGT9VvEtK/73O2R2pnEy1OG+l+evLTzhJMpBb +EgXi2fBWd7tbWQXsK1qEZqIARuFNgkzH4DEsdwP0aMTl4mTmCXelSmCqUsCTd6ccNGqmgJtvoQl3 +G3Tk6KBpLNI5EiYjluPryoBjs1o8kTiVvQiwQMdkZOAWrrjlxpR/gpUzLtyt8TJtpcy7vkLL6L9b +KYgE6v8+EDIkZTYxdfYSFfWpZEQyJWKK4oOn7BggWwI9Vh4d1U0wd3Dufh3UaMhYAe25dYhaCKS6 +OEm1f5D89qtFOUL19XZko4hMc1EhYdEvvLKMrYtog8o5VvJyFNciShepmcBqEWQR3tvFW9/b15nu +L9ElACg30RbW2M7WQ6czOAfzp3CEJnmmLel+NCmLsnDM618OX0JnQaaSs0eV43I8d30Bj7hjQXT+ +/4IteD8UAihN1T49CcNlxxdCHs5zu/Joh/Kz4X7VrOaH94q+FsB3hsSSck70wB0SyI2QaFmfdvSJ +voK7ft4rRY5HBYaRLmVo4lB8QOD3kZ0hkItmAnUZ3ZQ+ZCztsDxi/60ds6jaQsiZ3205dSez9+Ek +qsvussMwQgbBD36zbm7ZzG4pxKtPe1/By7kUzwkIM5ku/D2c/tn+pwAonK7Gl9Pu7YZOfXIegbco +MCW5RWycBJobex+3PG4cvUqdrg8vXhL89H9J9t17cu+xsuTy6RN5bw8P/MhJc7CblcdatXfxB4kK +PP03awySrtTH64yp6VdzO6eIsTrqudvNvKaX+KYHLvJr8odHoA8MtwrCVlSoER891EWvWCsF6wp9 +m6xaxY4KyW6b0Zca6ZSfISxRkcyzHhj7mLAHENaIUu7kJpZbgnZASaCPuehUZXsCYJgQps1gSzDN +nkO+YecWY3DyRMgIFa9JGE6/7LxTWHarHPpSDu7hwdmQXLNiCv/mJTp6Ciq/vxbk5kyzRijZf/HG +8DyqMNt3QdF0ngmkn3IypKD8h9d2kNgHugxzq2ROzb0XUy/s/ZXK8rrxupiB2NMXQdmMbESwafnc +8E2QFK0LmFPIn3h96mZSps5YSMiD4Vo6TeSbUZnMXlU9L6UtXQXE+Od+StvENWhaSKB99C/Ayfsu +h7ffbg8ymAlCQRSFErmPn9cMHYHmAkVpbhSLZ9EgUXG/zyGsh0snpjsprNQPXyeMoUwHBt5Tfeuu +7+vudrxSswuE3EnGMNiBzC0oBGyQqf/ofxyA6vS3a2sM6arJfoQh1F25nynWo6XJpYg6NEa2NQgQ +YyJpEX78aQvAoR6ZRBCky9wPT1iZcBxgoMVVtRlK3hMN5HvCrlR+2vTArXhhh0NIReLdxyH0qDOc +5cOk23JhbG0MVG/m3hyJu4Jy/ns7ZKtJKq9pEUr3REwec7QawEpsTdKYaExm+WjLSwSy0GzsRP0R +pX+b2krNJwxMNJHyNihFyYc1UuhGZOmsTEN20q2q/4aj3MWFgdRmUhn9RlNj8hYpDfki8WxTokkM +AVhy4J3QqXhf+Ce5Y3+a6Lih1SbQcLALN2BaliPRlt0mjFZkGpwUUPThUlPhLoDe979tPi70xn+n +7ru2eh2w6CfSQDwXwK1/x2o4vQ02eUguvHLbQfn9rElYmLoFtSQiCAKMvkruf10gaq/bdFPes1a3 +lmFxdSeGThuLrZYEJjYM75uMTQUtdQh6XbVFFjXylfsEXYEFUpYqGWuD6mM/RfYgJfXhY51Q03J8 +921m8PJeVBm62o1cJgfeDE/dXjJyMXslzMoPIx8y2GLfavtcPK/X6wwbK3WYp8bkodBxTrlTlqm/ +KyuGjXCEBvzHIx6kJcD5olpCqABF1bUHv1ZXSv/kFN2o5bwrfNBykQ7BMC1/1LAPHS66BpUej/YP +JULGv8wToxskB/j9wwd77vI4LuyXfnSJDB0VZnXS/4cZsdwMvDIt2Cu/e5IWE901FQjBFaUeEd5c +PM9miCgKmVlLkc93rZLEqf/67y6FaW0tVRNOEk0g3TN0E7p+YAoTvTAUVzcXrmTEjzQEPAYF7M31 +BvC/voQqZYDjFTNrsI0sOvCl/9cLFCgufcoEsyNhvuUEddTlZPiX0gdypshAZUsI+BkJHy1Nru4u +pbYN4X844/xD21B2o87T89UMplfg0SGBbBF+ErrTpC0wEXlkFR3ZAUfLzdVi9XmcxivE6oI/uOJY +cVAskx4vUQfi9tFdwF/GXGheHQxswrujKhX/4fFrr5xxO4u+j2cD1yOQDK03ZsU1gmeh6doRgGnS +mYoCsgP98EzJp1vIR44PeEtdCIvTkR9erPyJ3rI/JDREGHh5UrUz7YJbedxUHMbBNxxiJjfJ5P3H +l/S3SID1/KjgIAehEpwUUCfeBvlE2hOSZO0Y/rpLH/RUg7fodgAOgKK3DoO3AQ8J3HGo+6RnnQvc +gtBXH1cncdJYXi+49nalvNWNcWrhB2kCGHCvrxzzdbS1Yr8p0Qzj2PEL/u/4Zn/9dql06Eq9rmsy +UqLTzc+jVdcNEwju0hTxM3BoKGwEk5J51oGNfNPPRdMzboA/AQlM9t0/gvDkMjpxybCR7kcxl/bJ +7+MJ8IdjRLqbPJtqSMKW6WJj2VGEkAttFijkOAvIKqoRYwcq4dUoiQHYil/nOBEeP+cHNv67Yhyn +EVWUSonYV29shIofEz06Ltsn3rcnUWk/xBIlvtabRnlDfrn1VzZCo68t6e0tmAXZB4bU4BaI6rkG +hvUgpaJd4bWeR5qRQYM3+9LsgCe761Cbd9bGoy/h2doouHLdak0KkcpUnBvjcp2Z/zkAHR5MQnhS +Q1cemoNKHRG966U43kxFP8y5UWEYYlHFjcIpSAZEA2YfZDgo7LjWPwRmZMXQuFLTrwPI3DO7i1mx +IIfhCuiK8Fh9TCoCuyYEy1cZgmSkxh8nxtmhB3Ig7kcy8I+RpNBSJL55rRzeURoDHzT+A/+42yO1 +Ie1B5pYyWXdqRJTMtJbaQVl1a9UXoZIguOxWEb+ZrZZeRdXPKQvZSEGW/8di6dn87mw6cFeIJC5f +s924eMNZ2A150jMasjXwaoNd8Mw7gbgtqtDjb7ElZy8I7SLpSVJoo4il1V+0D9cnnJGkPAiFzm74 +JCNvMFV2fA3cuyPKTdQKd2m/HLxn5RJU+5GjpDUas1jKIkBEWQaz6Xa/x9cMG+8R+75D4D2crNBV +NbTTIF/nx0oRKiRM0CZAdt465Wbag2kt+k7wrvQXyVnqhAB0cqjFOylstddzjDCv/uHtkcqiJ9CM +uYDGQTo/zwOB3OOZ0yfUkKQzRSmjm79GPGpASfU/GluBN+OB7rs+OmcvbiIw8quXvRxYmejvrKbY +BzqxT/9VZdB7V3+kA6/N3DnMO/4VQ+Itnk66LY9YjUsW1Q+jIl40/TBdNe54LPlsrnPNznwcQMY8 +1yyzmYEX/CaxnzmLIEqYyHqs0lII+viTrKecEJGxgwK5+ORT6OK9eMm0Ps9UMa4kQDwvTBiyLgtm +t/Miwj7+F0/MQHgiXV57OAStR8uYy+JD1ef57BnonYV9G84SRFUbMGodlviYdi+iiW25Py3a8V2b +IJ0G0caWdCoSiTvhb1YQ2UtBfti8q/L4G9Wh2s1sNf8CmVq/LJeOAD8QzARHxUMqe8jE/ZNRDgmV +i+QVZOUq50+LjIAhG48wQHr+UmiYOg5/Yx7FC62zqGso28PL6QVyXcibAoo1I+J0evB89a+Csd6i +MZDiL4u/lkTpHbClEz1rTDNytxOasvhoR0/OOcQxw+tYzG5d5RJYnMJGE6a/iHaRshTkU3LFIUph +NrUkaD/BoGdhe06zDCcmFj96wlpV3ZLqYAnAwf2obD7xGE9ilvPTW4m414UjPgRyD5U+IYsYT6kQ +2dzW7f4QQT5PWqkwxohFkvcGUXI3rI4XO0GeUSktpymeoenSQzEYn4nMqi61a+8gEejbqagYOMOi +ltREREXZJ4zIT6buWrMRaq99h2N0H2CaZCarxFDDcRGTP6gIhNxD2kDC3k1XiHSFUNmLBLL526VI +W1d9SGjPmjS6S/MP1nKDXIGopzhcXNwBRYfZB6XtYL6RzGNEyqeLRJF3jNdDt7fzd21KWfiN8KEW +9TQ9aY2041X0YTlAvJLSDXi8zXXcsQMH9K/SqfBne1b1Y/QRleajnjB9199l5TYwBRvr3U+Vjhgf +wNoVB6lcvJhjJpHsaqKqgTvAvPzfqm1WdXG3kpIHi+QyekWbMFnzuTtXSN9C9K1pqeeAit7Xg2J/ +vmWZHZGk7wuO5pM7Ty71cOjmPweCZa7+PlcahFZHbOVbg1j9jW1XWySc7DTrOAHdsaxs0jWJ2TSd +H7sk2/Uw6wJMo2zDQqmAKsDBy7UzahEsgW25jCal9CMIZLlFxpQ9pORWlTHorC+deDbvYFtxAnlO +e/Z1etHXchxhtMQjuxjWnOKkAitwQ15wDu5XLYPtFUX4Qs5ARftSgCTdSKjsEoS7oJm+Cp2tru3q +M+zaRMfl6z2GTj08PBcscYQ/Y7KWy7qfJ/w1uBkQnmpmVOI5oXUJOVbDjXTEjlQ1K2NCt4CDizGs +42pax7SwyrK8r8v9BzLaBhU+YVIm1CGLqLPH7DQcrratucQ/5PQ9qlfCBH1G86RS7p0HFQwTVAUC +9eU0M3OuO5InK30HFNczrW5tjjIyB9EJ0aYcPQQAxp03zxIAsVlvVLu1/aEeSwe1FBB98ToDnkov +OSqc9JEfqyBWRHQ/rihSm2OgdE+dLJy1UB8isOIkRsNN2dZRZl+AMmngid9TiZNe5fpnJm9wWUVp +F0j/nnKU3Mh1dKrIZ2LNgWinsvRO8OpOEmFrVRohRkqQhas51EzDoCVhuiG/0ChKwO48e5l0M4jB +XNP60n1FXK4Jcal+FBOdzgZa41rlZrGkhLDcYqdR2keXql88DcYJsppWaRaFLOoUdL+/BcYFQVNz +y9xUUjVtk3SK94Dioh5eJ9ghG82CBedZeZHcxm3mzkW7yVOeN8eoo4KR8G3XFQ5Mbr2nU138CG1D +w91Tvy5VxeRzUhYEaQ8NIsxVValoRFolFtG6WcSwFRdTob8uClV9zqrZqBcQQn0gY3/P/3o6CpXg +Y9dZ1WgcHiugCMWyIH51zA8MKs4ltzRwP5KrVlwALNfuLtKY/Lp/ciFpLjoBDlEAoBgjEAZr3E2y +iokCd7IiXujW2wJ8LdUmvPkrJ8Fikn/1gjPg0DBIHD1njgYoTUJaE6Fk8R5SP2Lsfxo0Koxu7lLQ +EFIG9Hg6+gUvLCtBz8Wvj/3YBVlRoMxDzg5nnlAGQF2tLk9dQXM26aPxjD5gbdGvjCxtYG4CJCq9 +AO6r3ouH63r8whNfT/sc+nj2KbpWw78ZKmAqY9D/MUX2jVN9jqvnjKWBOJWaU4SOs0dUOF88HxQJ +uX9f4cg5YT50C9/ggfS2Ge2elt7GPdf5HjHn0DiIsgI8Ftb9O7asyk3q+XQZ8fQe9hHtFe1IsRDj +PX+rAvI6kupE9gQiZWi54LtzRRUL5Xean58UOH9eBHuSAv7FntUt47eQtG1V9xlpHa32ouZq0T1P +PYMKWuqzmIGSda7n6/wTTWs+Hh6h5DMzP/GfB6F1ZHhl3NtrY0/ScPfsGXoWpzicRP+fHy0tObvJ +luu9io49Jnd9k3VGX4/x6qU52Mc4e2LkQC9Ph22W9rk2gJfbG/Cpv7Hiv08bkt/Bwng08MFLQgzg +8/tboI0oXn+GVC8WTQwI1FRR2b8pMtfD7Q6VGnKoJ5OT9OcGoe1P64kf/uDLzXPiJyXOlyKq37hV +0EoOGP9NpnsUnGRJhfeaROPM/eLc/ENSbbNpyKJ8m9tJJFEZaR7FGBq8phMk+FkX+c4hk6egvGK5 +6eH0JiEfff59R0aecipUOrCV+3zYuDr8QdSofTsQgW/KZre5EMBmZPsTnw2AAILckw7RiPjij1oS +cL/5jlw+cmory1KFq4xMSfBW+LImeXbOiMk+NbAKax45DPb0SNHC8yOZQ4oiCgaMR2JlHBMZl3M0 +d/3/nLUeO34pEr76ky/KwpIyTFs0J2l9Vj3QFr9y4vvH1yKkqI1DRKcgAgymR8QMgJA3qE61Nu6y +0mALEhdWuv415BKi4EgcAm4ptYLZYLBUbv4aDHVleQzPsxGtYfHBu3xEiLfW1F6mI2b/UcQNw/2A +HJFAqEdXA/jGoMubPiXwMZbJrcBy0UEcoGAZa2+iPMi4LsYvpdHoIn9/HgvjIFqidKyIjSaCmlVh +PL57SLF2bm9Nh80xsLTbAt3CpSm8L/FA94/PwQo1AykYwckwVEJraxBigZANqWnadsybVr7pMWZZ +YLojBHdq0dh+kW4rPv842ecvPUbU7QpT8g3L9dem7yOIHkyZsoFgRgncxIfyiZl7bRsiUiJWkMlO +uZn/1roCPIFZEnFHddciGLtHGP/rXNiaW9D2gUK3KNsAIc8wVsi5hAF+ZQnyX/MZts7igJrDWGZZ +cuMUCY5d3qleOAcBHd7q/WkSSdgGa4YCr37GS/tsxuxAKv/jdj5z9t7+SuxtyILtDzRfr1Wmt5bC +WYi/Ur3Q5YF6uBJE5KeetHP5CxJKDukyJm82Qg2IIasc3Rg+sDfumL38DjHBb3WUdfZWSFH5oQZ1 +mGSgQQDFkBhFMJq7Ve5mab8c6XyWQxQq7rDfRFj825QxMVPpQ3xZ9hWE1RaAp9E5Ik68o3LX4NxL +rvLo8JuG+QFwlkR4gGC72Y95dhW/21IWG17AANR+LW7BPNIhG1TLvd3RBWrqd0fO/NATfODtTR4w +h0Vdp8/M+PKpBwpIM2dE9XIzN7ikYHSqIAAUQX0+cR0LPKEd+urIt+Vtjes3oZNnreEuwTMdTVPr +bX591wsO4a9glxFMVu8CxRv6NWRiqD2l8ClhmVBiueOxIYiNr2Z0KnlzQE00JUso801RXNznZge/ +FNUBMZLSuIhXxpn2OUSlRC++fJw8SFds8gUqvNu9TSd99n8xAnw3/9AZDZWCl4/e0W9INLnn+O4n +bdeQp9BQkXYOgc59SUdN1KERzTgf1kEpLfdPmXr+qGFciZRFD0h/DPqwGvD3zIDzW3UTT7Bttd7q +DVlmXvBvufC1/CV0520fLNNAAUTOcQcSNBl1Xrdvj/x0edo1ZWsUSd5RM47kff4Il1DERowVKjhd +zSO1bOQKjEYUUSpt5jPQI+vVch40lGf8UAw0EcdlsFJ0COHrX7McCvs3hlLaq+/YiOWb7Qe+7pJl +8LqpNoRt70euvXJ31ThIQTcMAVFo24EIG68QeLD6brspREdYGIjEiOkLes82tzc2R5W5f9YPPnz5 +X6eZUGo1g67z5Ai4WTuAN0WpdZRpdFRDflhJpP9RSdEZxUOVyg3e/oAHYYqpa8a/sa09HLH2FLxV +Wnlxk9hBuT7P1iUPok0qcsZXzkbNvZ3qaHx1Ogur0XJcpBCXqAKS4gXoQ2eyHeGpTVRehrAbrJhl +HFxzMJ7OkNd3kuq4Tnmg+qyBt4RWzKGeOZiyEL9GFFx3c2BIv+CsCxRVXkqQ8vBR2nAqhBsv015+ +Uz9/RVJXSN4+6v9Un04aIaqzOtZ9WEXZqflAipBVRv2PYzNclTOqGVwCKqIlZufV0HIJCTz66Lzx +2n5PQ5YGVFrtJkXaWvj5tDcv1jbE4Ac3cFlIY7DSth2jxqYNj6in39qdOBYuVDpiqjNIRrJphtib +iYbdY63MtDaUAo9grdRwWJZJc0C4G7IG5e2SLNrzIWsdNWozTZveY3HjbqlCxJsNXjaQxCPNt9ZE +HRScqFhelBtE95pAU/iEb/bdsidlcrUtkfoitd+E8SHE//o7zKX1dQNa7b25Ucp9tKsEBaKE20HT +tzckTp7SBg8wFdfOd3bK2H9SlXD/vFvKRBJ03qZETNKUN7aPausqiVGksfrzL7z3ZhyWNB4mAm2l +nMjq0BAJYh2GIy1572qUhLQO8iKW34MhoGL9Q3H31LZXqsxc/tAtqUIvoOk/Lw6AhIj4CT5G4FRd +d2/SOS1OkzYgLQ3fL9hxw31MCST2lB/xnb8vVaM61IfFT20/rqg2Q2ysVGP4+DfP+4ySHJ8FUKUT +Ty73DvSEyIj0Hip6ymORY0esHv7WMa9847uuZviyD3E1/hkdiNYTEm8afQtE+TO9wK34aX4CmwZH +0rQjctQAJfxrGQgYIP9jtXiulO4h9ASjgGitPc+kMJu7dFc2VqUjHFFoW1V8WobTzPBRzAshewKd +U0Px+H2lfVmnBjOZd3tZOsAQmmpjsujUfC5ddv/er1jW8yfWHBXA1SyIURMD1JOS5ra8nR/4VgJl +HB1mCP3s6TXeddB2maKcsYkv0obFZd5I83Xu3q3fl3zlTaudbWEauLOCHQr/UZKeubiyyA6+GReW +Whxi0KsPccxThPUWB1IzA9sZVE9S4xEQNQPRNljjMRlTDg57mL33jQ3p+XvjWOlk0vCYAtg83np6 +skf4F4wwp3bPUGeSfLveSoh5+t9AaabDMpE+dVhyYEWzB3M4JZ8WIRHw3qcZxc0EB5PxcorKMuNr +EBYSeXy2jiJMhyFHtx3tTqf7XrSsVr0Zfit1mVEmehc9sKtFCpl0fYkWGCHRxN0dYUxFyeqD/CuW +wdb7nP/fbzNKqZtqYPU1wyvg2XlBDsx6P5r/Iq1qNvnyI3t7sjTh31C46F3gJ5pJs4JZHCzN3sEi +U7ow5zN+vIp05kdZoGTmjJ7SeVVTfDN5PsXLAqOqcxkj9pnr+UMUFSXcAEMntATJhqZ29GaPsF2t +VjR68svXvxIeya4au+AXTbh0hVvhyqoz2nk8opspD0LWUF7E9GOzwgc/wHLbhGUK5odOVl3tT59r +zkhN8vQKcqWazKPl/+mSfkf84Gl0YFVjVjjTCGEPVwcjnA3ne39Yje/UFxXWamylLOVzbGFRegzU +czpR08vVytivFFBSzSckZeRruYrfw8RADhJskvc7soNAs3CsKfRBTcfKiQG2ySAx3tOaUTfLlXkJ +ebw0oUtQi/YVj46ukfyu6i3JvpZLvb6YPtpPYKYEkYsgBey+XtTswDrEOxkJ4cc6GZ4ZZSKk4b/c +SyvQhqjFXlMmRQvV/olbMthyEM3wDiTpSTPJMBYHvVFFfA5gOC/y7/ywB5SrPGGoZkdputfHamnf +dEUwet4skE7u87S3dPyFNhe8CbAhrO5DeyB69rhtEeorGRtNEi1WluIsjLU4TNUBWoJI3DuWM/Qs +0C5mYFwFuozJ6pXh5npuEJDPB7JkwRps4rw5XedxDSmB6VP4hBpBRxQmd4xC8ZyXiwzBhc4hU7u1 +EIkQn1EEQv4w0KcnlH1fB4+LR8foLiw180OYxm3eoXFtXzljQVYDP4wLIrJ9RD2DWfbdy1c6x/kX +pz6uEDnf+0+GdbGTe6KiDXJMznkCj4dJ3OZpifVs0T9tn6GrFW9Rb8pRyING/FgRQD1d+F8Pfn39 +3/ZCONKpz3YkvGxJMvOQTQoC61pRhx9rE3Gfo+Aq8L5v5Vv0s1GP4X3xix/+GxgC0wmSpWm1I23g +D+HycikuG75KaWPePm/SNLYHdxX/VR4BbAUEGAb/xWnkvLWJB0fzGSTxhl/1GmhjLW9udvluyxRJ +m/4UJV4enUfjaDIgby6rru4cfHLBhy4I7oNl6H6T/M79NYmznoQl89HGzIgIB5XdXf5ZAA2AQq1M +PJlRuT3CPnGXM1Tz5Kbnt0AQ7M6ld4vAY8cJ3VTc/oKpjLUnLCu6Am76oyTbPyY7yEAX1PYG1Uj0 +LF8l96dzyy+asUTNzS/nBSnlgFXr7+eU199H8a9tcVp4q9zzC7uPf7X6cLrjgsNtKw3+uICCq4bu +FK4OCELOE4wpmHr6N1z1d4D675d6KJ8U44EC9CBgQrTF+M612vVftBYDxOw6yLt8caTSFuE+LdR1 +X1bHSkabPPnzkgt+zJCrovRoPqjZOyl3SFlxheIDRgKuf/4V5EJKaJufglqZRpmK65w/yX5X0iTA +uKkyl+NsNG/Ne2jM5aY3ZVfUTW1NWj7kuxIYwSTVKBvo9GyZDQlliU3xtgRwLO6xSCmyVzpgc5P1 +xen4DlrAbJAlTi1u2bKe/sM3Z00p4s31cI8T6U2T5oslgZl2cUo7YqYRoE81opniWz4yLhA2Dy+/ +HyG1hEzHTR/8sgawdVcWR0SCNPSc95Cp/DXNdwJn8YsoVR+u99Pbsplh/LJskTAALBQhwzvnUEJE +ANb0HHOQYlh3AT57P/9pnbtZ95cnTzuLNPqjyGyZnyFVYZHnabwQlr25cytgTroS6i2AG7nyi40s +2zVvV1JTYsjqmpq4pfxzZPjq7V1A0rvDiHc/3vtAGxFfYXBb9PpoNbcCyC8n7Vc8UrIJsgqIRU5i +h+bNzvqjbqL1WniZABMu5kBY/V9m4a/cTjizzHP8n9Y1jTzoRcLXVPHd/JEC7PJj9604GFWA3LTI +cSb4heaSStD61FJOCLtb7VmXu00yrQ4KEGCBaqeBaVelmXwDQUqcCz2+4u8eetyJj6JM/Ws+wOWY +P0dTlU/LFd7eVcNWiXV2OXzFFm+qzRT6NIdM7daQu2Wio2bl+AneKdL/G9dX9EuYtWorXg619zk1 +0zDbNjBTqh+cdLmz4AN8V+/0RwdNL4QHuGhXUDasfmqIfKtIwMUU9yp/YX96d6bEaZHQEsEMD1xp +HmkKYPKJH6+8f5RayFXsGmDlBVrOI4GkntcC5XKTaKspAeduA0/7Q5iSsMe7Zov96Re917qcl0Iv +TvMZQiYzNZg9JhjBCRQDUT93A7Arz0Qct6KXriIfQ0jKkatxlUp1ksYpc/Ufw2XGg37wlQOJca/q +NaABsc0Yleq3UFH9vGbhGvsQ7+sZbOeyRBflJ5ZbnDU3G03gs903JURzqwkagdSAixfZ2EO48Mxi +LL9Y7uMYwm8zKO8v31F7ixbXANgrgVsZsIrzoIJTV5XXFSJvvA5KopJe0oQ74iX7cauVLV++t9Fs +nSqwAQHTKP9JxMn7/Bh3ET1CP87w/Dt8at13iEuPgJhZe1zBQAMK21nxQRWF6zbntJ5E4OOnJzcI +kQsQd4PIeNSM76f9RlmPvpRIEK2tJ5Xwqx6CeCIzleBqQYuGvOes1dT1ZUAiKIOQfWRfkkxZEaEj +KEaLi5PhVUaSrxaqw5FX9s3UO2hOowOwtJfkB4PnOhftLxBKQZIPJIG7fgVVsaWU5OlHpDGM9B/L +4adA7qXZZ29ewpd2iKDF5KMiC4rJKEP/KdbiGKmCxXIbj9a4UizfwZqA+qQYs3OFHHA/dlMoR2Ze +/KZGem20u/jYhTcS2G3rOeS7I+W9gXdmJMpkb7G5txBAM2sMj44vZ1zecbAgmvN/OeOWGqBKWL1E +2/MCRhLBjRQwhXvNmKP+LqU5bP+K4Rwv2AVWDoSwkNCcHzfza7pfVOEI0YR+TD4UApzJ4rg1horR +Qgd3Zo6MSHC6oU6kBhymhtbwyNf8odTx9wdojVBJc+JZaSPqfpt97yPHD3q1PGqUsuH6frVdmFm6 +gSG+J/2fU8vPeIiKYapajLhwJXUDoi51hhVHAX9I3896jDK5qpMd/OHsnbCwlZQBUT1GZPmCm5G4 +79rov52QFgA8gELhAclyybsFQEQsn1hZ9pOxPPKmcZTXrudmuGAZBI/AgWr22Mx9+Zj7gzzCguSD +G8JwHaI005BNa/jfhrekpDC7lTZ3vYTEpjpfiVaAJNv1/6uQEm0aO3PcGl6iKgZ2sKYhL8TiNses ++ye9YdQE94XzppFJ7USh20hy3YxNAqGwXHvbX5wukjh1RmhNQnRyZjZgFZoahzoZwT4KCfNzhLW4 +zdC3BxNfZbTz7z9i7oPztfLBkjYknTHLZ1jeCBW3cU5bcFnCCi7iJQGsTHUvMq1HcxFOZeBiJ6ce +rrHtnKpFnE0dHI/6tZr415p+f4S4QmCKlXkJFQYg2ChS++NuL7DjMRQYYnOt7q7+6cIWaH3rf8fF +oWxvGb4oZ2gyx7Z3FO8879pk62NLEHKZSNUZqb/JtrxDYjtjCNy1EXEZt6CQKNigyQg+fwcHMmKW +D5S/3biYZN50sp7pQFaClDOdrIidixWI7dyvHSC+p3A9CCf0uOmHg0s3vBvgqevPQOBpfYnU7UOU +oK/rfB47YdSvPozctDPP9apAn/4Prbg6ZmN85VBi7na1e4R2VqwRdhJoSke4ma1YinNxT19iSG9B +CnX4MANna3UWeQS0JdnXhZq+21immVA276Vmyn4fIA8aQ3+L9Ez54dONNaWvIM1/AxTvG8GdMSUl +sgBVI6e0l5FHsDX4VJkI4jRyYmLQ9SGd4u192UDgKgURihBIIyLQQWedaZEQ/X76K8T65f5wTXxX +16Pj+KkmMgbDLPxUXwNu9vosOPbqN1lZ394dMkMnx5Ojh51woDqwrbUNolt1GBJMmWDkW8/q4ji3 +6RntUHLmypOuNkaDfGwfoVw3L2ZokikP+24xz5rAcI3BiPac4S6eddWsQy7eIjXNGQT08LhCPvfm +6XSbPsSHcFDQ9EHBEaPG6SgZXxlPj2lHUsoAaABCsFoYCU8uzDKO5+4m88eh7M5tzElsswYuKYSj +cs0wv1Mx5kGa9/SevA/0yCY6OLtDYy83IrOSd8ZEBFKZDVmSr1NZKMV5RTr1sbSlJbVA25jX6yeS +DWB7Pjh0vrAhLk/0H3EvbekD3kOqFmvRpstwb+ahk/G4ec6c4XrT7PMzu3LpovrFKOoprGG9xW0L +js1ret8734v+YWZ6ym7MfS7nVZJXtrtz1z8OVEYr1N+Bgwt/evgveq6iIfQjGgh/UQyCRluR/XnL +fp3XsQ+2XcAMN+4UCQRuwnJm0cKxRtScY2L+k4Mv1WvnSjYrMC+Gx2InRKLbZ78YrlihbV5SNhGz +bG+oM2hkTvd3vM4NA0sHUi01TlIyhrZCaJALIaN7IpqS8hKmz8bFIIzv3IK3EYIaSlg3Q2O0g7vJ +VVB1CscQC1qc9CR8VqpM8rsQgvw6ZY+QH+/F/JUn23SrxJVLXYEZ06ExZJwNbij2KxuepWsRsp/R +dRnv1hDK8cKFvok+o/t85+46jaOfRu2xGKOz95r03CKzigVl8hClUzRd6bciVWAzZygYBLzfyg+T +yWBlky+72Tmq/XiLKd2jPaX37h7mzXcljpvWk82Q0SqyhMd2EAZzS7LQXaA8BzLsDgGpaJiMM2jG +AorkK0BTQSyLtutiAz5KSN1Gp9P+OA4w2ZtTBtgQWH9Qju6DhdWqsgUV74B5H1iGZjDtC/Bmf8ET +KYuSsp/DOwOmIpE12ZjEXsS/yM+/QNW+wJnC7MBZ2LnUvEOPf2hUnsPQkk1hxZG5S90+tm+97Las +c7AliaHX9zomYG8+hLce2fU3DA4vIvohN1scPkSItrKQWWzos36LwvqYdUVwBicr4Mba/D1bn/6v +XQ96qIxQdKmT+d2RXIvGyambfc/Hp6745PHNrLO5tpGWgRZ6Y9nsAbCSJ927BcWzttdJ81TeR/dj +DRbx1MVssg+47lOkLspmDiKF7kKjtwEU+jsM9lx3RMcIny/baIlteKgWbC5x56jsHlD53cpx3zOf +pRnZfFaPC6zSgUo78RN3R+R6l0G2A6XikLYSCg4mSHCRATt5qLuHd7knQAPbXIUebsvgr5LtX9Y5 +SrVxbrzaTqZve3PrjFgeh2e+1kDHzV/Ednt+Fkp2UJI0BYHbQsBufNkLXl3c3ehFN1bsBGfknDmC +yd+b9XQNIYx4V/FtYweSgFDErb9KlRMSreHFlwRpG6wgqjrftzkPlPHtZuUwqHZl/hA8f+xx33tv +SYO+Aoxw/RBR6cUECiUW2alV6HGg62jhHNwMPT7SMim+J7vzaE70TOZMbQXIj2aZs99FbbJFMgaU +b8KCVVbHiG3iYmN1iRvR7rUXBoR418tvkiaAIzoB7law3HbZHS7Xdmk45de9ua+YViuWKQ1qN9/B +CJCjnwX0H0nLBZDKbBzYuMJI09/S7YMToyoTWHBJgrc1BPC+ypQny4hz5tFmJOCLUxI0VbPqmZr5 +tx/cMnTewjmi1IA7mFO/OU2WidNxzgYBX+82tdhdr4cwuns2Ed9WtY1QVKI5EyGaaQ6KkObQ81Q5 +Yl+B0KXlO7evIF0sOu2JBLScKkkjUoQaGzhE3MRvPVT3LAmX6fe7sHY3J9FaEzuNcATvDrvtEatW +rfoM4J2/kbjarZ0YjZq7LIjtnKO8Ud0yZ3DiS+eIbgh9mn75OTJQNb5ZqBNQGZVWGLT6FQiJPMO+ +PqQAkOk8uCB/dpyecbFEkAihEJ3OHUWoYV1SoaF74ZDoISigOwfOikJdO/ylDlT4MakumyNy0MKG +e12ARU8LXDzUi5u4lMF0Q8gj2T1kJ0IFxpuVhFyWcrNEyPKjhY/khwYgCOXwJQ8V8s6aOeLtAHiN +qaRZCROMioh+xXRoUsbp8BcUWsRavBACWvVEf17X3SWRxqwh4CD8KmseucewcwyJEnylHn4ZQm7Z +CxQv1Br1W+O60/YG33WvW0/mTYw232PT8o1ABM7ynMfTeqOuj62C41GXgjqtjf/TPjfKmqZa7lIQ +wsrQ5ZOwTGrPWqrrNVC29ASKqAXndYN09BHBwVYUkDSDASvlprp1x7GgitA7T7+RU07FBXM7a2F5 +pm7nBRJCeVMrBB80bSAePiaNnJAfw/rMALZF97+6dAC40Pv+ln4vtg2LbpYVXf6zvugvT+6j+u4H +N4Rms7l2ORnbXiBDd0Fl9VYe7p0t5WwCaLqHd7NicbQG/cEW840WXg+6zfRkVLHX+zweUOhAUryH +F2ZQ3Wuk/AfIZ8gEd2MyeiSW5Lva1oIN2V7sZClEgHyEfd1O7moNTTQJodplKRHXL2yKhp392jiP +7m50XUQSYCeRHd1wbhbieETBWpCAyhyPu4A6H0kN7T5RUZr9VIKYLWW+vTe1cjwcq/Dmsgp47tTX +2+bCb5TN4kfQFPDjZoEFlmdpprBVMVL7pGVkTGxhcBdOrCFD68T1rlfPFBhN+NoXopLrHSg3kSOn +c49A3x35jQYbigVAaMAflrluiOVgjkzpJ2OGIInZHcVLkWBbBfCh7sBwLy4jly137Ird//Fp4g5+ +Uu6+uxXO6gBWqUwEAPm4HD975+5E7iKAQTjjoqXBF8NBq4FriYniuUyt0/0qUGEAhPBEskGu0Hun +hV2Gi5MMBki6tl12onCX4xIPOSLFuv/K4n5sKGrzm1hCiFL1DyLjkUxUvZ4/3W7jVjeeS41MncXw +MIMtScKx/yD/pvPlzojXs3EG9Co58Iij9YlFDiuZeoh1pnYHHJge+jhT6rhgo0ajDmXZfopZQ+ED +oN75eG1tjyMaYA+1GjZdreUL08CLQyZ1BtoW4t0hv87n989p4NdtAQWk5GMOUhwMRj37FtyyUkRk +zSborNkpSNoBAvMEYxzNG6HAUa5fElzx5ZisOp0Of1aikWFqqJRAwYghvr3dSF8Bnk7wXvjl5jLn +bXHBaLUchTZUTE22mpxQUR8TEoeMzTJv+QAsIQ/i60NZgHZlrezFkIPUb65otJPTHfpILrBNesL/ +ilO8K3ZDLH8vAnbfVqbfNkEE/2piS0JornbjTWT4G0vgV6jkBGrtvhyVTDrkoF9KFmwaL7wFW3WP +uAGggFejuj0RIw2cFfKSfV/uPAg7mQOIlimrqEqRUam8KPYJryy2c/kLGMrIvRRB7S3CWGvdxUvf +/GuifAn2DaOlCi5khqaElBVOOoNYq4Q8I3Gpl6lRX8IZ2dOGSPWUjhEvtyYITVhJ5RIoGy83QUNi +NpRqHR1Vgsfq4px/tjuGLKwecxrLfqGzTvdvNz7hpZtTRMYVELUEta5OPfF5XQVIC+oZvoNz4+sV +Sw4fvJ7EwOSeHFUU/7BDQurA7TkmXbzPu0GruUq+0pTpOeWvT7jxaUvpRQCPWVNkf/EFSnkUjbXZ ++Q/v+kEiYE4ToolJFNK3R0Nwd+rL92SLxPKBizBL8bNNepj2W2piEJWTNVcg7E+gq8EX0wPVOk8m +/gbXwRxR+R/Tn/yQ49X9liDGdYg2K3p46NXWnpberQLuYqlYwjJLrU/GfWuNxIbHE+6uBlJYVQjU +FHnJUFeCc07yXq7J9uvBXq4= +`protect end_protected diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_fifo/gowin_fifo24.vho b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/gowin_fifo24.vho new file mode 100644 index 0000000..d1dc22d --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/gowin_fifo24.vho @@ -0,0 +1,501 @@ +-- +--Written by GowinSynthesis +--Tool Version "V1.9.10.03 Education (64-bit)" +--Tue Aug 19 23:16:31 2025 + +--Source file index table: +--file0 "\C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/fifo_define.v" +--file1 "\C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/fifo_parameter.v" +--file2 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/edc.v" +--file3 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/fifo.v" +--file4 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/fifo_top.v" +`protect begin_protected +`protect version="2.3" +`protect author="default" +`protect author_info="default" +`protect encrypt_agent="GOWIN" +`protect encrypt_agent_info="GOWIN Encrypt Version 2.3" + +`protect encoding=(enctype="base64", line_length=76, bytes=256) +`protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa" +`protect key_block +pEDFkbO9CPeBHmxoSjfBM8Ig9QaKQoTtf/hEa9XTrNklNc/rtLSt7iBJZCVj25bVLxfOCCZCjH6f +FwYOPkTpBXUlJwMJAdJFLBLgM2fg8perEzA1SGjn2Cy3mYHIqqjvh2H5RyDJYghFPgSqCPFsCb6I +8PpXK+oqU1UfJi1a6+9GeWsFs/1mmhF86YGIq3l9Pn/cKzxD+KRtBKNL0J4iN55NGZHC9J7yicl2 +2Pwi1sexwWo2j6KkiNbwHBa2gUFLw+p74liX18GKplCfp9RVaR8wpqEgz+e+uOl1TeuR0H/J+pc4 +ZdRpGJduXefh+6e8HqlAw80Mnjac8vv3gUKQ1A== + +`protect encoding=(enctype="base64", line_length=76, bytes=26672) +`protect data_keyowner="default-ip-vendor" +`protect data_keyname="default-ip-key" +`protect data_method="aes128-cfb" +`protect data_block +Ui6eM/iI8GM3oWjv7qcNG9+HIlM/q19t7rBZYgbEgznKJb+5Q4x8So13P4HujKs34OVePTN6mPt8 +p0OkJNwvtbx68pN+xXCgUu7oHdBbVhQDa5P4zRpIfibumScRudqo3MUJ+LBC9QPIiGTAVtvqkFZu +n8vGTp2/y1Ywn9SZPqVH4QcL3obF4dHyIdAF87mqTPPQq/uIJKstdig7ixyB/kBwCM7fBT2QAxmN +tnIIHEiVqYL+5otL5jZvzA8CBZ2nuK4y/gzolCVP+iFJV/tpaIrmeCPVdAOHQt1UggFc6xL+90hZ +FB7qVqLE8MvfEN0LhVXqWORhaBvQsTY3QjaxJU09d7dqwcvjl/Hhiyud93B9/fuI0zGWdZbj+bc7 +t/EbsTnGv3x067uY6rVo2/px9dfT7Inn4fRikSJ0TtNtQW9GEM6xYbCUuNRZLxbvCtG9i8s+l/DL +kI5xO3BtTc/T3KIJEhg2GutAXQGASMeo7p58hUdVHFcg5ow7EN4JhL70bGr0ve7Np1y+pjFJs6zr +u6knnhkU2aOpk/lcT8zJS+ROqGjMATKv5k7ZVXMGastHpjjS25pboXuCxEh/YHuTdFoZM2RlytKy +2mSAEOA14i1lqHWgDvzgM84ADzVP7241JIYnW9XdVY1+tHbI6fMlN8h8Vwenvxl5/Jtww7DnM6Ak +eq2lgu7kr/yHGjhD+uotDSUEsJhGp4QonzFd4BiXlqSL6/RBqzfuMfGNc5dV6PFkzVRK3rFX+ftO +yA76RMOegx0UPXrBUjngoLdxKD5mzG3qL+1g2i+fEN8GyIH+dWG4u6QmYM9v8nwvvaBbnMhIvF0z +V1k4r8wxHztRCTZUWfJoWl3W9c0fV/Hmq98srm4dsm/z6LE3e2/NTyx/R1qM2YxIcvTF4RNYl0n0 +BhcQUvX5sDPxKF0xy/f6zIyQR7WQZQ3QCaWv0CtnGndMY+itH/eyBXoVHJmpQwD3ZsJHVb4e1XSo +MD4s/LMZmV2sT0QTsmhf3aT3GTJ3FdwSH1JLbcBd0AakCAyic1O8+nPOIsLpx6fUvOCRzThwFt0c +0B0akuMkg1h4i8F5flTMgdy1AL3v7vZ0SxNJ+RuKCW49RaUaPwW8/WF/giFkznbUlmF464z0Xvfe +2P6u/oqcHjcv5yuG74A1mQUc58PS+8gRqW67ms6oq5R6cf7BdzE6BNCbx7D//DuSEIh2IbCM5DBo +7bPJjtuVN3zNcbgcePLhST1up73mr1otS7v+svfX57MBRpHJq2ODHAii8EQxDb0obLQWPA4cYY/U +4gC3aYEBdRGbrmdRNbwFXVPLHhFkoIOlrh3/P6LInMvMVCy+M0ym4FZU+hjqS8B5u4Q73Pa8M1Zf +5Ch8cTKFbVpyVyaPbKDjUHM79QVlyT2SEcK3V5kQEq7+CIVMX9Y7pU7GBEk+uUhOj0CaTZZ/rzM3 +aMd4lC7T8HUWT5adAiBExQLBGYz259lf8KnGybndhzLCl+lOg8Ysw/W4JfpeG8xyDulQsqwTxQZL +vl1weKe/7T/2pegXQ0f/sASrLrs3BB1zY8P9M8/p6Snyo8+StfxJumznDYDTSPhTIhw3pCjTLKpM +/spEFrwUpwYhTBuBow1kd0tRuwqYwzpISJV/XczqzOFgFtLJYvkTbIfDzXeIdU/6WJNlrw6ybefn +2S3RpfyokcEEooh/aqW7AhF25rx06OlwV/92LflbSmyTew3jIMmk6Qi+bv4ZCXL2DtqEPWSTmL/+ +tVJ1P544kqVkkbEGMbXaQxwgnmmEmI6X3O4U7lo8d4lkQGjL4Cls60FoapJT5LAlGM6cUaeCMf6i +/QX3sJhVNFZ2m5IPkMO/RUJfEL7ijfOg9ZbVIBdfya/6vaC/OaelNa7eeal0HuQEciMMiJdoZZQ5 +KhFdYdesaxY/cwCBkiLTByQu2TSsztYYnV0Fm6ACpu0rBhRIdQ+7Ii9hXJzsyCEixyR51TaK57qE +XeuirfRLAj6CpzlgraTgUrZLGp6iysX2k3hTMBvUOZKwillUVseUgAygO9KKP07rPERjOmRUjSA5 +xmVzdh93C4nvTLtqqSTWcsslhU7GRVkO4MpE/Z4s7/+mmnc0erCopyb+9vGXX9wmwMeux1JLsi7H +Poy1ObTRr/95QnmEqCwvVSVs5tbkFb+9nZRgMhTtjCK9o8Fg4gBMjDwO56K9ZG7jgmHI4fSMde+5 +H8QUXorB5GLn6ej522XMGHCdH9B9uPpA16xMQWrieHMjNd53dX+xYnKyN40kWGQo2HSr8besdpJ6 +i+nMyGIleiUdhTg1z8rmZSNiv0cI5a+DUHYdmMtW4UsrhF6q1PYBeC6DGNe4vMRkw6BpAtzjFGMv +dy0CdT5LBthRxRr3NUXlLP/Y9RxptpfOmMIinVlt+AbN2kocLnE7kR2lI+gr+St14BjT8LfhQilr +V+OLBxZtdMIh9Uah91afflDHPRPLLB5MrHE2D/cNbgzTLy0bjyhW0UeHZDX+x6ixIMSwfD08+5Dt +jdnCn5dm6Jawaqq4bwsk7hkOdwxzNrcJf0zFhCdk+Mnb4bVQvFsqIpK2XzyoJRNhoNJw+7PqMsyH +APoAR0PaSW2ilDRBsGXSoaGbYdXyCmXrIl4EWdXJL8qOrrfcN4upvRwfUj6WwBB9XswdJBVSl0Ep +QOs3IuMg802m/MgI4a/aXlT2VRdNvcn5OOzeh1eW+tPZ8l9SLrJm2K8naF97UeC0u1oQViezANiv +oPgyhJZ8wPKGnfFB1LG+Md80wjVhT/weSR7kVtqGWj5iWTWbF11znHW4gBS2syr6l+0yNrn8aIvC +vHMUSPiAD/y6xauqHP93SQS3pUn5kSjqNb9m0EE73nub89E8PcalEH0U0HZOE/gDE60a3KbrNWOo +nleC/8rn+pKrfa0GW/38wqTAJV711QjbrJ+U1Fr1SXGCxcrMHj/p1WidUNf8tNboLfSXXUMNVS2R +Ml9EfV6nE4NVJSaNcVGPWBHItDhak1YptTixzGxyXBDa+ABbt8z1z8TmHfwsr+2Vs2JyA/kLMhKW +wMBVwycC5C7zSOZ7s9LSpr42+Ou4aX6SGI6SkJLQkbasu2MC/pjHd3RckVBX/VtNTcc8OYwOBJAR +V/e93dLtd5jhrEM1zk1irpxMVpJeYKjq+YP5Vo9OSYZlUK+byhy2Ebjl8uNa3eGdxbexSUgtD6HM +VnkI1jLqTKQgNwe7S9XiEUK74Bpd/YrVmmTULU60Jnzofdp30UPtrtMFd70E5kFs0LALVO9hH7N3 +OV4KDqNxbj8Da3iUm8QWow1uAtWEM1LOsgQrln0bi4WULTTMYMX9gOfpRLv9S8GazQYanc2SuocT +bpAZZQJaP7wIP4of0tQ8TMu+8g7KHBZCtJzPys7vcsI9tGSOe9JbfDuZk16jJoKlvr8c7ZU8an0w +GXvFz1Fxj6HFC5Xh/VDP5+LhjOyQG0OmnLneijeYqegmsr6zDXexY5PEgivYbwznOniqu3Tz1gWn +y5ITtgwe9iKYXTieBXo0yNktBKzEnn4NQ5YMpi0cZVzYRwEkNaSGaV/qywaxSIZFD/1sLEY1o2Rk +MbzpI150FdcGZgEI3+/FoBHkBG/63GoCgjAgqN2CImPLAQfVNIHOZQpqsDLjFJKNu5gwr+7OTnbW +INU2JwUwxkjowRFz9hLbFewkbkQ0lz2cA3Z8oVtDg0ck6ZJn0rmVeHDD2FNplADcdh8PkqvIGTWt +jdp+49A+Xsv+53dXdcvtMVr9wsjfOeeq3kscD+IXgD3Bj08Jgi4vW5RQRgRx1b31lxMi5iZgB6+n +RlGKevdet33OYS8KzWkIJ8NsopHT6zZ6T6/HrF6bvusLZaGaOsY0YxLVeMALZNfDoXPAwW5S8XC3 +Y0fzUjuWKeQ9lPoTqMwwm+y/IrUPSvNnd1bauZ2XcbB8GlybvEq4J7RI2tcpCsacVMcwm1bgcDhV +ctPFseauOP18az3Ca5jKqPFE2tA/FvM1RDldV5WmGJ6ZUo6X1gIOWOqyi0xzi1ry6AbrWSh3YrAw +0UjJIy4t4lhRlFgmZLK7Ne+xkroQMD2eDcr+Slyom6MJswjmHNt/DBdTvNQcxkkV626RdeeXFKlx +4bBu7SBednctb4IzcY9okwb8ryMrFo1XxRJzniRokDxYJompp8E7ic6aNgBZDaGiEHMj0BvsNkgK +wMFNVSJIYp6eEvvSH7uV/smvYfTlustQH5I/0TiojGJMvM5CTdrAdhLuTpCAj0gS866jBC4ocWGg +lLNIXsy44oTgUEuW8iAZ550VF9CERqpK4ajjVhVqCDmaujRf8TujDERNRTKA1+XVpIl533eRxc/j +frSM9pY8v5kTLRas3EdTw3qi3osUW4uwPZ50QTfXjP0WNQWqtnzTREBcGvx3VLJSzIMmo2QwxpB+ +JbvJEKM9f9+vydIJdiYvY7bHsp67YCg2hayUnGVfMVjQY2/HhMavicZXwJLUIu6ghHSqrTQeyhhl +IhjYhkAKwtAtaIqTD4iMaF2BZ5pKZiJGwl1Bv/m7H7HJUmeRilKK6n3xDPbZO0yAxOdqQSUFjry8 +HBUR5kip69+zcvsN7vuztQ2JvFbTS39xjYt2TQlyAuyzw/ehAFysohbr5pjzT0gpipaofBYx/3K9 +myPckQW3nCj7DB9smQnc3KT7dhITjVDph9Bi/ydK5hnQraXLLaAfdV9nVS0d+Vfxz1CQ1ByQY8Ut +RQjBN+xyb77hCW7s0OdQF3G0Lg5YOhGdbrKeQfsrLJryIfrtL1RCgY5RMV8iqtbHVenEJRbW5ud1 +VGcVolkalxYoXNVUfhPCPTlo9wuQBn/C9PB0IpM9l2WOJpUcww2n6YDFZ0Xa9oERgsStT3C9qzM+ +GIjeTCSIP6HMSkMe2VdC8cv9VyxMk/IaTMXUuIj9hCmc+pMzULCYTk4ZfXuKAdwNHt1wHqwcLhDG +ILZitpOhiSVxz/w2Ivtk55ZtcXGjeu7sNPLF+W6CeOr/EiEbtLwpgDjQJP3HvpBxtXgH9wEptfcP +vb3pYmwNd2/YkkZExcE3lB7gLQkK07yT2T9VhbIhjjgOfEEPF3SPh8I3cOAlU7INNjLFFFXLBKHy +YtdRARZm31UaktZPZsNeyWd8J5I0zKofn3omDMT813MLcXIptAM/R+P0iUfEFlnIBBQBZaIsaSfZ +pGUNKROkIvj20IoOx+iAkMuntrovwJ0zWwWP6Zx4TSRuoVqqs9maSrYOWY8In+cx4/JjJOmTM3ym +3H2YrM/gJFp1bFYlR4ZLYhXmDPo6/USWDx8OzVPPXwed/Okm5BF5/CY6H9ejYWmve5QHuS19rr5u +GAlB8SqDYTZTQK1zTNc/kCjmCTLmP+LvC7HCfH3bQK7n7mdv2bXE7Ki417q7ID5q3WpgStmYWIVz +EfhNlgsGGLrdySutsHI7VJifTn1fVAkmHhR+yzl+2URRkw0MF2bQ6CygFkHUfp61u4KzoL1o7yKf +hdFxd+UBlkqnEnxEJGA0HoDcy08Tigit3eooVnDEC0+3pAKMPPUtQKLmEQVKjJPOZqKA/iUc9Zwz +b+cYJ6NH0ZN9fD7Y2sLw7J+NmlrKrRgvIMbe7LVfBlB4KFYnQp3/nsegRbKLz9eXkCNO0UVU3sXy +t3HCL2S42mEXAJl8zHc5RrnJMRBToCXA3Hk/et7Gjrg92Mw264N3z+LD/+Mn7epaTXe1D5pzIv58 +84ihVGYKzx4F7hi+CYd22ILCpdjqaU37nXVRwf16sAL1EOGiFq5YSg0eG7kdtB9YHtBnWRgkjiCJ +v/Z7GZNXbYBWG0A7xqXO2kl9qlty9XkgCiH5kCPWgbLSiW5XvAzM0w+nsq+sZkWbd5VYIl/fjQHj +Ths+vqW/gN8wAf4uas+c9ypW1IbtO5P3OtATTlF+Dqe1790/SbMBvTk6uG7qmnOB1+B4hDebR3pK +kwXPrv8W8dVVOWzYzyku71Y8EFCVX9daFyFqda1PpxSpv7vDeb4uPxOE8wyZMTk86fHhfwPVPvzG +TvSDR6+QzaKPAP4iq6JgM9xBEZcZ6j8CCfWu0OcDOhpGX5WJco4nA9laFdD5lccxzzxrn7poDrFO +EQH8nzCrhLWkXDXBX8K48EIrDkStVQy/sLR4TCPlk42qfvh4zNNTvpvnJRrQ7taMAedxh2pJvYba +X2xdifXsIOYejfsqxuxI//XsqHQlD5N1+y0OPjykh0p0Zd1cmOfxPPTREdh0g9THyQoERUWT/PMg +1FC7AzfL5lr6y2w8TFkZMjgxYsiMrCHZBIaFGKFk/nH3doF6HmcDky35gCHTeQEctnj9opjH0ExG +HMyQEX10zZpNk5RJ0AWfdckkieOX+1YwFbBZWtdWyiKebC/D/bKUxV2ZNxNglait+R6bTJ3LcUM4 +NYBEl7WT3cqUV3CedlKoHsu4MekopgXfqceujJdv4MAAhs7osarYpmqc6zrmP/jB9QhPOLkLrbzi +To8ZCU6GVSrpZJgQI0OJ05OpAdHWrruEsbQsIjBxV2PbO9s3f21XErxFJpQT4o9/yf00wy+lv2U+ +QPGJ6dUzONmndsub/qNIEWb/6njCmaJxUMUCh3roWBrTJLFVsyBq2JXh7hcSwTNxm2Sc6Crqc5T7 +MTfS2C8vIabOEQw3uLxTOUaZylmYmTljFY514kZVpepVm2Pgp4biYVG37lrfzmk0FDAwCoNsWAKc +6k29S6kV4kSghMjBZk5U6wx5GfUuBAbSlqkYjQ63pLBASmc0qXMprSMCBFvhYoKFCuObAbXYbHYo +U7qF51BJj+XqFz3sGU+2o6gu6O4CCdqe4zR/LqMsp2Wc3AH4NINNZnCWL+/MVSD4t2H+4chmDaBB +0gRyJNxKqNZ2vPWl1NUzWS1Q45Q2CxyCuqcs4Oa8rtUT5xSEf7vWCLq65gnDdYbhM7zmkq0blzDL +/HyPfrDPJlqJeGCc+QNySeEIPgF8LiauIhVtehxQ6Sn2Y7EZ6YolVqvk+J423vcAy3lQMpYk4nfO +V0z/FL3y9Vqgt8mCLMM8sdbu0VaK98FVoQKLoEYHKXss4SMFaLQpRj+X3Kelfx/y9/cgsmfeoxsG +cywSvb3p0bRTCEU4JEiQPoVkVxx9A19durwxPxZ1L/8ZT4TQx8HP0kvLC/1BPU8Ko0Xf+VMJaC1Q +qo7fJ84Kqzq6yJFRYDykWEhA/qhoC9djJ0DsyjTSPG+owekZ0dBNahNf0xvCx01pKoiCn8odxvvG +VNeMl4UF1GugUe5mr0FqudOol7v2AkATTpXTVa8I+iTmRVVnTNsNMfzZSg4d3aEB5qvezAyyx94/ +OXdT9/ZDNP3LOib2p6Q8yofEYnzQDjiIkPovR7rsCCkfqOohweOZuv3YgYQXneNFj2zqOAkefcBq +l5FXO6Nw4JrE/1PbW6f2E4rC3ZyZ2T90TfQ22A/H/AQGHt8UjPoDbFYd14vO3RA4YcNCwMVZVGkL +5XnEmrWt0jNa9LwtMTXsi3kZZvQocM2nCpZRnQi6pm30iw6HHy5JDqi1zZWrNCJ84dhvzmlHZsd4 +oOQaFv/wEPJSzf+YsjfamSY2Au33BE74rSk6Q2mwhcXdDx5C7STbNBcUbRchEKURmZBLmBqx+oGp +QMLILfa5bq5CNCmYsZcrxotDvE1eurjfvBHtXKff0Bu9jmW3GP/kNZW7l6hjF/544fY9s7l60kzq +7y41KSN+1aiaF94yE03E9lmquFbrKikvqHorUsr3ei65d0+woSzm8ipNdQTMLQBNODXCinWErhzL +OTshyhK21qn6uSuJW6eXOxLN1FCp8ZHlU05bMXNQTSTCQ88umPvWSKHXDf4Xjb5taZ/5xj28gLOy +zk9oUZwHy3alWq6zSNZ8iQ5ZTeqaP4DrtapCUehD1GuDlzp0cEaNJxYJN+GEgCfhAKUGBQ1KlJYv +vDQAqmDfYyzL6585JyB+WJE8Xgm3D20BNR6BIGrD3CAlAA6PtIIinCBadKlVuK+MLO896GYSZTSp +mmxSaWoqE3cnWCdlSsn9TvfCz6AO6cqiLeNCyyFLfsF1QDSLk+Yxv8M7GVLZqffckjZeQsN8IgSE +Oq9vDYwIJp+tcSj1hycfpAmQHojtO+5THFkvPhv44aZ0rd6i1smLcIViVPRuECd8vvakKIuiYWI9 +P0USlqI3y0c0bVvoAJUzxE3Z74wDsMTgHs/kqhn+47jRk0Gs5GKDkrOB0P9uXBvhiB/j00lDyw0x +fz4uZVRRlXGMwv9cvwdnAx31fV0a4aPIaerU0eOgsS1OsyChxFQB5a/b/h9+I45yIo733aZQYLlC +iZrAHfy403WqhqKXdJ3Y/yrV99CNKFpjH9bq0q0Cz/5KieTiFB8/l6B7Gxk7zfkA/chZ+mcFPmfz +VTDDRYZl4v8B9XMDt+mW4oULAXJS6U5KLWR/6AIW6cuS1geQzm+uDFflKZZA63mDugc76RF8BhsQ +u1e2b47iAL8HkHkybSOOzOUK1YhjdLkxR/WJLsGP7pjqwy8eI+pVaCnTLfMayS8MReDmJF3P5ciG +oQjtgw4cJ0G2tHSKfJsT9D2TXoeoSyHMKYvyc6Roq9GkE1GBpbErK6tHVQgp09OQrJL2yUra/1mq +urultWmFAh5IlZB3ULQ7BvWs/ifpvByhoXaXS4pPRWuUPAJRYiaQQc6eDzDHuSqk7Yb2HFHQkRuE +YgExeBrV59WZzsgeudmL89ulqJJKH/5VDdocE+SFsqzjUmoDJr2Mm60oK1cKwruNZeZc/IdWy4vj +PAf3obElzDasxgxxjJfzspdV7bG2TBH5GifA7QjB0AveGrjhAUnmNfi+y3qjyoN3KGFUPiRazpVO +pEgtNx8a66qafWrM73BN1XsVEQC+1O0BqXDd8v3bhOHeX3aaYd2gH6e/p8W80Hqf8epVuQyruHzi +fZ54cmXlt7ntxMuu87+K8swK7rvI7xhgfHCZinu+LyTgJYrUvgKKVPcVh/j9MWfpUsYTUuhHcmkC +Z7AZJQqw2+fIKftIMRVDaLG731pyAwdWVLmkWssdqR61l/GvbQ/MLr8H+rHt1wa0paSWLtHGXTDT +FPCGHuaAf4sTRFgW95jDT8QW/rFaNtPrB0+JOvGSIPlhB6KX7hgogKfMjwvI5DTDaq99qvyKD9RU +3NyCijjXgGvcWj9pmbB9i4K25GlVX7u1apOKbP7Y0QdOn3RF3D9NRXY1FAGdapV66jaRyw0MKjg0 +EXzQB3vsIkAkEcLmEFza9oiXlO33YBqyVXjrhZzpuKO1qt5XM+FcC2cxssF9SESwPqD1yvanCLnI +DoePyoBvgDuqpwJS0NGW1/fwAe02EONvTD/nSsd5xBvJtEUm2z909In368E+3Fez32m94nU7CGR8 +W4JFwtHoLq1r3/ev1bjqE7DHIbixHySZ/kB5AoMsYm74yQUttkrPf6j/EoxRvHFoSUZ/Cyeaf6uE +hOUlmEhixyehW2FtUsGIYZPGVo7nDAJxhWF5EdStk3jaE6E4X2QaBWXKR/k5zF8hPVM8wZF/A9r4 +sH01KxVvd5cxmd4SftOwBBJIz2bR7J/Mj9ubHEFy7vhzahF9boP4pQDZE8QSkB6fSzXibXEqKsW2 +KNrloymMH46Aiv489vei9gyPZKtKInOmjo9mrYoL6pLTX7uTHBmbg7d4MRoLS9Ieef3+P4/LtLMh +rmZiBGJrdnbbNfMbuxqRyUT3OVIw3iFufqMQOu/rhzoZ2hxbJWE6MI0o034L3Vbvp7afPq/Uj/wX +OU1MegB4GnbOTNq28NX9ChMJ76ZU50jYAzwREv5IG/Vo9cuE6JjJxKfh4S/kOcdhhg74ySqp86Tv +k6oCA+COOW8JqGoQgFdomWzg3sgQxfFdwpBIf2qzbZzHxAKsUysP9vY1T9mZBAG70AM3u1m5MwcF ++RfEhvfvPkDm0+nZDqbn+KVCv4WYyS8WlydZygp3u9kwgJxm4Z6end58Hf3KPQuA9HgJNFeL+ink +B/5jiqA15iXn+noDUu2XOLKXgaDwmBf+6ILPCsjbkq/e1KO++pi9SMTzsDgUS5edM6M30WVq6MkT +Wu21ArB1tMymKPTRBDnLifQ6NFaYV7MLtIB0CtgN+ewxq/IZdgB/RRDW1jGl0jXwHipivskklCSp +lXSqlG70719GkDUUqNsgZPxlkw7/fpBKBbHeOKzRKijyMsbplh1Fbi3ynazS8qRiqKZAVyJgIddK +sHfAgEDfZO9NRkf76LDj2co5D+aH0/iIryMnxWpyZidWlLnap9c7HTVSKvgbVTYiE4GGQ9ZnK4VW +0uBxR9BMzLrL8twgoRK8f/1Lti4ubSqRuaZnicXAv/Z9Z/mk2OUxlk3bCgzRHbLTJXTI9KEil/2B +zx0KVUFKsp/rzF6vsi4c/uyQf2QCarohXk9FVvYKL6wiaAYyJVInoncU/ivyv3cnbnrzts1sso4L +FLGn3/S3NZcf5paIxnw6/Dn2VwQhAkTehsaTZqgHvtRRWD68Lkx7KdyzPNOEm07nwtFReFytXGHI +HuPp0d6575sXuHY9dIi1n6P3Ki7KucIGL7jSp604aLs+t/+3cshLiqrPqmS4US6FLmLV2GsN51LG +/Fw4AgONZhzA/AcyzVZ4HTS1Kd5BTQ31RIhewFIPMqz7CWYBCCwF8MvbRiSncuJacgNpQX1CZR1L +2NO3v/rBdDWQMuJBTmWAAi04tfSfyeQeiYIgi9Y1jlSNu3IP6GtmTjoT/eCbIHJyiC5of8MIswDF +ybuNMYph6ytmr1BGY2ypl6FEVSA2CSl2quh194MooZuU+L7Me3HeH7wB3wYVwgLUPgFVls+JJlX6 +ygh1b4PQUa6IPZ3chfqbdCnL59nxczw3Q3wonox6bJpkVvKpxjE5meTUGholFPtSeWxlJ97XKnCz +5Jg5vmhlASUdTSKiRR1PWqeZRNU9vPs6V9qvRgw6jYwNWzKYl66lTajfmCP2mtGlo7Dv425KjTnv +YytPDkqcdX7hH43XiMFufx+JA4ZcVmjfksVd/0cm2UHGFUdrpPUTpo7bFJe7xHLXvTsnppVJpEUn +UzV+X9R5A7PoEvhALszrx2YnsavjyyhLvgl8zJuhCW2aNKy+/e0SHMmTxN45HFfn9ZismU2oVkaW +M7nVf5nu4t3JPlcObMzwArjvnxFxSepPm5AGK8DlcTJhWPMNNy/wZacHGIqPl/ByaaDngX0g0eMW +LXRED2b0pOVE2iPO9qyWhP3BjdW9Hv8OCQrrmFgLwWFUg09DcCbIK+x/5LihndReJW6EO2Gb0V1S +IXIk7fDFc3WKFe1iYtYRO41pyaTGVDOjDYC03DSgb4uFFaZpqxrrQISi2V2G8z3PoNJAp6GYHnhI +1n17PA1HatACY102ICBYxPXj5w2vjgvZYRsueyL88cX5I87XMyyJlGQczVIITVSf29WIPgxiPV8X +DdOfASEAN4sRiB2zyYySH5BMPn09h4JNkndxbhkOPljQyq7dRxtyuW800WPaFrQ7pD3R702GkmrW +aJrK4W85i4lDm4PjcmPkZcHkaK58Vr7YHq7lGW98q1HhQtx6EMo4TA53x1Dj1x2zSD0+/1zlCAEv +vKi2TvpOnbNNRsbCEa777tMfYs58rXKx0yLVKzvbFX6qps2dmKB6hSCGC3EJ+PcXHh8/sbk0xxBP +gkHc6jbtFNSEGflpkXaX26UTkocT3WHQHBtiZx9K1kj3ezOLW0qv1OHsFPxY7QP7R6Y6RcOYQ1ke +biOpuVtb6VRmLlmipxR9pU6W/Q99jVQgh9he5qOhJEkrMuwsqWlJLeADVaymcJE/V0PJNnuIXXbr +c/dC9Ys76zJrzvGaEDW0NtQXPccjfybctVhK8SJsn41cqTQVZjQOdtf3lAg5jR/0qaCyExdpnYMu +LA7CGPk05y/yODKHq+N9iYumklqKhynCvTMepkFnZSEle3uiPwzbFoRji8IVaww5sL8suqP0hwzZ +G6xjkL4xfPngcuGjYPKLXX2yFo60uf6e6Jw14cAR+G9lEyUr4Svvt4OLoL3rQl1K5vLbHP11gJjk +Gtjc9hLoHRJ8eDhjYdFr9VcvND10HchH9I5VPFjdu/9ewD5PvdYZ6+5xo3ySyrDeqA8VmIwssMWd +EQAdgmsh+a91h0+9CK32ubWO9GiF2C3G9LgsS3+k+XVsjv6uLICCfmeHhiYZz90VqJ5vroYB+MR5 +telC1FCdrN7JEGm+mSlNwhrkhZpyHG9SFuqgTTckt8L+JteDk3B2Xvn2M4pfaAc8L4WX+/GFkw+e +Qg1o+KE/zR9diG23iZom100c0S9+Y2DHWSWwnbBWXz4ktyYMzzigs0qRIlfb6DPMy2nKyvhp9Lo8 +YSDWmhw+ETWoxlQw5vZNFCCrG9kcSkpSQ65GSX7vp0MvzXc6HB1Ny4P/79CklD7mOhkbtpQMRQwN +zz+oqJalx3LN+mxLeFESOcAerzOHI7ru2K0ETG6eIPpCW0Sovq+JTc4fH/T+ZVnxcmfZNi+ASZMG +GKPtH2gGAplmNYnuSu6/dl/edqbzKdno0qb2IqS95ICWyZWagbS4VlXNITBFRg8M9r4aVUXfIUx/ +Q846jXXnwqk8v4CMUxT6QjHdJlUVZY4IB/cQgYat15qedPW/FwDJlh0x6bIYy6y5LwULQv1Oaarm +sLnS7AeQDAYyKFJLw6Ty08+qMFPOc3Bd2AS8pNXP7blCmLhE/OkFoMKtAP6I+NHenjlUK7fr4pWF +oiLHZ5bx7OQtDF3cM9GMdTLzBu46XG6ydNBXRnnSbHKnxtzS2DQZ+WF4DWxSznWtIdWsGAFI/2RH +t/w9ev71mxx5Oj6UGAY+aOOaJlYUPANAQ6z2ReK9x7QPGziAFmT5xURotQwj6TARd8KCyjsUzel0 +0hqzsh92C+8dTzT9cSOALNIIogv+kanW567vLPZBBEHGLfGq6J6NTStwn/aK3eVdEEaIn/pZlwZo +ndke6rvxTLOot8uql+3oi7ILV49LCXsnD9wRLCW5/EKgYT2pjMlnTkeMrYR7DB85+t2yAPl12BVr +U0PuOed9iM7QA2iidPC/QwZX1RHs5aryqFbCKYtQgY/N5xWrfcGRBRPqERELRgxzmR4kaech0BcO +OeCE6YDRbdeJ1qOOU2uiouPc+bKFVLkb8kfcSR3N9d+3IGLTDIu1ls2MUGv731fgU7O7PFZpi5FN +n7jXe2PvZWTG1q6Lx/w+yFn0Q2Ug1JqvIE+TIL1vr+3S3QCPYexFayyQ2KrFBYa68+kS5e9xT7YF +KHoL6F2LpVqS0cK8LtyeMU6/NJQtojnyn4bTug67dakL5TjOV5+8VYNMwJAMEVTZN/vx4nIObWqp +rVjmjwxa9QBoU3rYIc7BD/8wVNbl1tzl2avqrxNhQwu6rNgZPrJVtuNb3intqai41lJssNklfJ90 +Torx8h3JqLp8Uee8utanFc41XdJTCwr1gecimG3z/HaFHOTclA4OLSciGTGVULgY8j5Kp51lvDRQ +BSAZE47+Sb5FkGl8SNAIC72lJiM+izSD3P06HsMAd3Pqw1+p9fQwat8ClStcfi1FxjLphLZy1gxk +Z7qKsRvh3mxott6527OX2Z9hz5W9LYoODtagQj13A7vuSQxeGhFK+bpw/geQOpC7km54X2kZM845 +cduVmtiAGNzelaycGc6blgQwTIPn8ivqDJgYpI5A6D7CyV4MkCkS0dWZmPdntTiifKkO5wu51WyY +xbS6RJdH7U8Rl77mx387SqdY9pRW6EDW4zJVrEewSxOg9UWDXiMqHaiIgYMZBsYkXhMd/0SRN6gS +VXd3bEa3PsPRxV18e4mw8MMkGqYO8EuoBhe4hKzNIYgYDYT1X618jxPImboLRvg8cyihOGVlCINU +nVrZJQvuXFGX7cFoJF88E3X+0anF2PqeQ5bXzpKG5hu1CBN6CYmBq27GSDEaG3RmtKVL2rtJuoVg +Wb6V/BcfAP35Ds0UlbjjGwRvu3KGtJpk1ithbJBU6qFNItjmsCkMXGS+/HB6rTaJcoY8Pp1Qp9xp +4PZB062UfonlOy7IBna7qdrIA9qqth/y6ieaJouDWKg64Wd1DHa2q6o8GkDZ2EXguKrdczIbzifw +9AXss4tRKHU7PqJ5KRa/Kxf09wYKzm+/wnjZ0s+/abNbsqMIgl1aP3VhK4TInyebShk7YyZjfGiO +o71ZC+bQ3vFUOsS2hJrCr//RqxSTcA1oRAPls3ESFXwiOjcSVT6I7PKhqJjCghuldCkXSJR/Yo0B +pOveBntKYiRm895BsDl24nY+Ld72OAjXj7UNntUvofZxE5fuWukn2LcrzWNTgBgo9PAl+wRcArdy +q3sjK0aOH7om32D6SDb6CTgbxZl0IvMKhWbVgVtCrGwSIvS0Z8nDW61grCj5DVQbaNuuwjb5oPEa +KZySQBSYpgL7Tjvziq9s+KWqi50PuwGFHxx1SC157+rx95YN9OYYrklLwLQyGZ6+rIr5FT+BrldZ +zJzwuMKsGhqrLjI90MOhUrrDY//9pWCRskK9jTCFkf9/dy2k9oeU27Ui/GPiGlCao0YfjXuldiBa +s4u9KUUxvRj+u4yBvPxqhZjkLFH7U7/sUxW7QKb5eFA2/P3W2WZb4y1d7v9fQs8aDq90J3X7Ze0y +GNlXYwNkSLbB+x+7PErsc3zRiKU6KqA5d2FX/HrRPhpriLDS+gSztY4JWbaAL/FgFmy/U8jaUYh8 +ud+qAc30GAKl7917gghvZDz82JmGJSV5560GPKj3GJ3soNfCXv+EbAdubdbLgpBV0AQf1ew4T7Bd +0fnRO3pkEnyAKacoVuiSB0ddNqVEDTWjymSaOukcrTmXkplfVlBl1+sOl/72zCD9QPb1j3UzQHNF +Cz2n4VwWzvUI0yOsI3P/YwYR8e52SNx6qy73J0mZE4pTM5VO4q1CBowA5Bu5Z/x4Tf9ukEFDiDqN +TwqZhmoeufkszQTracCt6pRHRbBDalp6QPLeWIBKmsvSI7BWxdCIgy6i1PYoXiTYfWxHo3V3nosA +6d32LUD1p61bSvMvsezfsBk1LvVPdDKpUpQa/FdYd7i2tegZ1GBqhNKyz3K5rQMGF5dMG2gFliKB +Z0+JBguRhzIco+gBhRlr9fSTi0kqI8XrTxpD1rtD0k0x9xPYn1cQjKp5WOe8aSL3VlRP1Fexfk/v +96dCMfMQKV6qg9Nr2vK8JNfgLPphnvlVVGM1h92Z6CrdQMScdekqMqW889xidYe4HuYmNxDMCvGE +c/AO89J+42HuDXYxFFFgDUrueg6rvRTC0Q/MrxGTEX4EU7fKETFOrY8sKkRCpaSqVJO1larDssfv +JO2XNPPxWsgDierly83asoq4l9Y2+XsMPlQpZ/TpP3svhyBwlfa1C9WyLevcIZ/ksLttBxl6o1pz +DYSibHHfzWinXzcIbV0ZOMSMqqkBFy/ql7D47i4Ux1jkDOK74CN9UjaVh8MYEjXSQgNvWqWPjvuK +fCTaBlY2l7LgbrC67S9eZLjPTrdjsVBoxHkFnmQTMjhM5dstsBYzwzu0Eis04fgnozgTBGnfWNfY +jtX7sI7gXgA5eRlPQLeA5m+WIwzCsSEYDwxZS8pE3Zx6E5WmK+PIgewVVHmpqRnfnhtPXXl3XKiq +NAPipiRzyKVeFv5bCfp080qm6k5iOrtUFfhwQYFoc2bNUWwE7iU/TsVrpkrrKy1HPlSceND1mBEK +0XtIKUjawe9bkGSfKz61TcY39vYD8+DtRn88opQ/0geCqT8WKWsmLXUFhZD3+Mr7wUmnPY8iFrBE +OXIddZTbwLH2ZRx3ndkShP3aKjQYqLmFNSLrDqMMARZjLjuI/whRYnGxVImVT8o52pE/CrOEkGFo +8ZltYg7zT0Lwgpn+sKhEF56UOuLCZQV+0t+NY5cHQIvhOkG0AoaqVbieVWslK9PDPrBSe5UIHK2T +BhlZHHOmtIzHhcQIdTnl1tXsiQ3Hxa/GX0Nb4J5HFnMFhZv4ugfz1H21gZ53oftKRlbbeR1STLUX +gUhnuli7G7di1CALByUnDxSvKfGw+GU6zxkfgA+fuJ7uCYCVjqj/bPCGy/MuShDjJ83Sm680aqfv +qU25Vy0KNVxv5geGARRc9oMLM5r6twYIYKguCSCDgd8Mx7tnj6JF0Tfba1JofjBBDV8qHynuLzuw +Aoa0POQcOtRR4h5XqzvRew51Bg9/Ukzf0mO6jHgYkXl93oYdSFRS+Xkb6P0FR/AQkaNXGU3/9CyJ +oc/0VzrMEgYuVGt4vIg4fVzOm23g9iTf8R+KLTI/0Hdi9mgmylP1q7N7Y47wG8iUXIXEJnlx89ti +zrzpKYknku6HGY4eJlyMr5nWA/9n+FWml/wo7hbAjrOjD1MCjoKPIM9d9xN1oAxJe3SrrJROuT1F +F+p+rzBS6iN5ojnQf55vF0t2jw8q5Vi52zsLL+bhgvSRAN5SC7QbGLtCpu8FhrtvorIilBTAqQo7 +gpego3wY7a0qRYifKdscRk1f0o0pU1TVX+H/Y6PS2nMPwVZdGemvaOtFf6E0T7pMhYA0599IuFXH +w29gH3FT/CRKXyyIK7d+ZziOrGAA67p8eXcTdwXXjiV3X6FJGsQ2ekAo/YaCYJ+SvIhj8ktvNFSf +tEJesrn8RXncl7Znwn640iltFElhKk8TY76FTiiPbtA+Rf/fwU+0BrvutHBreKNGw1koLuQJq2MF +5zuzx4F7pecm1H9wQgI8cIcDnGJeHPMLeml495TPK1aM343MKGN+yWRUedG2F7WLZnbZBnlB7XQB +77YyFS4hBMgyWpr8L3nAIBjNNZGgRt29ukClDfpeOsF2JipOPGI2Jk5APjKN1gfSLZkmmRXbMDGc +J1ciAoXfx5YmMxLBAs/Ro5BHZIrhvbKBbhYogxFE1Duo+ekO9jlameVGSQIum90aE9qyhBKvIR7H +94Ep60UXsBtjiC9kxMbdkYuW+1PRW2lY1HjDHV9ZvVQ884qonEhguYbc7XtVKVjJU44TrPRI2Wml +gYL2PRVrFagTMHZ5bKvqbFdSuP8S3q3ztmXECJmMCMzQ3FmUK6dh2UKlmvakkQv4ctWUEOzS++2S +w4RF8IP0KVR5Ae57C8/5vNIctFNVlQxdxuBIbg51kEjILX8o3cF7GbWS47qqFie47akyM2guaRVC +9okLKpSe+pQBGxu3ERnIFqnPY5cQzs3IjiJdLmgYnE01JfcDUnRAVJJriK7DyrGVuqs58XheXB61 +ana6QCjdjvRS1IZbRUJPJX2+iOGkq59+W3SRHAGD7glsCPjvmKQlkIt6Yb6FerFuPLliZTkQB8qh +gc3gLi63IIicFWn9zRnj+yArwaTlJzgBJU75poIVaJx67YyQvb5L95HjSFUaLESo+X+sHsVG9v4d +wTG4AQV6Y7Yq4/TVsJe/8l2fZrLZ9H5csNh+Z3cKFQEXXdodNPPHfvzZCmGWZ2w+qi2fTTedLh7m +WBOhslEmVJXtaESJ5j1t4308aHut80b5wFjhPSXN8+jOxogiejjy/MTHzNS5kGX7f3QkCrRvFHpY +Te7mNgYPerrrInpmpZRDTt7zedEVrI877lIZZQKgRvrMxWiQC8xkn9VU5/Pm8YdbEk6F8Nbtvz3S +PF7wMPrE9HgGfrJZE0gWcQUsvppfMRnCuwsPSHRRoqbipv5mEVS9haYH7yi3DCk5gdu/ecP4n24t +Hxtw5xhCNE9FMxHefTr3GKHq2fcdQjmfr0cndCCT2B+5t6AnpUx6Oz9XSg0XFFgPvrXJh5dUK4Mm +MGlxKh1MxqBVI2jDZpnTX6A8ArFSsBY6UGd7HiIJa5gmkdoKTRpPCm/e+hliu8aXU25VkH3ybu9r +QwNumXuborNMkAaGeKueZ/rirQed1DxUakwWVE8AvaWyXA3loPGdu0XvMDL4k/PPQO2OY5U+E0rD +BTfZocBsYs9QvJ1FOMUiTwvjBfRtR5JN2BtPtGZxdA9iHOafwP7eFS3Y6RVO4QUom4n6EduCSh7s +hhzPoZGfLNx/cx2Wy1YmczvAnGvM5xcEjtoYhtJcfxgh0E9460Qd7lMeZ0nej4r6Sma88TIsOUTn +GbvtrkVXXkFX7pier4M7kidWOp8O9GMlHUZLkveO0XCv32Ghq5a0nOTb/qXKBsxZchs3C243L8rs +JNuCGWj7iRDVqOvGEBh5tcVPYw5vgthCauswhZQBVfK/ESPHcvIuqZ+2VhpbIfOVfIQTS8aWTt36 +ZbTr3RrBZcjctFHP2h02+Q6N3ilJHnsQQESd7MCAnfJdP729Lpkwohngu+/MBkV2KUbMsajPT2Ob +kd0YX/cgVKu9pYQrSDB2uR2ya6Pec/6oxmPVwr6qThnkMcrthMqOpsBSGSUfelrgOWs77T9H4l9z +jSKfQegG8+CHN0sIOUKQpzD30VXT+uiH7M1r3T6C1qTaSb8q5egBFGioI7xu1O7uas41KGHmN/76 +NPiVxRSa39txEaapemxFVd7DvGFC6ic4hohSnagRJnOIYjlcRQFnF1s2SVLYUjg+E6QK5oSPy26S +AoV6eDWvbX3v53Dy151huRP+RQ6MWvqRrhnTcpG9QoLymepdsaGXFdGt36ETg+ipur0hcZd0Yoib +idNlQVbdVF4nZZftbVvLVQ2zyujoiGGlckN2XfY2yqZcpwujFqanFG8v203PR8fRT9hfWBzR18GR +44XLOHA2VkQv6kPTtSraLzEzUITUSfl874ktl3xGZ6BdKy3T7k6Yww3Zfaj2gPP9LAn0JWY0E9U5 +xrIoAAjjzv8DHjhKfSOnLM+yCP4Z8bUK3lyxYqkKkp9LjfuwzloQHUvAOa+mRGljbLuBCfHHxCcN +3BR3/IHhfPbsNkK9lygFT1A6GQSgTFnLCz3FucQ3Bu/n9CQ6Tk8ObakNZ8m2wCRovlBFkpvjJlhu +Cr5kcmCSj529G1jGbzGbWZrLwCJTbAAEzhUEi0MltZSSz4Unryk4z8L4BjYtwKBPkJ+F3o7aDofW +RPQVtyv90hQa2/facbHvRDT2PWHf6g5Bp1Aae20YdpZd1UiHBpMlRc0/F7azGsCG7xBhBj5IdDBE +6OE7C2NZkVEpJbTUgbHDHkijfLDz+sM8szx3pzPnfnZKjJ3F+JfMa8wUen9p8lUHKMox+awAWh1T +CpwhbhgC+Z1Tmg9/WTYYvebs5beqr4ZrESe6r/+/wwwG9d+pvsgsTFUiOLQi7PDOMd0VJdQHlIRX +LNaTSKqoatcNJ3sGyJJSkOGnGTB4x7xTlJFk6f2SabiVt+IlvDAc4EjqlJLhWhouE35lZ6t3QLB7 +kVdDtHlPbRQMzLT2VZLLN1Kd07xAeDpbKn7w7Bcq0QTjPPCohFnMPOa2Xfb5cat866wz4Xh2W2e8 +/1ni1XpgWFRGix1nBD8D4Tl3L9XW/oqoQjDy2eFOvN2Y2WnKBZaunZUMAdBADc9wtMPKQZygKrD9 +4qmp+H+WULw55yF7dsDi6/7cIpwohtFXmXRVNpiELMSexqb7hESNNDfzqwl5CP18/OAspbd7PhKQ +oU14KQ8PiZHFelwqUiaM4OhCDOO6T2P66A28RBfttHk1+U5fidhgQRYOQufOaaHfJJiB3HauafKk +9i68nRntEC1z8zaAuRUGK0dCA1Ull3+8v4CGfxVF2N434rfqwUlp27TkXsaeiuGguKoMchLqt/k7 +z1Vv8N3JoZwvASOWkBOjr9LZ8mar265iCdPRcrgwxF7NeCnMg4TFgXOe+9SqsIoC8qg0mtSiTj9d +qqsJTeuEIkBkSH3UcnoT1X6UH4alVowb8229paEwXzHAHLGqUvvY4RvmuBbOZNNQEejRuD4m/qU1 +5DYfz0nQFoBWKA4e/Aabi3LIrLmw1is7pbN8tODoOJIoP1kIwbQGHk8C1EaMb4ms4J87kKOhEZJM +htw3Lm8eaBwqfK+wiUwqGUfuwNXhbvsnv08EhzJIJdEPlOZTWBACmZ1UmQ2bBT9B0qRpsT5j25fC +kdJtysq19S9uw20qoLeXTKvuav48IUuuevFHjCfqV91OYB6CtDG//6A5qk0D0DASfkvtCsBiTfxB +zLdKXHhw+5oaJ+ruP8pQBBodrSQg1Cn4JXaND32aY2dRuq108p3Sub3Ebw9iuEaj7RajT17211Vd +Y2oOQRMF0UUAXyXobfjnJTLTYVEgfO8RxrmWeBmWWFPKGq6Yx1REVU7LOSGscydsJFqIQw8UAE4s ++Jvd4aykNQ+alhyttfHO5YZ+c0q722SGPVNcJbFAlYIs3JnrkZnddcdvDva45UAdX5+EPTzmamUk +ubMqFAvXKPJirSr6XtG9kOV9H87asiI4ED1i+SpgkhVZ2y+kJdaLXKE78lam1XOfs9OkxbLFcfSr +nYkBzXSnH8sxIDDSgEdPZnBJQoe8QN+HvsbHlG/6TD2cS3RBdrVr/9YDNyW667ElIXnVyAKKCkAw +hF6+ci18dsreDNwltlvkniU1M1fAeIodD+KD3NAa4YKlbRfHsnVVTvHsgKAKAAIsAOJ8GNTTO+tH +hhP0C+59q6cyKOMi9giArk5ek+JtIm+vqAjho5KObVE2IHoh20OsVE+szMyFj+xqL2qgB6RcoMJ8 +TsXmzAKNOh1trsViYT6dupp5LmVd6jItcCtZknDmTZ6f4v5wKZJuv9292++gaJ3AbGVaLG3GLT5L +MgCIHryfU6O5wRnKdoRGbHvxlFopITGKHhFYZz+GOuCL6HdOlKId0KZA1Ha7eCLcTopxAXTufPPW +/Ot+PPZ4jlmidHMyBYY7JKgrJSgp+vjhxaTBHvy1G33z/vMqvj9/ORLE60I21YliVwwcG4Ewf2lT +mgPMNZpY59tQEtUgmoJddr/lM/TkWGsQi3qMvLvgA1f7clw9tG8dD2DhkxieZHsVreqgNy6pWBWy +S+H1mLk1dWsqrdR3F5EHtnt48HOlYQQTHdGKAso+gyoMljSPdXJCYFZwHEjJmIsUhoeYRB6hqDOQ +7QDx5BugUh0azCiIOzpG85rhO6eVvJkRaxcKJTocrhuojR3zxWbz8StuvbUJtiSu8EAA7XyRkkKV +Tc4rkylUQ+sxxbvXEfYD3zo0/npuJnGiCrtDRXPnmrN2E1Ph9RHerUmQteyC+LBDX8Pserc2qGUR +pI7sAtaJlwYkK8MrPMMcAKf69VJjkTPHB/sHiU4nWMe+fPU/HQamuFlmNAGu144hwnHfm4/LmL/H +IlgFJ1bBtVGlJlheA2It5bdy058dxd+xts8EmVSnsqg7/q8m2+N1LUbSfibpeTfTBA18DVqe88cF +54qXPOMmGRdcs2vK3Eu/bgCBpEpvHCprlRat0XgDsG5Vv9El6gNX73cx/PgODhQTtvWI/Tw/tOnX +tWxAkrOSBmQOoIvNf+tKoYYZANKfNGwx2zcrJBoochTsWOoufH0edQZVVOG/Fff9Ev1g8XaI0yKy +0HlYLf7ugFF3i3ijRHaRO4QTF/52TZ8/yCk5yQgiIytEIH9KY3XqO2DedahX5AkhdfZh2XEbSyln +z4VU7kW28K3U2A1VvVVAvQdopDNBRGX3DDa/o/H808Vd562iCdjJu4SW5kg1SqzMLTiT/6ipL0sP +sTivKa+5QOSRJ9cxcg6/R+FmRpqsDbx6A4sQcOZX0xjDYx5YZ/AL3pQMHnDiSzqkpVXhePCU3/Bl +5ZYOkCyaTrkz9Z5krHoMjv9jKDkCSWGG29CLwdgAvPoQ1CJZjnlixRsIyaF9DO8Q3CvS+7LN3K1U +TSUIgCmDx1FieQcIvvL8+Hqk3wE/TP26jLDYU7hkCCjePw9HwsyBsrQTQwi8oNl1us8nezGcg8DX +k9rvY1Fbi87xF4cqOn4YyMn7stLQ+LH8IA7WizO6z3MniNRt+xgwXfJSJ4AuO4qAYGO7ZhPqGLuy +ch11KcOr7N+vh/EW+sP8l3gtKeV6hecHAG2vHOfBNBNqesTZ/vRp6Os6xN6aCABHY+8H0Fl77NuU +KpbPawXjREmHE94vEa2xQY5TS+lMY7zsqozYndMoBRnxjpqX/+uq0u21k53BSYvppnncJ/enVzVp +RL36i4zUhpkWB65f9xaNBm2tq63IO8eLk5aFEhPs5pNNyn+R7oM/0OfXFALWMjY2W1Y4r3zxZ/z8 +xGSd67e6vpnHYQBA6KfKhnB8BJFSQex4AYzNH1oi+RmoigGEmKTPR9NRn8RvXfr3Awm44HxWlf9h +w11KqzHXcJGtM2+U57aykIoAGxo98KlVIV+c30IoLZESczU4GJiFUDxtxNNRejxVSA+IIfQIZk0l +J2wrlWF/wWFRtITYcORjzR9deDp8er/Jq4yoakpqHaYGw5EUsBn8Ck11/o15IVPERxV9oEo0fkNj +2y4TLYJln5FJW9/td8QYRn80WK7dg6QKXE4UNjGFMtdTWZvNzfoOYLDSu/gEQ38UbIfdTtBAXUI3 +p+FcP4Yox2y1Vpg59ncY1iQ1SE6hutzhO1iWhpftNXlbFfyp6Yk/u6mV46aV2oJ+jAs+VT/xg4I6 +vU6mOCciBd5ckqcE2LPC6LBqFFEa16hejjEIXZcmXR1fgH0CpqgfierImUraRkNtQGvvPeZIGkHs +je0t7ZnFAhfwwFfm7eGkoW+yAOgmm6FP4vEfz+adOBZHX2XY9cOD+vWoVslb+vPBmJr/2HegSRpy +87KbXeOg9DMwOgYyaKS+xDcnvCIkjamtOWiGH4QmcUOybJFWAHEDSom+B267bsihuIsWbiUZewkD +hUjaydBFAbu0NTCA3YByLI52ZU8g+1FgiaH321sOCbLfhS5ZFBo1OQmEyBG92x6IHHYls3dYml07 +C7HGRGQfrlCc4+LPjGXI9Y5q7SBDGVSGl6bojo1RZGL2Qle4aRgcJcXSvw0BISaU77KCVLS/nbC6 +sAM1CdDI3gtzryAJF9hOZ++KsIu3rBNwcWwD0pKRORvjaiyDj932b3XAXnembdgZ1sGeX+SRZeLh +qc+BD/NLw5Fw4LLL1NvWtI8XfF5pk1WLcIyCvt+yExqtw2FarvN9wPJMpE/68MsPuI4L15mC3IwC +aIWgEcLeWwfdlsbc6vpnVKjNjqdxtGzLGIR9adxWz2sqK3E/s3HMRZJED0PTYoiI+OlsqYI/cYIz +UfaYb7gYchL62x89oTUBBWwI1HruxwMkqBQK5xbxIdxJsORp4ki6KUUyStP2Psrsiuc9WBzUFR40 +MBT7PPcPTNSyOemrIKokjAt1YwLPQvd3qC9LeDPxctzBbJzyiB7SXWdi0eA4UWg5b1xRz/ojRki9 +V/E9KlXd16WustS35Yhy95kK8J8SN8eBcdHONeH2QcEjH61U8IWN8W4Om3XjbOkPBtJxpEJKZGpg +QpwfY0axQhVY1JHSyJjDOhu07yBXkC2H6s0+QbIBI+PogiMQc4rMJQsjVdhQ3O5+KFtRkkoyDL0m +DeGb8Ns4QF+I66xe/vuLnh1tOYEt2Xj34TeuXJ+JHd2cjAufoYV7jSjUjK4HNs2sWz2tHjl/P2Z8 +1Je6plj07LqsxObqpBT2RYns1txRAL+oNzJvyFN4hibaPKrIyFbB4j+mvH2MPlHIYLpYuQA/fLZH +oLGYBl7Klt+2su+KDy7wNWQ7pT7B1uTKung4P/RQjbll4ewRfKjWcmJNa7Vq3AkqNxTGFRpbYvop +qCpfGzbLuhJqB7/5IjDdAFqx45H7s4couJ0fVUX+XHh/EjXh93pLvNk7EWkLID0AKb8gBehVWrbG +c8y68W5H3e32bZ89A9EvUDOy/p3Z3u52G9hJ7GBYEvBXGkHQSerqT5jp02tMbGd0XfohXrooPC94 +M3+tV4iJbVUy7EIqZmQoCMfcsFfQFy5Ozah+c3PZ+cxIQFPLDXcq8lv8+zh3brOzHUazYdjQHEO4 +f1L7cPUTQ4rTzTbUkmvRct/coKILfOz+7B2tCa6U6kKtvZ7UqOJdOCJlnMKlj+TEkOz2f2x5JU9k +5pZS9IkrIsy8U4aVtbBkl5OYHeMgiGBy/sFztVr0N1WuJwTIpAA0xitLIPnKnyj/DWE94jQE5fcp +rn5pwMpyK9sD8FI95kymBZU5m8/ZEmZwToqALf+3WCtjQwZuS0ma2MAbDcmCr07I79UZm+ZrSk2y +S53OytR6F7sO7CC/YC0f4ch7GFRIHwL0j+maV1lP6gOGJr3hEahunDcNnDDtxqmCoq8BK+d0IOxm +e+xOTpSKwipLyqb+DmFBXhhf2wZeR6nQCoitV6/+gwmWw8b2+6ArDYcb0+BPAnWCwzColj60IwOS +pO0jXhblCyLIShnq0w2SHVXq2e/qXBGegHyp0yKF/sjygwbO0u5ihfiWsocOvT0yQFqHfIsvo1Wr +9z+vgPigya7np9xcd5pxVSf/XtQGmhdbgNbjAGVnAPEEWjfbzZWZuRStSLSJ0Q1INzlwEl4lfrfS +CfycUiVAeTRYMxRlHIJhfR0MbL3YqxmbpQgjo/H9UiTuaGw/xup9V9zxcrq0LjH7a6On8SMv2yV6 +T7AGPQABXQUtsPEbZyjZu5aRImCiLl37F6kEBny6xofqr+/7fy/tII30UM6iMilgroWfq5tq21Uy +Xh8ZBgRZv+rq+gcZTHKFsJpZ5Tm1u0dNrzYPIqZbuRgXfZKzYY2bQ6qqVGWFOWnOF6DGfqaUPuLE +sqLgP1maV5fVpjnIr3IEUO5CNC+z0aj9w/9UCg42MFcEYedUYeHwk1gbjcyW0XHHzVsn8zWP7MY3 +Nq/NOJs+iRC69QBgeOcHJRvhpm9YEOfI/8BKAK4SYBH3r1tIE1UOWqQtI0EA5r5PTUtRLRHVy1oz +03fTGMkfuGwNqXlPImfCFf0zsWICgO0jMMAAl86l2n3iUibgOdxdExTpBPdZrwIwhQeIiz88QVnn +socZjHvKPFvGNWQaY20o+UTzaIMrHR8Cd5mQnAv/FWT8ge7DTY9ezZEfnPjxt9e9qNVbx+Sv2CMb +1J7c+bRRYD5fnwH8l2tHLK6y+a2IbdwOiRZed6uhUpKHG7ma8PdpcMNnrcqT7+Wfvw0EAoOvAVFj +rmIRCssz+OKAh0K5DyKqutDNMTJGSMVBSQKveNEamlA4zp+HZG2lcqyKJMPRJipzr9oPSLPp2iqR +Aspem9Wu9U2fNlhkqj38/Hj08j7qSGNyXzLaNWEnQ9JGLXuD/h8bxGGtKFmPjDpjb8Vt6hpMGHYB +ackcuWdn3LmdO4Nz8tzGEMGIWd4ZfgN6//a4p/pjoKhNW/Ih0NC+lCoftCLiWAYaKMOif3fyjraC +QVM3TqGRS9fW03EslJqGqBmWcxt62iDiduDy2G0vbSpmg1rFzQw65jQ135pI+lbo2moYriGygX8v +Fq1bxCqqf/Q2ni/DzGzo5NCehKZPbdMhudvwv2Ribi+mlEcSVNXJClHFe0lyGg6yJBAZP5iyw5GV +Y+3fPOsBqq+/JF+YTudXI5u4AICslmosvCo07EXBFHk5PWtGJ/Tj9IFUdqgmNlSaSYa8QKJiFjcI +ostto4QoL11ebpFbGDdpVQJs2X5EP8uQTSfGjMLp9ouV7kNSGF01CHpiBjB9bTucJQLnZvkAwRav +kXHgGPUmLuDsNIoJr6WchOl7lPYUN8Ez5lxC0uRs+zTIy+C2KJURXcVhF4MfSN8VqGsr4QHLZwV0 +vmAnQOcFYFbnT2nEXfir5dx4VfGtN0PQZjKNbCC1wh06eArtk8XJ4iW+YPiLM0yedn1A06Li318c +Ez3M3BFhk2W9G8dxtdptgPECPeM6vTlWj+kENZD9sTsKI1IljgmX1FhyrL/Nn2AzD2aca3+ON7iD +PLA2pf8bwc9WdMGypqjRVARl3X22VO1X0J87fJbHibkSnjTf+4Ge8aYDWFDYJVM629ewlozpIOCq +2q6ymrIScidz7XHQkTJ23cVm3TDiSwHOvZ0wrGpj5BTgi5NpEg0pfTTa7TSN6lyDBv7psqqQMO/v +Z8cYblTNkIunOn8ZO7lvU0jPOYmTBEcSaoD3jCMNz7mfIOIjYbXRXVSBBUu9DttkvpVjJK3drcCF +dzsPdBPtrLlxLmAWK55+PI9P4wTAt40LevXLpDcs+MOe5O0A4Lha1P8OsxlQtBmI3+P7GY7/Q5O+ +nKipwm4YTdQ5nk+KvlW51n20OKxXxU3/Biou/3UWYQ1upvkJ8FL+LDmw82cfaFqeIG5W2J0kKB2Q +XYdSSHb5kafJR+CgFMTn5aVjyOQtmefMzD9+ppPKxF+SZneVrigS7e+CttAd6IqEh6fikws66Vh6 +K8fhDJTih8KzAmOwFcqTSjQ43PiqijqLdsAXpTnnQLBT3I4Z+axIFgr9FuEbkSya+vSuxwMMFPvk +oJkw1MaUinoFsswwRpTPdVQ07N7dsmNU9OtzN4r+rB3pUbaOmKw17TTpGH68hkoEzrBQI+35ODrp +TRXv2YakVWhbtVvD5ZrU9nEC4kKv07IwNhauv7MN/f9n0Bg8xW2UmybMY+reV3bU+PicU+kCQ2XD +qiMqc2j6hdRUxaJymxglZcipEV27NK3k6NuHHm8UoEondLEJOrNzYopfcldu2GZgKTtjQ846LTKx +OfloB+Csc5yMzSRipH/77CdG3RvJ5mgu4cwwNSz65FfftY0/IH5DKVdZgjuSKDA3cx6DEp3U6vde +hDGbh2vn37Bocx34rs2vLcWqisD2EPfO4Kt4GFbwcMRVbhzQ89cLVlfuGzi/U4QlGvNjKS9lozNm +pK5JjBSV08fXiIFpq/5HnyseOc48hp/AxFhNhzeeWttrPC4/NPpggoWDrHHkdcXppFBmReDMRHLe +0JSM+NrBL+eoXcnxOVnKuDp2a1sO346/QHFVZvlRWDl2RDsJVtVpOcwN5XbZ1brSdU/AtHulY8NP +dbMqyfoWrZabMjIPm3ZGydLzNaZlx3NRw0A026iGWCX5uaUcorUu43Escm5WLyNUfK4xfwK76rVr +YKuDJ1G7isZsGV8kYq1XJToJ2HGi7vaOaUTbNIgNUI//O1vOnHkst/dvULxGPL16Nc1FSrQOK33v +BoYJwXRXaDbqwDmrHUZUgce8hJiacKB+mi5a0Y3OvYoc9Fkh6nPyAsCfvl5bfehbjmcESS85vBuy +zMXZK1vV8re+yPq08we28KE6Q25KmQfD7GhWQK7lhlGq2oFX3kbqSLKwMwhHHB13QfLaqdQqDdAZ +0BKNWr2B21hIPIw7Zl1Ch1p8QrCnEamgErJ+j9l6WA2yfgMzupim9tC+nWwTY1pxkC5HeokPPwAB +SKBj4VVvosEQnU1uPpjsNqFbExyZG7aET7lAm1M3uG1ADP4scUhV79psZv6imZh8iKf67pPg/TD6 ++gGUuW9cHhy2jCgoTD5EuuwPeDUlcdgcUmxkeAuaZHexbHWZfBC7YT7mhllTt3YXoPoZh2yb1IVx +M9OzbcQ+3zeoPjvXnAo9PRkTAf7nvGJ8dEyY1TYMRjDQeeiZ8t1BHqhuDnci6DYnjXb9imoJRnj4 +w+chcNl6PgJ+zCBd4Cnn9TRNaHM/3imJk1tT/NArekcM4fU2Hd41yxbKb3lMVNNoGm0WDl9g+TLp +6h4/m6ba5wL+Z4A45IRePEbz58lV9IuSVuHysEZQeAdd8DZeNFUG2P9eNlLujNtjxZAdnuJL2jPY +WtGPTubotCdMbF+JF5ITLd/si9guTg5McWJ7rHQ4RDgwPV/ULAQB6vJVOX39cu+uck7ymtSnf6u+ +BPggNwUyNUwnydu1qvEz1cZa5FjIdiW3WVptJuVCBAs/+oIafuhdsMRfw9AaSqZkV/GnNy+ifAi7 +2EoVoCPLh5wZgYHOaICne9vpTzszCHB5WBvaAeQyxwD8xVpH9jsdfpuN+LJH3Bb26Ek9n2GGElzN +VpGUea58+uyz5qI5rGcfLYLvRe5AnB1wC0hKiy3WG/+ORButhpgrdooBQ2knmHB+VngK5hYLKsWs +WQnasFdLphnoL1BjCrT9RweUiJWrSPf93OXc/az5W2dqA1LJc+uCcBQZQjVv5pxkVMe8jqoyfZ7a +tTf3lA0d6VbhIcww/h2oEiYazTC5L+V39aGWZ42P6Phc5OtxknGdSDl/aIGoXM49Xoy8ABaiAKrV +l0RUWYzqtyDqp5BtbD/8EFzBaleOR/abDO+JmNzojqy9GdCzaLQpCG7B25lELzCMU5JPJJu7Cf8s +AvsQo57OOuup582Ej/FS/iNdYae97stwV0/a9oQqAazRpKbec4yJtS/8Ek+tjz1hTfvhybH5D7/T +H6U4SO0+iTo8AuDNRwyeg//YSglw6kf+TvJR9TMiQ1b+NrLjdwzGVf/5DAnr6BM5bMJ98cBeagEY +X3bTxnD1XZAM1QbQcbRwf/zO3nkVTG/VUR+TGkwtMlIi+ELa4u5NzTkbM99WVN6RoRT/zXq2rLbe +zKSYN/ngtImr0YWz9fuxAExj9UvjGpbbiNf6XikLySvbBGEqOUhtpca0ZuFWP3OWafNBmuvEkvBs +0k8wy/ytJiFxAoHbwaF0c8SM6U9jcGAQ36CTF19UNJjGySo1EsHw1xHVaRDG6FxWDEmk8vLnGFiz +KQoJT6CU5Lpea7GQMBI+ZqFgmBbLuyhLZJjsar8WU938q99jC7Kh55kWOIW4nHUY1TVcl9shcfuR +Oeq9CvQa6ypec7MG1Egj//Hy77eI6q6MHMlYM7UhgUQ8el51HuJxoUZtQOC0lX7okyj5lqt4Z/x0 +ro8CdS+Th4ZqiDHGKTPTXDfcaW15FHYPsQ/aqN3ykXzFXix9u5QiJAi2NrLofsbKBYy00Xb0Osx8 +v+3f/oS79o4y9WfMo6MbdzA7G7ItZxAhggthsYZVHelw97cjb3L+yxGy1CLwG3eyc2QhULxxihnH +rs/lZnwHJaDROHw9tjC5E+HzAw7zqjibxtX2Alm0PRoCcgIQZWrYFXRyg1F+bCVL3EIQ0Y7p7PFS +xX06iU+ZJUwRvRXkAG0SiDELBiLLI6JFE5dgT+fvAAPq7VuVwiu5zQ3MF+7rx4sVlsa5GObeynHd +hEpgmQvtA/zGo9yb6BDKS6a8cL3nJXdb3io8cfW8dpTm3cb70OfkBBgpySiI1/Pf5Ill5STE6ifh +VRIh5jS/yBta1Z4hsFfIB+HcJa7AuOj4J1qL2GS/SYLbJM47W9Zz7lyAbgXiK7wFZ2wlToMDxvKn +pEjiODMgkkpnfewsVLsJCI2xTmZSCe02V0dHD3JZbBjX8LNdvynjysLU6Upv3tq5yP9Zn1Glcm0K +hvE+PB2KAUxz/71IkmJb3FY8vohN6A728A2jwZd8nrKoxWkVbsBMeCJDzOENC4DssVqnmtfRC/AC +V7vT8/5gf/Sunigz7Y0nWVcBTA2GyPS+E/pMzAhCsdP5VNOqG4RLBYpI2o1dWv6YOInmvRKI9HvT +iFm92erbmT380cvxCD1gTgAPt7Gkc0S1pO55+DxwLi012Zi9/sf98deZZny5ByJgEHKD00qhNsYf +rSve6HqsGOTtGFhiBknka4aWTZ08GFszNTjPffWuHsK7cqh+dzLDAiw9w84XQXEYhRm/hbdC4Mgl +YL4HXFok2U1Alkp4SraDuE9b5i1x6CxXixL9ybDhGUugGxM/vgW36nWKJBBaCQnV7mrDxEINRXua ++/J5HhR4r7MVgFnvi3/MrPK6oczmOChQjB94B+n+fR12BthumHPuucdlX4oiPANI55woNrtcT/SL +LtOpJVBcz4ln3XOmzpLJJ95j4NUbmHDLBF/mdrZVMfCwAj/Kzo8EpuCif7g8A93+tfFJf0mIux1R +FZLcRa/ovZS776O2yQRoOe4roSLMfr2HtT/E+y2ERUii9NHUm/I1APQBI1ILDrCjzuF541wgQTwG +42AJIrBYTaabptEF19Qn+p7+4gTrljpUC3ds7QQgirH3m4vDhsliOwI4ra+E6erXhMiAQ2RJqAmJ +AqH6IqYJDSdl4ybgoGdAGLmz4Wrn3i0IG68YoFdCsz+MDHNeTZnw+l0QYUkdTLpotiOq/1lpez0V +hSFx7G/IkI9iaWNadbHzcycKuTAqR0NMIxm8qWdHoCy1H2MRsv3c7tAoMqTcWNr2skHTHotrpfyC +74rrp9Nkz/NQ+IKcqk/E1tDjyP+PtiRzvxE0NwPqfFMc05wi5HbRU0lKxlpO+05+L9HlIgHWRhDd +oGh9USiGXz4VgTMNE/wZy2g+RQz97So6v7SIJq6+RaZI8lSXfUsGRBRHsT27Lkif60BG8XLOf/cT +5zMjzozMCCp3WFi4j7+K0Mxv2hkl/XejYnO28bh7PxKiPgqW2uclj0BFknzERADpfET5GmfE1i6S +ArjSDaqMo6Qn5O9qyrxqeotT9zwbUb6ZZ6ccYhpQ+SBTcaNlMteLHnC2m6C/RgMykKIk+O/PuZxt +34NZjRvF2QBDPq+IBAxHFMj6SSUQpghVSZWE4GtWJf9neOu766sAVuzcxrNkAKTSnVBg60S0WkbY +BKmE8RFqO+e5/nfn8197mjhfoaWTXoSHApxi7nWG4OB7PEVeLAp62goYnkwiy8bTX5HiPkW0gPyw +1EbKmZhw4OfLO3lK3v2R7WPHBgT8R5DG9iOp01hHRhqc68S5+YbIgs7SiQJnIgMAWZHO6dwW1xsh +Kh0pFn9hXI4akB8acYfFE5yHSS+LNbxTrFwOKOMIx5FFDLYA+y7cp7np1vagCILFXGrI9kcHC1En +1GTclx08PCI35gtS43DNzX/eUNvxh3WDuYahuox6gTcrXuuYGxSza7kdZZFzZdiUHSbZc6FOYKGn +hjjZNwAnmgZ5XHW6mLSA9xoYQZmEDXn0R7YCRrptSiBd3KkDUXAd40N+4PB8L+mZDaTL09lbgH0b +v4n5ie7oj6bWpEO4/Z4/Sv8yyICY6UAN727/NcH1x39f72iwTPgpB/9dYCHDVqd5A/iEkJj+SBQk +duHTbfLt5Pazf/wPpsq050Vin2OvF4KPqLG6v7xkuwerANRK5Wbzv2gKmIuJTO08F2Aa4MeNrCZB +QgOTq/e7D4p+B9KxWXPYc2fWjiQ9xzMZg7N+60qAO9YndbEowkbz5IWm3uOoQ84NrmBc+fIv/vx7 +Bo1t/M/WkZ3lxyTPzhZYcpC3ezLLLJGVThlPJOwrmkA3cdVuKzxg6s/0aKHt3sh7EYpnVa+I+Gxq +BcF94j7t8iMAIA4S+A3eqLIYzFhm+xcdMW8Brc9zTEiuD3doaG65lWASJk4bj0eb7H7m52dg7e0Y +ZpO7D9UCpCoW685GXajag0wzxBl9KraluEh2i7ANFzBD4wDfeojOy5AwXMFQ5A5N7GsSK3+aAZGE +PGA8MvGwrCMgrUJyc0Lc9AhyBrXAvu/WyvY+8ASqySpWY/gSB/kf6GoX1HmVXefEIvlIKYtJShCr +Y3jl7XspOiU/yzVNXfoS1WwyCNQGalOKib+NFQXiRBNM+76gKwKh/v2qY2PPJm/cGUh4zoLhrk7a +oA/Jbs4lGG2/FbhAUAq66BX1xCQIMl7YCdNWb5ZE1yaC/9amFZhZBbjqF/WWsFovMUiCc96fu12J +B0oALledsDo/GMQxY6DJwGyoaA7vdlDdkmnm6l5fE4t7nv1KuTG7EvpZEuz/lG3B+j/2Cg0/Zbgv +IkcERzP1/VcyzTkqSQqDoXf8I7x8N79YX1bnLvow6kXMHCCu9C/n6a1xSCL3P8YxexAXCMiopkQG +jpypcfXUZJ8WS9JKLB2X49eAxvOIzCltKl+g/FD71ajCIjOGAZzal+5bl3YpvYLLc987X2+d4/4X +Jzi9xqiB+coGW5hFoqzgwN1Lm6LtKHVwRYEvOQjiGPnvg658SAkkLf8dNAX1Ve37upfmQVTgFNSl +gh3q9xWILAZn81utPsLb1IQd7DYdpCt8aXmgTo3K973w/L2azWUSiqRIwb+fE1eKT3wHbOPmw+4m +2u/lAQqxB5NiamSQUN55u+zZvRPoZZVqv3nM7ccqr7ZNyuGs2gbs8p5+AIyBefXO7+ZkMPWUxidF +DqNj0T5zPvKR2KqPv9ICeJsbVzkt7KjxJm1EqNTgYzCIHMupOJO0+iR1AJa/AW+k8H+ilrLecfKA +y0PIrBgEhG+UAdCg2fcKJKpMxI4DJRDdVCPEyrlJUzM8YZCVb16LmIiO0Gid+oCzg3aZBTlRyJoH +KyWAN6cic1Kq7FBNHg023YElaCByDvyt4AuffH0KXySe5ce/yCgc9K+EOd57hl94hWsMnaNz0/Kl +3fNqlHDInI3wh/n+fk8ooJPfx5QenSsdonXk/mcGy3R8poBAokwV63E/+A3SdUMRbRthpG2gykyk +sbFPPOqo/v9ZjwPNp1gA0nZaOnCrn69ob+2a+0dWEI+pIINaf+f/SosO5FbGlEuFHqOulslC83ss +NBe7BWgW1XJyMUuig8mtjRFfGh2Ops7YCDNRXTZEe8ztucctA02bhXp8LDtGaNdhi1QK5gAU3nQE +/dPJQXDK9JUYnkJnkUN6/uJV9Uq84/xml3xwbE0C1mrfaT8ez0LGAMRMdgXxbcVMrPsO2xDxiVUc +e/lDGx7wUsvBmleRU0Vxh1AOnJvVWuhvmMhwANp9rMW7bIkmvOsB2PLYLkg1Cxy8xjZNYEmB8QTq +10CFpahXmbmK8Eya8uEddUtNXfjhktTdDGhhfvz83647/AE8Bh0hkhaBRPhhhT+um8/4IiY0qYxV +aL+sfbKelMlzxqQ/OASBxUd0iQCZmjiyHoOk1eMTlW/UuoTXJ0WiUbhosohJWe//TI/cbrhmASRl +JOD968yvBQVrh1qfAAl2AOUzMUe8fp7CpNfvdYkEd+DPBYeOg/DhoxXAYVu/Pt9e48ZxT73ZivVU +mXaUetjLyYVXkkCnB7Bwr05MQxf1DUQ8UYD/mMHRmD/6aEHNgiim/VAR2lhgtaYSZbzTP0D9z1Qh +h5qn8voFsbamYJQzS3jjWOJexMd9TJnJQ5mV8fsFND5MLaGlysplNS2l+lsYcQnZfQqPkLHlB6HZ +8jp2fQi5kxXrOFBdyBCqezFEmXVCCTyuRabTT7pFuFDM14XE8eQQw1MzDAM3Bj3z1Ei+BSw7ccB3 +xe3+EIDlZrsmEG7ZLj8/Z/52Yl9nDOXESW+0U4jdBsUPy3P8zI7iYG1N20LdtyUEDcykrhJ4TUQ7 +UXxevB3o8xtIzSnJE81npUoYHGKoy5oXxGTzAGBKhg9Uc7zfNOT54PkYqsR456s4xE5VXYiguZZS +OGa/v2JMsIkqTdW1yOqIkuzkokL8C0RNFbJ+wfvzNqYZBaR2mOLyniDBowF9VvSyHZbXDITl4JGP +MYraXsJuf2tGh6f+KmpSOlebS4UargLWkqQsmnCZLbZN8EOKXAfBKyg6NxBnrc7+StPXzkMiEsKt +vTBRUwPtPU+9RoNR8T3R8CMJJNfnDdjTP75/EMCfOl43uRY00BmCVANETqCOixEnnyXvzT0poKDO +aZjeIgrMlj6uKziKmuT4RBX+wh38vxK3c0qFlmAGpaEaNzMoU5OrT+ewoNbQFk/OEE1QuM6IHpye +EcO3lUjgt07uAakKgRlRUkdlNQPDSdEgMoZ/BaLgVst+E2mNjMjPkh70jC/AqDa6QmOZ2fm8cg14 +ytrCZugRP4VW99QObMkInuYN9taEyGTWnnpP6z8gmUdgHIdfxKVldSIh6mhu3j3IWD56uSG64S/j +hL2CLQ4gHMFGZh5F9wvHmOWntqvNPslQu78saH6n+w3Qiwz1oJG+/QfXn7e71nNa1D2HXM7hE472 +xvnTjcKnJ4z98CZNDpKGoBLbxYGBuNbFzpTv8yEaKi+nBe6X61WHX912aIJlpQxlGgGWJrsrfu66 +YbfcWVzTdXkdcVvViqiP2xj7s77lsKoVk1lWurjrP+fi14isvV5PjJ1gkJut6DCnA2X2C2lWCbOB +BrIRXQNN7a4aeytF83l+VGlwu0wYQaV/oNGDneu7s81wcSCi0IGkrv7E55r9l1aQYAVyuZod+LhW +lsW+ax94t23VQT8Nlk4zTY54dGzRZylBykkLSZzLeKYu2FhKW2XgJnMVtC9LoTrQ77fhQeThwpj1 +7cvcjPWkKtrpIAJQbYfy1cGTOglkqmHVt0CVzaK0PwTH1QKCCCsIBe1XaXWGoHwkgdaBMBsDBIpH +lWA+w1lSC+TQcrNAll5oCxX9V84UtzGkJdLOKuRLKSnb150rG5gtdimsnz+1s8SXCjEtozr3cq7z +y2LaMuTucBAfo87KOjTbCcc9yyEnYxZPc2Nl3iGxzh0aKID8MzXJedQDSpXYqlFV91zA7k+U2ave +8xO+Ht/5ypq1odWQOdbC/t/iz4a+DF2K3u29Q8wBlHjAcWFpYmedO9ZJm2t891etqyuOrU2flHbT +QRVF/ZlCv6y7tRwVubob2CHbrowR/7rsKR7co+knE4IgFfTGnGbjv/pSpdDRLSTB+nuu3482ASD5 +9NXrivUHtAfLYKblBVoIghxTqDhxql0TukL4Y9OT0QgPhYtH1dXd1h9PBdUbFYium41qkS0R6I3c +MlAt88JteqDITUIckXlPX3l2YXjt2Nv8RVUIzBzn9K4XVojV9m0cttUvIGioi6znooTWG32BMTRy +I+Pc1LE3L5Z/IrAX1hbRY0NSUhhPm/wpSmwxc+8oMuYhiCPJ6pF3KDDC6EMRpXS1QGsIJqilALJb +NFxOSdd8z/rAISyZkp+ZgvIReu91agWu2abxlJgO6+BxC6G4ElnNlgvfz1xOgeDYreGDCc67rB69 +GVCy9Mi5vpgSVCAkHzS0mYiG+e7L0V5SFwqtPgh6a0NKQS3mdkE2AfvvXNNIpk25iDZw1+v6AmV8 +Eq8Q4GS7XkgNZZl1JXycbggxT4gZ/230n3y5tNIbEVHzSVUdnlqoJrw3mSWmdO3ZPjo83soZzBKn +KgAeoBAHPvX6EPHq8XTE5laDyfa4WVw0dpfUMe32z9vK6ftq4vWeaMqyTvuJq2M+0iN1Xe9gq/Zs +cnN0oStE5UBC1GYAZOLumfHwqG8tFK7CH8kttui7FPIcd+KLLv7tEqw38Kqq4HmaLFcUVYHvp10w +caWziAgHXBKhrkHKNjE842QTt1PGPIQo3voPnAmefRm4THhD16kOyKx51NwYs5HEqBgljEssP75K +aht2piCScnSfTqI5dc6nC8+e9GC2Lf6Buo7YeOcPf5FHbfN4qlXMQyFgUOH4zF18ip1JySCOaoot +QrymwQnKIHYu+Hjs8zqI+DNRxV2godHf1gLhNPfyhaHH5C3+gLel2WQ3OqSBiGoBaK5/h17RLlE9 +4ZzoTcwAZ5MHf8ufqhkO64VT45+wPNTP8XUq+bHA0hCPPi2Wc54Et7uNuw8oxAdRGKlIOw58ynUC +JawMJQPaSExZMx+vFDzEs/mOd2MYe3rU7kw5gyUPEOHeJFNfvO5JiTHTUA5fln6hHpeDdgpILYIJ +fNbjPwetWb5IPXuQy5kdmYql6GADhre5KOk85ctxDO3W3QVq+OlrJ7OgCh9X2SDkcvhNBBcROBGR +5fDL7xmzV1l5fI0b12VsW8G2I0PNBxduS21ZiRiVCWqhuPE84U51ub251L4TzFvYDXpI8fSuYLoz +p3yzgv2mrYKlCKZGHzSqKPHqdT6yxSE+gJJLL4KKCPePlw3g+Ru+09AwwIpr5dODmtCvUdb4fMXF +kfgWXSo5XpV4VDjemG7oWZ74/D5Af/6CTHt5eUQTTtR7Y3V/5bnvBmfUk9U9rMCF581AVJM= +`protect end_protected diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_fifo/gowin_fifo24.vo b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/gowin_fifo24.vo new file mode 100644 index 0000000..2f05698 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/gowin_fifo24.vo @@ -0,0 +1,902 @@ +//Copyright (C)2014-2024 Gowin Semiconductor Corporation. +//All rights reserved. +//File Title: Post-PnR Verilog Simulation Model file +//Tool Version: V1.9.10.03 Education (64-bit) +//Created Time: Tue Aug 19 23:16:31 2025 + +`timescale 100 ps/100 ps +module gowin_fifo24( + Data, + Reset, + WrClk, + RdClk, + WrEn, + RdEn, + Almost_Empty, + Almost_Full, + Q, + Empty, + Full +); +input [23:0] Data; +input Reset; +input WrClk; +input RdClk; +input WrEn; +input RdEn; +output Almost_Empty; +output Almost_Full; +output [23:0] Q; +output Empty; +output Full; +wire Almost_Empty; +wire Almost_Full; +wire [23:0] Data; +wire Empty; +wire Full; +wire GND; +wire [23:0] Q; +wire RdClk; +wire RdEn; +wire Reset; +wire VCC; +wire WrClk; +wire WrEn; +wire \fifo_inst/n23_5 ; +wire \fifo_inst/n27_3 ; +wire \fifo_inst/wfull_val ; +wire \fifo_inst/n193_3 ; +wire \fifo_inst/Equal.wgraynext_1_4 ; +wire \fifo_inst/Equal.wgraynext_2_4 ; +wire \fifo_inst/wfull_val_4 ; +wire \fifo_inst/wfull_val_5 ; +wire \fifo_inst/wfull_val_6 ; +wire \fifo_inst/wfull_val_7 ; +wire \fifo_inst/arempty_val_4 ; +wire \fifo_inst/awfull_val_4 ; +wire \fifo_inst/wfull_val_8 ; +wire \fifo_inst/wfull_val_9 ; +wire \fifo_inst/Equal.wbinnext_0_9 ; +wire \fifo_inst/Equal.rgraynext_1_6 ; +wire \fifo_inst/rbin_num_next_0_9 ; +wire \fifo_inst/arempty_val ; +wire \fifo_inst/awfull_val ; +wire \fifo_inst/rempty_val ; +wire \fifo_inst/arempty_val_10 ; +wire \fifo_inst/awfull_val_9 ; +wire \fifo_inst/rcnt_sub_0_3 ; +wire \fifo_inst/rcnt_sub_1_3 ; +wire \fifo_inst/rcnt_sub_2_3 ; +wire \fifo_inst/rcnt_sub_3_3 ; +wire \fifo_inst/wcnt_sub_0_3 ; +wire \fifo_inst/wcnt_sub_1_3 ; +wire \fifo_inst/wcnt_sub_2_3 ; +wire \fifo_inst/wcnt_sub_3_3 ; +wire \fifo_inst/n141_1_SUM ; +wire \fifo_inst/n141_3 ; +wire \fifo_inst/n142_1_SUM ; +wire \fifo_inst/n142_3 ; +wire \fifo_inst/n143_1_SUM ; +wire \fifo_inst/n143_3 ; +wire \fifo_inst/n144_1_SUM ; +wire \fifo_inst/n144_3 ; +wire \fifo_inst/n4_6 ; +wire \fifo_inst/n9_6 ; +wire [3:0] \fifo_inst/Equal.rgraynext ; +wire [3:0] \fifo_inst/Equal.wcount_r ; +wire [3:0] \fifo_inst/Equal.wgraynext ; +wire [3:0] \fifo_inst/Equal.rcount_w ; +wire [4:1] \fifo_inst/rbin_num_next ; +wire [4:1] \fifo_inst/Equal.wbinnext ; +wire [1:0] \fifo_inst/reset_r ; +wire [1:0] \fifo_inst/reset_w ; +wire [4:0] \fifo_inst/rbin_num ; +wire [4:0] \fifo_inst/Equal.wq1_rptr ; +wire [4:0] \fifo_inst/Equal.wq2_rptr ; +wire [4:0] \fifo_inst/Equal.rq1_wptr ; +wire [4:0] \fifo_inst/Equal.rq2_wptr ; +wire [3:0] \fifo_inst/Equal.rptr ; +wire [4:0] \fifo_inst/Equal.wptr ; +wire [3:0] \fifo_inst/Equal.wbin ; +wire [3:0] \fifo_inst/rcnt_sub ; +wire [3:0] \fifo_inst/wcnt_sub ; +wire [31:24] \fifo_inst/DO ; +VCC VCC_cZ ( + .V(VCC) +); +GND GND_cZ ( + .G(GND) +); +GSR GSR ( + .GSRI(VCC) +); +LUT2 \fifo_inst/n23_s1 ( + .I0(Full), + .I1(WrEn), + .F(\fifo_inst/n23_5 ) +); +defparam \fifo_inst/n23_s1 .INIT=4'h4; +LUT2 \fifo_inst/n27_s0 ( + .I0(Empty), + .I1(RdEn), + .F(\fifo_inst/n27_3 ) +); +defparam \fifo_inst/n27_s0 .INIT=4'h4; +LUT3 \fifo_inst/Equal.rgraynext_1_s0 ( + .I0(\fifo_inst/Equal.rgraynext_1_6 ), + .I1(\fifo_inst/rbin_num_next [1]), + .I2(\fifo_inst/rbin_num [2]), + .F(\fifo_inst/Equal.rgraynext [1]) +); +defparam \fifo_inst/Equal.rgraynext_1_s0 .INIT=8'h96; +LUT3 \fifo_inst/Equal.rgraynext_2_s0 ( + .I0(\fifo_inst/Equal.rgraynext_1_6 ), + .I1(\fifo_inst/rbin_num [2]), + .I2(\fifo_inst/rbin_num [3]), + .F(\fifo_inst/Equal.rgraynext [2]) +); +defparam \fifo_inst/Equal.rgraynext_2_s0 .INIT=8'h1E; +LUT4 \fifo_inst/Equal.rgraynext_3_s0 ( + .I0(\fifo_inst/Equal.rgraynext_1_6 ), + .I1(\fifo_inst/rbin_num [2]), + .I2(\fifo_inst/rbin_num [3]), + .I3(\fifo_inst/rbin_num [4]), + .F(\fifo_inst/Equal.rgraynext [3]) +); +defparam \fifo_inst/Equal.rgraynext_3_s0 .INIT=16'h07F8; +LUT2 \fifo_inst/Equal.wcount_r_3_s0 ( + .I0(\fifo_inst/Equal.rq2_wptr [4]), + .I1(\fifo_inst/Equal.rq2_wptr [3]), + .F(\fifo_inst/Equal.wcount_r [3]) +); +defparam \fifo_inst/Equal.wcount_r_3_s0 .INIT=4'h6; +LUT2 \fifo_inst/Equal.wcount_r_0_s0 ( + .I0(\fifo_inst/Equal.wcount_r [1]), + .I1(\fifo_inst/Equal.rq2_wptr [0]), + .F(\fifo_inst/Equal.wcount_r [0]) +); +defparam \fifo_inst/Equal.wcount_r_0_s0 .INIT=4'h6; +LUT4 \fifo_inst/Equal.wgraynext_0_s0 ( + .I0(WrEn), + .I1(Full), + .I2(\fifo_inst/Equal.wbin [0]), + .I3(\fifo_inst/Equal.wbin [1]), + .F(\fifo_inst/Equal.wgraynext [0]) +); +defparam \fifo_inst/Equal.wgraynext_0_s0 .INIT=16'h0DF2; +LUT2 \fifo_inst/Equal.wgraynext_1_s0 ( + .I0(\fifo_inst/Equal.wgraynext_1_4 ), + .I1(\fifo_inst/Equal.wbin [2]), + .F(\fifo_inst/Equal.wgraynext [1]) +); +defparam \fifo_inst/Equal.wgraynext_1_s0 .INIT=4'h9; +LUT3 \fifo_inst/Equal.wgraynext_2_s0 ( + .I0(\fifo_inst/Equal.wgraynext_2_4 ), + .I1(\fifo_inst/Equal.wbin [2]), + .I2(\fifo_inst/Equal.wbin [3]), + .F(\fifo_inst/Equal.wgraynext [2]) +); +defparam \fifo_inst/Equal.wgraynext_2_s0 .INIT=8'h1E; +LUT4 \fifo_inst/wfull_val_s0 ( + .I0(\fifo_inst/wfull_val_4 ), + .I1(\fifo_inst/wfull_val_5 ), + .I2(\fifo_inst/wfull_val_6 ), + .I3(\fifo_inst/wfull_val_7 ), + .F(\fifo_inst/wfull_val ) +); +defparam \fifo_inst/wfull_val_s0 .INIT=16'h0800; +LUT2 \fifo_inst/Equal.rcount_w_3_s0 ( + .I0(\fifo_inst/Equal.wq2_rptr [4]), + .I1(\fifo_inst/Equal.wq2_rptr [3]), + .F(\fifo_inst/Equal.rcount_w [3]) +); +defparam \fifo_inst/Equal.rcount_w_3_s0 .INIT=4'h6; +LUT2 \fifo_inst/Equal.rcount_w_0_s0 ( + .I0(\fifo_inst/Equal.rcount_w [1]), + .I1(\fifo_inst/Equal.wq2_rptr [0]), + .F(\fifo_inst/Equal.rcount_w [0]) +); +defparam \fifo_inst/Equal.rcount_w_0_s0 .INIT=4'h6; +LUT2 \fifo_inst/n193_s0 ( + .I0(\fifo_inst/Equal.wq2_rptr [4]), + .I1(\fifo_inst/Equal.wptr [4]), + .F(\fifo_inst/n193_3 ) +); +defparam \fifo_inst/n193_s0 .INIT=4'h6; +LUT2 \fifo_inst/rbin_num_next_2_s3 ( + .I0(\fifo_inst/Equal.rgraynext_1_6 ), + .I1(\fifo_inst/rbin_num [2]), + .F(\fifo_inst/rbin_num_next [2]) +); +defparam \fifo_inst/rbin_num_next_2_s3 .INIT=4'h6; +LUT3 \fifo_inst/rbin_num_next_3_s3 ( + .I0(\fifo_inst/Equal.rgraynext_1_6 ), + .I1(\fifo_inst/rbin_num [2]), + .I2(\fifo_inst/rbin_num [3]), + .F(\fifo_inst/rbin_num_next [3]) +); +defparam \fifo_inst/rbin_num_next_3_s3 .INIT=8'h78; +LUT4 \fifo_inst/rbin_num_next_4_s2 ( + .I0(\fifo_inst/Equal.rgraynext_1_6 ), + .I1(\fifo_inst/rbin_num [2]), + .I2(\fifo_inst/rbin_num [3]), + .I3(\fifo_inst/rbin_num [4]), + .F(\fifo_inst/rbin_num_next [4]) +); +defparam \fifo_inst/rbin_num_next_4_s2 .INIT=16'h7F80; +LUT2 \fifo_inst/Equal.wbinnext_1_s3 ( + .I0(\fifo_inst/Equal.wgraynext_1_4 ), + .I1(\fifo_inst/Equal.wgraynext_2_4 ), + .F(\fifo_inst/Equal.wbinnext [1]) +); +defparam \fifo_inst/Equal.wbinnext_1_s3 .INIT=4'h1; +LUT2 \fifo_inst/Equal.wbinnext_2_s3 ( + .I0(\fifo_inst/Equal.wgraynext_2_4 ), + .I1(\fifo_inst/Equal.wbin [2]), + .F(\fifo_inst/Equal.wbinnext [2]) +); +defparam \fifo_inst/Equal.wbinnext_2_s3 .INIT=4'h6; +LUT3 \fifo_inst/Equal.wbinnext_3_s3 ( + .I0(\fifo_inst/Equal.wgraynext_2_4 ), + .I1(\fifo_inst/Equal.wbin [2]), + .I2(\fifo_inst/Equal.wbin [3]), + .F(\fifo_inst/Equal.wbinnext [3]) +); +defparam \fifo_inst/Equal.wbinnext_3_s3 .INIT=8'h78; +LUT4 \fifo_inst/Equal.wbinnext_4_s2 ( + .I0(\fifo_inst/Equal.wgraynext_2_4 ), + .I1(\fifo_inst/Equal.wbin [2]), + .I2(\fifo_inst/Equal.wbin [3]), + .I3(\fifo_inst/Equal.wptr [4]), + .F(\fifo_inst/Equal.wbinnext [4]) +); +defparam \fifo_inst/Equal.wbinnext_4_s2 .INIT=16'h7F80; +LUT4 \fifo_inst/Equal.wgraynext_1_s1 ( + .I0(Full), + .I1(\fifo_inst/Equal.wbin [0]), + .I2(WrEn), + .I3(\fifo_inst/Equal.wbin [1]), + .F(\fifo_inst/Equal.wgraynext_1_4 ) +); +defparam \fifo_inst/Equal.wgraynext_1_s1 .INIT=16'h00BF; +LUT4 \fifo_inst/Equal.wgraynext_2_s1 ( + .I0(Full), + .I1(WrEn), + .I2(\fifo_inst/Equal.wbin [0]), + .I3(\fifo_inst/Equal.wbin [1]), + .F(\fifo_inst/Equal.wgraynext_2_4 ) +); +defparam \fifo_inst/Equal.wgraynext_2_s1 .INIT=16'h4000; +LUT4 \fifo_inst/wfull_val_s1 ( + .I0(\fifo_inst/Equal.wgraynext_2_4 ), + .I1(\fifo_inst/Equal.wbin [2]), + .I2(\fifo_inst/Equal.wq2_rptr [2]), + .I3(\fifo_inst/Equal.wbin [3]), + .F(\fifo_inst/wfull_val_4 ) +); +defparam \fifo_inst/wfull_val_s1 .INIT=16'h1EE1; +LUT4 \fifo_inst/wfull_val_s2 ( + .I0(\fifo_inst/Equal.wgraynext_2_4 ), + .I1(\fifo_inst/Equal.wbin [2]), + .I2(\fifo_inst/Equal.wbin [3]), + .I3(\fifo_inst/wfull_val_8 ), + .F(\fifo_inst/wfull_val_5 ) +); +defparam \fifo_inst/wfull_val_s2 .INIT=16'hF807; +LUT4 \fifo_inst/wfull_val_s3 ( + .I0(\fifo_inst/Equal.wgraynext_2_4 ), + .I1(\fifo_inst/Equal.wbin [2]), + .I2(\fifo_inst/Equal.wbin [3]), + .I3(\fifo_inst/n193_3 ), + .F(\fifo_inst/wfull_val_6 ) +); +defparam \fifo_inst/wfull_val_s3 .INIT=16'h807F; +LUT4 \fifo_inst/wfull_val_s4 ( + .I0(\fifo_inst/Equal.wgraynext [0]), + .I1(\fifo_inst/Equal.wq2_rptr [0]), + .I2(\fifo_inst/Equal.wgraynext_1_4 ), + .I3(\fifo_inst/wfull_val_9 ), + .F(\fifo_inst/wfull_val_7 ) +); +defparam \fifo_inst/wfull_val_s4 .INIT=16'h9009; +LUT4 \fifo_inst/arempty_val_s1 ( + .I0(RdEn), + .I1(\fifo_inst/rcnt_sub [0]), + .I2(\fifo_inst/rcnt_sub [1]), + .I3(\fifo_inst/rcnt_sub [2]), + .F(\fifo_inst/arempty_val_4 ) +); +defparam \fifo_inst/arempty_val_s1 .INIT=16'hF400; +LUT4 \fifo_inst/awfull_val_s1 ( + .I0(WrEn), + .I1(\fifo_inst/wcnt_sub [0]), + .I2(\fifo_inst/wcnt_sub [1]), + .I3(\fifo_inst/wcnt_sub [2]), + .F(\fifo_inst/awfull_val_4 ) +); +defparam \fifo_inst/awfull_val_s1 .INIT=16'h8000; +LUT2 \fifo_inst/wfull_val_s5 ( + .I0(\fifo_inst/Equal.wq2_rptr [3]), + .I1(\fifo_inst/Equal.wptr [4]), + .F(\fifo_inst/wfull_val_8 ) +); +defparam \fifo_inst/wfull_val_s5 .INIT=4'h9; +LUT2 \fifo_inst/wfull_val_s6 ( + .I0(\fifo_inst/Equal.wq2_rptr [1]), + .I1(\fifo_inst/Equal.wbin [2]), + .F(\fifo_inst/wfull_val_9 ) +); +defparam \fifo_inst/wfull_val_s6 .INIT=4'h9; +LUT4 \fifo_inst/Equal.wgraynext_3_s1 ( + .I0(\fifo_inst/Equal.wgraynext_2_4 ), + .I1(\fifo_inst/Equal.wbin [2]), + .I2(\fifo_inst/Equal.wbin [3]), + .I3(\fifo_inst/Equal.wbinnext [4]), + .F(\fifo_inst/Equal.wgraynext [3]) +); +defparam \fifo_inst/Equal.wgraynext_3_s1 .INIT=16'h8778; +LUT3 \fifo_inst/Equal.wbinnext_0_s4 ( + .I0(Full), + .I1(WrEn), + .I2(\fifo_inst/Equal.wbin [0]), + .F(\fifo_inst/Equal.wbinnext_0_9 ) +); +defparam \fifo_inst/Equal.wbinnext_0_s4 .INIT=8'hB4; +LUT4 \fifo_inst/Equal.rcount_w_1_s1 ( + .I0(\fifo_inst/Equal.wq2_rptr [4]), + .I1(\fifo_inst/Equal.wq2_rptr [3]), + .I2(\fifo_inst/Equal.wq2_rptr [1]), + .I3(\fifo_inst/Equal.wq2_rptr [2]), + .F(\fifo_inst/Equal.rcount_w [1]) +); +defparam \fifo_inst/Equal.rcount_w_1_s1 .INIT=16'h6996; +LUT3 \fifo_inst/Equal.rcount_w_2_s1 ( + .I0(\fifo_inst/Equal.wq2_rptr [4]), + .I1(\fifo_inst/Equal.wq2_rptr [3]), + .I2(\fifo_inst/Equal.wq2_rptr [2]), + .F(\fifo_inst/Equal.rcount_w [2]) +); +defparam \fifo_inst/Equal.rcount_w_2_s1 .INIT=8'h96; +LUT4 \fifo_inst/Equal.wcount_r_1_s1 ( + .I0(\fifo_inst/Equal.rq2_wptr [4]), + .I1(\fifo_inst/Equal.rq2_wptr [3]), + .I2(\fifo_inst/Equal.rq2_wptr [2]), + .I3(\fifo_inst/Equal.rq2_wptr [1]), + .F(\fifo_inst/Equal.wcount_r [1]) +); +defparam \fifo_inst/Equal.wcount_r_1_s1 .INIT=16'h6996; +LUT3 \fifo_inst/Equal.wcount_r_2_s1 ( + .I0(\fifo_inst/Equal.rq2_wptr [4]), + .I1(\fifo_inst/Equal.rq2_wptr [3]), + .I2(\fifo_inst/Equal.rq2_wptr [2]), + .F(\fifo_inst/Equal.wcount_r [2]) +); +defparam \fifo_inst/Equal.wcount_r_2_s1 .INIT=8'h96; +LUT4 \fifo_inst/Equal.rgraynext_1_s2 ( + .I0(Empty), + .I1(RdEn), + .I2(\fifo_inst/rbin_num [0]), + .I3(\fifo_inst/rbin_num [1]), + .F(\fifo_inst/Equal.rgraynext_1_6 ) +); +defparam \fifo_inst/Equal.rgraynext_1_s2 .INIT=16'h4000; +LUT4 \fifo_inst/rbin_num_next_1_s4 ( + .I0(Empty), + .I1(RdEn), + .I2(\fifo_inst/rbin_num [0]), + .I3(\fifo_inst/rbin_num [1]), + .F(\fifo_inst/rbin_num_next [1]) +); +defparam \fifo_inst/rbin_num_next_1_s4 .INIT=16'hBF40; +LUT3 \fifo_inst/rbin_num_next_0_s4 ( + .I0(Empty), + .I1(RdEn), + .I2(\fifo_inst/rbin_num [0]), + .F(\fifo_inst/rbin_num_next_0_9 ) +); +defparam \fifo_inst/rbin_num_next_0_s4 .INIT=8'hB4; +LUT3 \fifo_inst/arempty_val_s2 ( + .I0(\fifo_inst/arempty_val_10 ), + .I1(\fifo_inst/arempty_val_4 ), + .I2(\fifo_inst/rcnt_sub [3]), + .F(\fifo_inst/arempty_val ) +); +defparam \fifo_inst/arempty_val_s2 .INIT=8'h01; +LUT3 \fifo_inst/awfull_val_s2 ( + .I0(\fifo_inst/awfull_val_9 ), + .I1(\fifo_inst/awfull_val_4 ), + .I2(\fifo_inst/wcnt_sub [3]), + .F(\fifo_inst/awfull_val ) +); +defparam \fifo_inst/awfull_val_s2 .INIT=8'hFE; +LUT3 \fifo_inst/rempty_val_s1 ( + .I0(\fifo_inst/rbin_num_next [4]), + .I1(\fifo_inst/Equal.rq2_wptr [4]), + .I2(\fifo_inst/n144_3 ), + .F(\fifo_inst/rempty_val ) +); +defparam \fifo_inst/rempty_val_s1 .INIT=8'h09; +LUT4 \fifo_inst/arempty_val_s4 ( + .I0(\fifo_inst/Equal.rq2_wptr [4]), + .I1(\fifo_inst/rbin_num [4]), + .I2(GND), + .I3(\fifo_inst/rcnt_sub_3_3 ), + .F(\fifo_inst/arempty_val_10 ) +); +defparam \fifo_inst/arempty_val_s4 .INIT=16'h9669; +LUT4 \fifo_inst/awfull_val_s4 ( + .I0(GND), + .I1(\fifo_inst/Equal.wq2_rptr [4]), + .I2(\fifo_inst/Equal.wptr [4]), + .I3(\fifo_inst/wcnt_sub_3_3 ), + .F(\fifo_inst/awfull_val_9 ) +); +defparam \fifo_inst/awfull_val_s4 .INIT=16'h9669; +LUT4 \fifo_inst/Equal.rgraynext_0_s1 ( + .I0(Empty), + .I1(RdEn), + .I2(\fifo_inst/rbin_num [0]), + .I3(\fifo_inst/rbin_num_next [1]), + .F(\fifo_inst/Equal.rgraynext [0]) +); +defparam \fifo_inst/Equal.rgraynext_0_s1 .INIT=16'h4BB4; +DFFP \fifo_inst/reset_r_0_s0 ( + .D(GND), + .CLK(\fifo_inst/n4_6 ), + .PRESET(Reset), + .Q(\fifo_inst/reset_r [0]) +); +defparam \fifo_inst/reset_r_0_s0 .INIT=1'b1; +DFFP \fifo_inst/reset_w_1_s0 ( + .D(\fifo_inst/reset_w [0]), + .CLK(\fifo_inst/n9_6 ), + .PRESET(Reset), + .Q(\fifo_inst/reset_w [1]) +); +defparam \fifo_inst/reset_w_1_s0 .INIT=1'b1; +DFFP \fifo_inst/reset_w_0_s0 ( + .D(GND), + .CLK(\fifo_inst/n9_6 ), + .PRESET(Reset), + .Q(\fifo_inst/reset_w [0]) +); +defparam \fifo_inst/reset_w_0_s0 .INIT=1'b1; +DFFC \fifo_inst/rbin_num_4_s0 ( + .D(\fifo_inst/rbin_num_next [4]), + .CLK(RdClk), + .CLEAR(\fifo_inst/reset_r [1]), + .Q(\fifo_inst/rbin_num [4]) +); +defparam \fifo_inst/rbin_num_4_s0 .INIT=1'b0; +DFFC \fifo_inst/rbin_num_3_s0 ( + .D(\fifo_inst/rbin_num_next [3]), + .CLK(RdClk), + .CLEAR(\fifo_inst/reset_r [1]), + .Q(\fifo_inst/rbin_num [3]) +); +defparam \fifo_inst/rbin_num_3_s0 .INIT=1'b0; +DFFC \fifo_inst/rbin_num_2_s0 ( + .D(\fifo_inst/rbin_num_next [2]), + .CLK(RdClk), + .CLEAR(\fifo_inst/reset_r [1]), + .Q(\fifo_inst/rbin_num [2]) +); +defparam \fifo_inst/rbin_num_2_s0 .INIT=1'b0; +DFFC \fifo_inst/rbin_num_1_s0 ( + .D(\fifo_inst/rbin_num_next [1]), + .CLK(RdClk), + .CLEAR(\fifo_inst/reset_r [1]), + .Q(\fifo_inst/rbin_num [1]) +); +defparam \fifo_inst/rbin_num_1_s0 .INIT=1'b0; +DFFC \fifo_inst/rbin_num_0_s0 ( + .D(\fifo_inst/rbin_num_next_0_9 ), + .CLK(RdClk), + .CLEAR(\fifo_inst/reset_r [1]), + .Q(\fifo_inst/rbin_num [0]) +); +defparam \fifo_inst/rbin_num_0_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.wq1_rptr_4_s0 ( + .D(\fifo_inst/rbin_num [4]), + .CLK(WrClk), + .CLEAR(\fifo_inst/reset_w [1]), + .Q(\fifo_inst/Equal.wq1_rptr [4]) +); +defparam \fifo_inst/Equal.wq1_rptr_4_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.wq1_rptr_3_s0 ( + .D(\fifo_inst/Equal.rptr [3]), + .CLK(WrClk), + .CLEAR(\fifo_inst/reset_w [1]), + .Q(\fifo_inst/Equal.wq1_rptr [3]) +); +defparam \fifo_inst/Equal.wq1_rptr_3_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.wq1_rptr_2_s0 ( + .D(\fifo_inst/Equal.rptr [2]), + .CLK(WrClk), + .CLEAR(\fifo_inst/reset_w [1]), + .Q(\fifo_inst/Equal.wq1_rptr [2]) +); +defparam \fifo_inst/Equal.wq1_rptr_2_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.wq1_rptr_1_s0 ( + .D(\fifo_inst/Equal.rptr [1]), + .CLK(WrClk), + .CLEAR(\fifo_inst/reset_w [1]), + .Q(\fifo_inst/Equal.wq1_rptr [1]) +); +defparam \fifo_inst/Equal.wq1_rptr_1_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.wq1_rptr_0_s0 ( + .D(\fifo_inst/Equal.rptr [0]), + .CLK(WrClk), + .CLEAR(\fifo_inst/reset_w [1]), + .Q(\fifo_inst/Equal.wq1_rptr [0]) +); +defparam \fifo_inst/Equal.wq1_rptr_0_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.wq2_rptr_4_s0 ( + .D(\fifo_inst/Equal.wq1_rptr [4]), + .CLK(WrClk), + .CLEAR(\fifo_inst/reset_w [1]), + .Q(\fifo_inst/Equal.wq2_rptr [4]) +); +defparam \fifo_inst/Equal.wq2_rptr_4_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.wq2_rptr_3_s0 ( + .D(\fifo_inst/Equal.wq1_rptr [3]), + .CLK(WrClk), + .CLEAR(\fifo_inst/reset_w [1]), + .Q(\fifo_inst/Equal.wq2_rptr [3]) +); +defparam \fifo_inst/Equal.wq2_rptr_3_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.wq2_rptr_2_s0 ( + .D(\fifo_inst/Equal.wq1_rptr [2]), + .CLK(WrClk), + .CLEAR(\fifo_inst/reset_w [1]), + .Q(\fifo_inst/Equal.wq2_rptr [2]) +); +defparam \fifo_inst/Equal.wq2_rptr_2_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.wq2_rptr_1_s0 ( + .D(\fifo_inst/Equal.wq1_rptr [1]), + .CLK(WrClk), + .CLEAR(\fifo_inst/reset_w [1]), + .Q(\fifo_inst/Equal.wq2_rptr [1]) +); +defparam \fifo_inst/Equal.wq2_rptr_1_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.wq2_rptr_0_s0 ( + .D(\fifo_inst/Equal.wq1_rptr [0]), + .CLK(WrClk), + .CLEAR(\fifo_inst/reset_w [1]), + .Q(\fifo_inst/Equal.wq2_rptr [0]) +); +defparam \fifo_inst/Equal.wq2_rptr_0_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.rq1_wptr_4_s0 ( + .D(\fifo_inst/Equal.wptr [4]), + .CLK(RdClk), + .CLEAR(\fifo_inst/reset_r [1]), + .Q(\fifo_inst/Equal.rq1_wptr [4]) +); +defparam \fifo_inst/Equal.rq1_wptr_4_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.rq1_wptr_3_s0 ( + .D(\fifo_inst/Equal.wptr [3]), + .CLK(RdClk), + .CLEAR(\fifo_inst/reset_r [1]), + .Q(\fifo_inst/Equal.rq1_wptr [3]) +); +defparam \fifo_inst/Equal.rq1_wptr_3_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.rq1_wptr_2_s0 ( + .D(\fifo_inst/Equal.wptr [2]), + .CLK(RdClk), + .CLEAR(\fifo_inst/reset_r [1]), + .Q(\fifo_inst/Equal.rq1_wptr [2]) +); +defparam \fifo_inst/Equal.rq1_wptr_2_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.rq1_wptr_1_s0 ( + .D(\fifo_inst/Equal.wptr [1]), + .CLK(RdClk), + .CLEAR(\fifo_inst/reset_r [1]), + .Q(\fifo_inst/Equal.rq1_wptr [1]) +); +defparam \fifo_inst/Equal.rq1_wptr_1_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.rq1_wptr_0_s0 ( + .D(\fifo_inst/Equal.wptr [0]), + .CLK(RdClk), + .CLEAR(\fifo_inst/reset_r [1]), + .Q(\fifo_inst/Equal.rq1_wptr [0]) +); +defparam \fifo_inst/Equal.rq1_wptr_0_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.rq2_wptr_4_s0 ( + .D(\fifo_inst/Equal.rq1_wptr [4]), + .CLK(RdClk), + .CLEAR(\fifo_inst/reset_r [1]), + .Q(\fifo_inst/Equal.rq2_wptr [4]) +); +defparam \fifo_inst/Equal.rq2_wptr_4_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.rq2_wptr_3_s0 ( + .D(\fifo_inst/Equal.rq1_wptr [3]), + .CLK(RdClk), + .CLEAR(\fifo_inst/reset_r [1]), + .Q(\fifo_inst/Equal.rq2_wptr [3]) +); +defparam \fifo_inst/Equal.rq2_wptr_3_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.rq2_wptr_2_s0 ( + .D(\fifo_inst/Equal.rq1_wptr [2]), + .CLK(RdClk), + .CLEAR(\fifo_inst/reset_r [1]), + .Q(\fifo_inst/Equal.rq2_wptr [2]) +); +defparam \fifo_inst/Equal.rq2_wptr_2_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.rq2_wptr_1_s0 ( + .D(\fifo_inst/Equal.rq1_wptr [1]), + .CLK(RdClk), + .CLEAR(\fifo_inst/reset_r [1]), + .Q(\fifo_inst/Equal.rq2_wptr [1]) +); +defparam \fifo_inst/Equal.rq2_wptr_1_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.rq2_wptr_0_s0 ( + .D(\fifo_inst/Equal.rq1_wptr [0]), + .CLK(RdClk), + .CLEAR(\fifo_inst/reset_r [1]), + .Q(\fifo_inst/Equal.rq2_wptr [0]) +); +defparam \fifo_inst/Equal.rq2_wptr_0_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.rptr_3_s0 ( + .D(\fifo_inst/Equal.rgraynext [3]), + .CLK(RdClk), + .CLEAR(\fifo_inst/reset_r [1]), + .Q(\fifo_inst/Equal.rptr [3]) +); +defparam \fifo_inst/Equal.rptr_3_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.rptr_2_s0 ( + .D(\fifo_inst/Equal.rgraynext [2]), + .CLK(RdClk), + .CLEAR(\fifo_inst/reset_r [1]), + .Q(\fifo_inst/Equal.rptr [2]) +); +defparam \fifo_inst/Equal.rptr_2_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.rptr_1_s0 ( + .D(\fifo_inst/Equal.rgraynext [1]), + .CLK(RdClk), + .CLEAR(\fifo_inst/reset_r [1]), + .Q(\fifo_inst/Equal.rptr [1]) +); +defparam \fifo_inst/Equal.rptr_1_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.rptr_0_s0 ( + .D(\fifo_inst/Equal.rgraynext [0]), + .CLK(RdClk), + .CLEAR(\fifo_inst/reset_r [1]), + .Q(\fifo_inst/Equal.rptr [0]) +); +defparam \fifo_inst/Equal.rptr_0_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.wptr_4_s0 ( + .D(\fifo_inst/Equal.wbinnext [4]), + .CLK(WrClk), + .CLEAR(\fifo_inst/reset_w [1]), + .Q(\fifo_inst/Equal.wptr [4]) +); +defparam \fifo_inst/Equal.wptr_4_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.wptr_3_s0 ( + .D(\fifo_inst/Equal.wgraynext [3]), + .CLK(WrClk), + .CLEAR(\fifo_inst/reset_w [1]), + .Q(\fifo_inst/Equal.wptr [3]) +); +defparam \fifo_inst/Equal.wptr_3_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.wptr_2_s0 ( + .D(\fifo_inst/Equal.wgraynext [2]), + .CLK(WrClk), + .CLEAR(\fifo_inst/reset_w [1]), + .Q(\fifo_inst/Equal.wptr [2]) +); +defparam \fifo_inst/Equal.wptr_2_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.wptr_1_s0 ( + .D(\fifo_inst/Equal.wgraynext [1]), + .CLK(WrClk), + .CLEAR(\fifo_inst/reset_w [1]), + .Q(\fifo_inst/Equal.wptr [1]) +); +defparam \fifo_inst/Equal.wptr_1_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.wptr_0_s0 ( + .D(\fifo_inst/Equal.wgraynext [0]), + .CLK(WrClk), + .CLEAR(\fifo_inst/reset_w [1]), + .Q(\fifo_inst/Equal.wptr [0]) +); +defparam \fifo_inst/Equal.wptr_0_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.wbin_3_s0 ( + .D(\fifo_inst/Equal.wbinnext [3]), + .CLK(WrClk), + .CLEAR(\fifo_inst/reset_w [1]), + .Q(\fifo_inst/Equal.wbin [3]) +); +defparam \fifo_inst/Equal.wbin_3_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.wbin_2_s0 ( + .D(\fifo_inst/Equal.wbinnext [2]), + .CLK(WrClk), + .CLEAR(\fifo_inst/reset_w [1]), + .Q(\fifo_inst/Equal.wbin [2]) +); +defparam \fifo_inst/Equal.wbin_2_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.wbin_1_s0 ( + .D(\fifo_inst/Equal.wbinnext [1]), + .CLK(WrClk), + .CLEAR(\fifo_inst/reset_w [1]), + .Q(\fifo_inst/Equal.wbin [1]) +); +defparam \fifo_inst/Equal.wbin_1_s0 .INIT=1'b0; +DFFC \fifo_inst/Equal.wbin_0_s0 ( + .D(\fifo_inst/Equal.wbinnext_0_9 ), + .CLK(WrClk), + .CLEAR(\fifo_inst/reset_w [1]), + .Q(\fifo_inst/Equal.wbin [0]) +); +defparam \fifo_inst/Equal.wbin_0_s0 .INIT=1'b0; +DFFP \fifo_inst/Empty_s0 ( + .D(\fifo_inst/rempty_val ), + .CLK(RdClk), + .PRESET(\fifo_inst/reset_r [1]), + .Q(Empty) +); +defparam \fifo_inst/Empty_s0 .INIT=1'b1; +DFFC \fifo_inst/Full_s0 ( + .D(\fifo_inst/wfull_val ), + .CLK(WrClk), + .CLEAR(\fifo_inst/reset_w [1]), + .Q(Full) +); +defparam \fifo_inst/Full_s0 .INIT=1'b0; +DFFP \fifo_inst/Almost_Empty_s0 ( + .D(\fifo_inst/arempty_val ), + .CLK(RdClk), + .PRESET(\fifo_inst/reset_r [1]), + .Q(Almost_Empty) +); +defparam \fifo_inst/Almost_Empty_s0 .INIT=1'b1; +DFFC \fifo_inst/Almost_Full_s0 ( + .D(\fifo_inst/awfull_val ), + .CLK(WrClk), + .CLEAR(\fifo_inst/reset_w [1]), + .Q(Almost_Full) +); +defparam \fifo_inst/Almost_Full_s0 .INIT=1'b0; +DFFP \fifo_inst/reset_r_1_s0 ( + .D(\fifo_inst/reset_r [0]), + .CLK(\fifo_inst/n4_6 ), + .PRESET(Reset), + .Q(\fifo_inst/reset_r [1]) +); +defparam \fifo_inst/reset_r_1_s0 .INIT=1'b1; +SDPB \fifo_inst/Equal.mem_Equal.mem_0_0_s ( + .CLKA(WrClk), + .CEA(\fifo_inst/n23_5 ), + .RESETA(GND), + .CLKB(RdClk), + .CEB(\fifo_inst/n27_3 ), + .RESETB(\fifo_inst/reset_r [1]), + .OCE(GND), + .BLKSELA({GND, GND, GND}), + .BLKSELB({GND, GND, GND}), + .DI({GND, GND, GND, GND, GND, GND, GND, GND, Data[23:0]}), + .ADA({GND, GND, GND, GND, GND, \fifo_inst/Equal.wbin [3:0], GND, VCC, VCC, VCC, VCC}), + .ADB({GND, GND, GND, GND, GND, \fifo_inst/rbin_num [3:0], GND, GND, GND, GND, GND}), + .DO({\fifo_inst/DO [31:24], Q[23:0]}) +); +defparam \fifo_inst/Equal.mem_Equal.mem_0_0_s .READ_MODE=1'b0; +defparam \fifo_inst/Equal.mem_Equal.mem_0_0_s .BIT_WIDTH_0=32; +defparam \fifo_inst/Equal.mem_Equal.mem_0_0_s .BIT_WIDTH_1=32; +defparam \fifo_inst/Equal.mem_Equal.mem_0_0_s .RESET_MODE="ASYNC"; +defparam \fifo_inst/Equal.mem_Equal.mem_0_0_s .BLK_SEL_0=3'b000; +defparam \fifo_inst/Equal.mem_Equal.mem_0_0_s .BLK_SEL_1=3'b000; +ALU \fifo_inst/rcnt_sub_0_s ( + .I0(\fifo_inst/Equal.wcount_r [0]), + .I1(\fifo_inst/rbin_num [0]), + .I3(GND), + .CIN(VCC), + .COUT(\fifo_inst/rcnt_sub_0_3 ), + .SUM(\fifo_inst/rcnt_sub [0]) +); +defparam \fifo_inst/rcnt_sub_0_s .ALU_MODE=1; +ALU \fifo_inst/rcnt_sub_1_s ( + .I0(\fifo_inst/Equal.wcount_r [1]), + .I1(\fifo_inst/rbin_num [1]), + .I3(GND), + .CIN(\fifo_inst/rcnt_sub_0_3 ), + .COUT(\fifo_inst/rcnt_sub_1_3 ), + .SUM(\fifo_inst/rcnt_sub [1]) +); +defparam \fifo_inst/rcnt_sub_1_s .ALU_MODE=1; +ALU \fifo_inst/rcnt_sub_2_s ( + .I0(\fifo_inst/Equal.wcount_r [2]), + .I1(\fifo_inst/rbin_num [2]), + .I3(GND), + .CIN(\fifo_inst/rcnt_sub_1_3 ), + .COUT(\fifo_inst/rcnt_sub_2_3 ), + .SUM(\fifo_inst/rcnt_sub [2]) +); +defparam \fifo_inst/rcnt_sub_2_s .ALU_MODE=1; +ALU \fifo_inst/rcnt_sub_3_s ( + .I0(\fifo_inst/Equal.wcount_r [3]), + .I1(\fifo_inst/rbin_num [3]), + .I3(GND), + .CIN(\fifo_inst/rcnt_sub_2_3 ), + .COUT(\fifo_inst/rcnt_sub_3_3 ), + .SUM(\fifo_inst/rcnt_sub [3]) +); +defparam \fifo_inst/rcnt_sub_3_s .ALU_MODE=1; +ALU \fifo_inst/wcnt_sub_0_s ( + .I0(\fifo_inst/Equal.wbin [0]), + .I1(\fifo_inst/Equal.rcount_w [0]), + .I3(GND), + .CIN(VCC), + .COUT(\fifo_inst/wcnt_sub_0_3 ), + .SUM(\fifo_inst/wcnt_sub [0]) +); +defparam \fifo_inst/wcnt_sub_0_s .ALU_MODE=1; +ALU \fifo_inst/wcnt_sub_1_s ( + .I0(\fifo_inst/Equal.wbin [1]), + .I1(\fifo_inst/Equal.rcount_w [1]), + .I3(GND), + .CIN(\fifo_inst/wcnt_sub_0_3 ), + .COUT(\fifo_inst/wcnt_sub_1_3 ), + .SUM(\fifo_inst/wcnt_sub [1]) +); +defparam \fifo_inst/wcnt_sub_1_s .ALU_MODE=1; +ALU \fifo_inst/wcnt_sub_2_s ( + .I0(\fifo_inst/Equal.wbin [2]), + .I1(\fifo_inst/Equal.rcount_w [2]), + .I3(GND), + .CIN(\fifo_inst/wcnt_sub_1_3 ), + .COUT(\fifo_inst/wcnt_sub_2_3 ), + .SUM(\fifo_inst/wcnt_sub [2]) +); +defparam \fifo_inst/wcnt_sub_2_s .ALU_MODE=1; +ALU \fifo_inst/wcnt_sub_3_s ( + .I0(\fifo_inst/Equal.wbin [3]), + .I1(\fifo_inst/Equal.rcount_w [3]), + .I3(GND), + .CIN(\fifo_inst/wcnt_sub_2_3 ), + .COUT(\fifo_inst/wcnt_sub_3_3 ), + .SUM(\fifo_inst/wcnt_sub [3]) +); +defparam \fifo_inst/wcnt_sub_3_s .ALU_MODE=1; +ALU \fifo_inst/n141_s0 ( + .I0(\fifo_inst/Equal.rgraynext [0]), + .I1(\fifo_inst/Equal.rq2_wptr [0]), + .I3(GND), + .CIN(GND), + .COUT(\fifo_inst/n141_3 ), + .SUM(\fifo_inst/n141_1_SUM ) +); +defparam \fifo_inst/n141_s0 .ALU_MODE=3; +ALU \fifo_inst/n142_s0 ( + .I0(\fifo_inst/Equal.rgraynext [1]), + .I1(\fifo_inst/Equal.rq2_wptr [1]), + .I3(GND), + .CIN(\fifo_inst/n141_3 ), + .COUT(\fifo_inst/n142_3 ), + .SUM(\fifo_inst/n142_1_SUM ) +); +defparam \fifo_inst/n142_s0 .ALU_MODE=3; +ALU \fifo_inst/n143_s0 ( + .I0(\fifo_inst/Equal.rgraynext [2]), + .I1(\fifo_inst/Equal.rq2_wptr [2]), + .I3(GND), + .CIN(\fifo_inst/n142_3 ), + .COUT(\fifo_inst/n143_3 ), + .SUM(\fifo_inst/n143_1_SUM ) +); +defparam \fifo_inst/n143_s0 .ALU_MODE=3; +ALU \fifo_inst/n144_s0 ( + .I0(\fifo_inst/Equal.rgraynext [3]), + .I1(\fifo_inst/Equal.rq2_wptr [3]), + .I3(GND), + .CIN(\fifo_inst/n143_3 ), + .COUT(\fifo_inst/n144_3 ), + .SUM(\fifo_inst/n144_1_SUM ) +); +defparam \fifo_inst/n144_s0 .ALU_MODE=3; +INV \fifo_inst/n4_s2 ( + .I(RdClk), + .O(\fifo_inst/n4_6 ) +); +INV \fifo_inst/n9_s2 ( + .I(WrClk), + .O(\fifo_inst/n9_6 ) +); +endmodule diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_fifo/gowin_fifo24_tmp.vhd b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/gowin_fifo24_tmp.vhd new file mode 100644 index 0000000..1d2d817 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/gowin_fifo24_tmp.vhd @@ -0,0 +1,44 @@ +--Copyright (C)2014-2024 Gowin Semiconductor Corporation. +--All rights reserved. +--File Title: Template file for instantiation +--Tool Version: V1.9.10.03 Education (64-bit) +--Part Number: GW1NR-LV9QN88PC6/I5 +--Device: GW1NR-9 +--Device Version: C +--Created Time: Tue Aug 19 23:16:31 2025 + +--Change the instance name and port connections to the signal names +----------Copy here to design-------- + +component gowin_fifo24 + port ( + Data: in std_logic_vector(23 downto 0); + Reset: in std_logic; + WrClk: in std_logic; + RdClk: in std_logic; + WrEn: in std_logic; + RdEn: in std_logic; + Almost_Empty: out std_logic; + Almost_Full: out std_logic; + Q: out std_logic_vector(23 downto 0); + Empty: out std_logic; + Full: out std_logic + ); +end component; + +your_instance_name: gowin_fifo24 + port map ( + Data => Data, + Reset => Reset, + WrClk => WrClk, + RdClk => RdClk, + WrEn => WrEn, + RdEn => RdEn, + Almost_Empty => Almost_Empty, + Almost_Full => Almost_Full, + Q => Q, + Empty => Empty, + Full => Full + ); + +----------Copy end------------------- diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/FIFO.prj b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/FIFO.prj new file mode 100644 index 0000000..2ef3071 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/FIFO.prj @@ -0,0 +1,25 @@ + + + + beta + + + + + + + + + + + diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/fifo_define.v b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/fifo_define.v new file mode 100644 index 0000000..e8d8dd6 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/fifo_define.v @@ -0,0 +1,9 @@ +`define module_name gowin_fifo24 +`define getname(oriName,tmodule_name) \~oriName.tmodule_name +`define EBR_BASED +`define Al_Empty_Flag +`define Empty_S_Single_Th +`define Al_Full_Flag +`define Full_S_Single_Th +`define En_Reset +`define Reset_Synchronization diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/fifo_parameter.v b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/fifo_parameter.v new file mode 100644 index 0000000..58b977b --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/fifo_parameter.v @@ -0,0 +1,8 @@ +parameter WDEPTH = 16; +parameter WDSIZE = 24; +parameter RDEPTH = 16; +parameter RDSIZE = 24; +parameter AEMPT = 4; +parameter AFULL = 8; +parameter ASIZE = 4; +parameter RASIZE = 4; diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/gowin_fifo24.log b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/gowin_fifo24.log new file mode 100644 index 0000000..4a0adb0 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/gowin_fifo24.log @@ -0,0 +1,53 @@ +GowinSynthesis start +Running parser ... +Analyzing Verilog file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_fifo\temp\FIFO\fifo_define.v' +Analyzing Verilog file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_fifo\temp\FIFO\fifo_parameter.v' +Analyzing Verilog file 'C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\edc.v' +Analyzing included file 'fifo_define.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\edc.v":14373) +Back to file 'C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\edc.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\edc.v":14373) +Undeclared symbol 'Reset', assumed default net type 'wire'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\edc.v":14373) +Undeclared symbol 'RPReset', assumed default net type 'wire'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\edc.v":14373) +Analyzing Verilog file 'C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo.v' +Analyzing included file 'fifo_define.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo.v":553) +Back to file 'C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo.v":553) +Analyzing included file 'fifo_parameter.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo.v":553) +Back to file 'C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo.v":553) +Analyzing Verilog file 'C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo_top.v' +Analyzing included file 'fifo_define.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo_top.v":1) +Back to file 'C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo_top.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo_top.v":1) +Analyzing included file 'fifo_parameter.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo_top.v":56) +Back to file 'C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo_top.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo_top.v":56) +WARN (EX2582) : Parameter 'WDEPTH' declared inside compilation unit '$unit_fifo_define_v' shall be treated as localparam("fifo_parameter.v":1) +WARN (EX2582) : Parameter 'WDSIZE' declared inside compilation unit '$unit_fifo_define_v' shall be treated as localparam("fifo_parameter.v":2) +WARN (EX2582) : Parameter 'RDEPTH' declared inside compilation unit '$unit_fifo_define_v' shall be treated as localparam("fifo_parameter.v":3) +WARN (EX2582) : Parameter 'RDSIZE' declared inside compilation unit '$unit_fifo_define_v' shall be treated as localparam("fifo_parameter.v":4) +WARN (EX2582) : Parameter 'AEMPT' declared inside compilation unit '$unit_fifo_define_v' shall be treated as localparam("fifo_parameter.v":5) +WARN (EX2582) : Parameter 'AFULL' declared inside compilation unit '$unit_fifo_define_v' shall be treated as localparam("fifo_parameter.v":6) +WARN (EX2582) : Parameter 'ASIZE' declared inside compilation unit '$unit_fifo_define_v' shall be treated as localparam("fifo_parameter.v":7) +WARN (EX2582) : Parameter 'RASIZE' declared inside compilation unit '$unit_fifo_define_v' shall be treated as localparam("fifo_parameter.v":8) +Compiling module 'gowin_fifo24'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo_top.v":3) +Compiling module '**'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo.v":553) +Extracting RAM for identifier '**'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo.v":553) +NOTE (EX0101) : Current top module is "gowin_fifo24" +[5%] Running netlist conversion ... +Running device independent optimization ... +[10%] Optimizing Phase 0 completed +[15%] Optimizing Phase 1 completed +[25%] Optimizing Phase 2 completed +Running inference ... +[30%] Inferring Phase 0 completed +[40%] Inferring Phase 1 completed +[50%] Inferring Phase 2 completed +[55%] Inferring Phase 3 completed +Running technical mapping ... +[60%] Tech-Mapping Phase 0 completed +[65%] Tech-Mapping Phase 1 completed +[75%] Tech-Mapping Phase 2 completed +[80%] Tech-Mapping Phase 3 completed +[90%] Tech-Mapping Phase 4 completed +[95%] Generate netlist file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_fifo\temp\FIFO\gowin_fifo24.vg" completed +[98%] Generate netlist file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_fifo\temp\FIFO\gowin_fifo24.vhg" completed +Generate netlist file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_fifo\temp\FIFO\gowin_fifo24.vho" completed +Generate template file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_fifo\temp\FIFO\gowin_fifo24_tmp.vhd" completed +[100%] Generate report file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_fifo\temp\FIFO\gowin_fifo24_syn.rpt.html" completed +GowinSynthesis finish diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/gowin_fifo24.vg b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/gowin_fifo24.vg new file mode 100644 index 0000000..3bee7ce --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/gowin_fifo24.vg @@ -0,0 +1,371 @@ +// +//Written by GowinSynthesis +//Tool Version "V1.9.10.03 Education (64-bit)" +//Tue Aug 19 23:16:31 2025 + +//Source file index table: +//file0 "\C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/fifo_define.v" +//file1 "\C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/fifo_parameter.v" +//file2 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/edc.v" +//file3 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/fifo.v" +//file4 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/fifo_top.v" +`pragma protect begin_protected +`pragma protect version="2.3" +`pragma protect author="default" +`pragma protect author_info="default" +`pragma protect encrypt_agent="GOWIN" +`pragma protect encrypt_agent_info="GOWIN Encrypt Version 2.3" + +`pragma protect encoding=(enctype="base64", line_length=76, bytes=256) +`pragma protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa" +`pragma protect key_block +TGlgWL1ZZx8z8nfZoJDbLm7KPJ5JB8112cshEW9bM0dtSGLQb7iSIqBRBEGTwOeLIRjVPjlan6Zu +mLReAnGaWJj4ruoB36N+LnkQtVwa4yn/NBZn6lOaz+nLxQopwwXXqxip+cb80/PcvetkdFWlfk4j +GY3C6AbjZB9CHDwGKHsea8g4XSqaoxbiaVR+Sz4MDEU/sTjCIVOITLBroLm5RyvqX6kOkZmKpSua +vgq/shpBbif2CULbxE7HCyDEl0vzDYRpd3OVv80ppqiDMFptajfSB9HuNHpVucUHtXy2SiM1jgOH +lMrzxtEuHANBUKqriixIoOVXv5Z4lCsls+5zCA== + +`pragma protect encoding=(enctype="base64", line_length=76, bytes=19216) +`pragma protect data_keyowner="default-ip-vendor" +`pragma protect data_keyname="default-ip-key" +`pragma protect data_method="aes128-cfb" +`pragma protect data_block +Ui6eM/iI8GM3oWjv7qcNGwxMwQmzZVhtvJ6EEXwm1C6wNqbd9yw1iPK6BMbvgIdX5IuWeNYT9Olm +3g5Vkkkrp44tEZOmUJ1rptCd4Z5uv2UtMNQ8G+DP12cC3HPh58d33QzJrl4Xb9/6Xn5rTfsMtm7P +Zo+1TSQfQUvWJ/5eE+a/Rye0gKGqL2dOzqHKKK1eoTmH0keb4Tnbm07RCj9T2jpVSW0MCMSDRh6t +k4RWGLGcKUc4PIYbbskXjs2c+v3R6Afdfu55ulgEVSkpERMrOsDRyVx93r79BmE1r6NLogYOznUU +wcXkJ6ynJ9zm8+tDjkOQtZC7ZBINoJ7VanVM81PTscz6jY1CENmKfU0wooSf0DMlgoxDvzHBRAaP +jXlJOBRq7pKrsLvY7dNEKubtNivAQXkqRAnHMbbaM1P9mjwpbiH3QthUEFaEYa3j3NH3FQuhW2Pk +iiYsI0x08ukFv+tHZhtly8pZEvsZuubUC1UilTVwW2WfCx3h6wU2/nLHavsw83uEQuLny6dRq3CU +sWHHlhhVjMlpBT1WYDKM1cyKmfyO7IZjEsjNR/mc4yHD3W7gxTWSDlKQ4TnhftAzjTlL88KcMbK6 +F1poIDzjMtLVdPhW78JKpFDcWI9dU6At0Jyur9xK50aSmRVaWPQlylWQhCM/cXSNPE3aq5mp1+hf +QoGlcRHH+8n6X8yRspuO7iiRrqTM377nfy87SKvaF8rf3r4UzLwKoVlK1TPST8FwYhSConv8O+FH +pHwWSXp+IRUKyS7LdhsxY4dGf9VTN6bOM60qyYTuwlVvHxZUVs+UyoWl0U7/ToQZ7N+71TKooXOk +H5UU53yBQ0otpc9wDqVCy/GBHdWkOxfJeBgj6atfGco5MHsXJcTvA0OAvv6XbE0Yl0b2NVEnAtk2 +CClbMUPO0v6w2lsODF6DyjFIcOdO9KDLPVRngpiE8zVfiULW1RLK8Jr+3ZkdDf53ePw4w69fKcuv +VqfxV+Oznb2iMZath9NrtnQTZqBAYBXPfF92IEVn40e/YE3lXZivOqTv1w+fQY0p0HIzrlOXf7vi +NPJnTTwWE3kIwPoZ1bEhlSS54VHTDNCqcbpMdjqZ/H1oRlOe3Uq/ZRqPNHhThlxjXecOUPi0FGkz +J9cddxkqfo/KNis1j7A61YNkhMFK8YPAcEZ7BSl+K5fvuIa47P6figLMY3W8ufmlCy79+Kgm11BU +KAVvqpYJ8xlCordm5R5njfkcHEUqROv0Z8ROtza0CtdBDE/XcJjkv7jsTGb4M4oVZUi5OLQCI5a5 +EIq2pp4LLzmW0nfPCn/SCuuRYYKURTJGmRmkdsztPIncBgK4Zl7/ZtVCJ//yP92sVwA8X2CrbRLp +9MfyC7yDWso50+BWdPHJZvRFjlhqvoMNJGBP6tXJXmG/UtvsWPCCUyRJ1smEUY/S0qCuwMyfhYu7 +0dPrncyPOAsvnVV958WGo38LEPbDGkNF+HKRRp0c8QywVcvGY+1Zz9ocR/qsjOKoaiXxH0aEOVix +M4RqP649vt+TVtVNrE7AAOtIj7g+dIfk9bNKifFVJeD6dIdsKnQTvnEqTtLGVQod/0nbP3AEl3fw +a5wQSI/g7PR2AFobzQTad6kwJloZi2ORehjS5QrvXHAbIV7072dyNPH4r3JW4SC80LMg1WYwBS8d +k8AUxeIxe2yseAOJNw44foiAkfE+m5RmO/pVABQY539dPB/cAqajO4apxxeiplrKAN3BKdkbt+VR +paegbj0CdgAnPUlgeXtoqpyA60VT8esufNJSjKF0sAcB+G/aFfvZo5JM65WATPs1chbIYXfAXwwL +R2hjsTScxogOR90oJNtMUNmLdmLD8RMeCK2gYCH9x1rZlzzCeV2s7tuENUa6XrhHLfZ1z0f3qh+z +OKqt3NEUIrQ5Wi3dzNNu2fM+l+XhLvyLbIq74JgvjzuBFQq070NX6sefWhiDxF7waA/nJkmfElgN +M80jCYftg7PRpwRwQcmWQae2/rXO8ge2LXOeCgByuumwfmAnsgn+lHqTiEujeZOkjDz7tPjnDLz4 +JA8zvgnGQ9AW9gGiVw6EERQ09OxyMag8NDq2x7Tgsz9hcBGfepo7VMm+OCVrw/1WZaXrADuDwg9b +IF1nyMOgw5GCOehcYwd0MtTdi8y96SxPj7Xs6y+pngwfBp+5h5GPXJsy0HRIHauaMOPFdLb7W9M7 +r+4YqyKHmos8RRrLLlc8ri8GLBbaiqir1McTPLJjZ+P7kMDVRaW5Q4MF2dr3DDkikGYiIXGLEJHp +Umc9zyAOGUCYDfJawzYGX3TC7VHJ0fNjcnE9wRpy6vNpzaIJEnzgGAA+PsvGCqyq0Z6DCETw3MMp +dGh1wfwVr6xa9QQAvxeaR+EGxwKBexG91hRd2ryokgVOocPqwNaq+Zls/zF8ShClLvLZ2IV8Vihk +0Ue6exIQu/8I5zXKOsMdyOtLWNH6hr5UwaK/ki38XJoVuTKlXzi6/uOQyI4uHmd45ixB/zGLDCHZ +A3xPydqX1i9tZw2ef0Sl2rTloP/RejPmriH8pfcrWe8touOzz34EdEVJUESsUhXTGY/TKf641mVp +Yn5OkUJsmy4PBRsoSJI0sOc06xnBAq0+ALfjxUbwzYEzWM7QkaLi7j3Kdg2E+ScT8b55NF8VdrH8 +diLsD/i5tQLHSdZG/HPNmM1cGlGQWlPh7f53/8bjXEyOiCyIqAlVF84Fmr/yNiRRUkJA6B/r1BA7 +6C+goCowX0bIG/K0JzZrSg1/ZEksN/4QTMIkc7w3IRQv8XgejkWroyzpR57m2MrH5qdRbiVDNBeb +GpOUp+zpZ4o8x40dleNjyIYV512GCEWZHFh8cpNFA/4g+pMbrSIN7zrbFnRDfB2qUBmu9MfWsqT+ +b7GjPSik2MtoG7HoGYgQmf2MtXTxzs8vNdkJve7HuknKJY5a+laNCnpaUqzUNZMbPBpPYIPPLje1 +PKsd5Jaoth6S6zbOZkYt0UK5izlVBoOMclcUqXuwZveWGhOepNCMlcokOcxgUMl2cnfSMipIzl3E +w1FPhTOiWIuQiO7pmbGJNKdOM4zwHEzac8td5pfEzDCodjVcvIFHdOvs+r++LNexDFeBAofs1Ao3 +zXnuKyRyTr8dEQ2PZEppCVnTbyr2wQS6vE5Ka/YRucfERBsW1FLZLGGj69H3DPHbb/cPp/7u24ss ++VQc0laYoT+c7OLhDppn/ch3EaFlEMhqq9BPa/t0AjIucEVmJHL4wAQ2v8tzzcI8xADzDNsa8y/t +dNdpG0esB5MjC5QytMi59jzaTzEG5vv9fP1djxTKVj4dRdfyGDpzh70+tXePDSOdvi5QlPmnkf4n +ly5y8oZ4Q+Kvs9+GqzN6dR4zmQb7Qn672768N2R8JClPh7CE7efawh/prXDlhCVs7s8lboiSOvn2 +A7t83Muc/4+mVGR0aZg4u3r7J9Tgbfb4XafHRyHbwcPuOsHHiA8SL/rJHWupdIXaWtqfjwRw3BCD +PMLBncv7R+IkfNldhZsNwFeIg/EKpd2IuSxk62D+IN0aQDnsecPdPmv2DrHrmhgec5XmhHzsEWU9 +sUOIUnqhVYON9ViwKfPHXklaitnjcWaM9kezcto4yqBbXvDyDSoN1kVFCmttdWmN/+7sE2NlWhtd +k035tA3vm094fyKiG/xp0N5bT1SEdZXFmDUuHQ+dlcmEHBiyXoAGd/Wp7M9l71BMm3oQBUZ6AZ7M +60B/hzlBOWIQVtolpaz2EHkUt1UIDdVvd2O1otJyuPrZS/4/fL1eb7BtYPvhmrs1NFmC+WtetN0M +tVozgiqb70xiSbb1KuzDHxYbiFnZOduwdnEw79RNXPKtszlfTwhp2394AfBFzeZoig29ar79C5GW +H1uJYTFVqkcYgpp/oalCmlJbvcodxeuFmm6OZN+sP5MFoARI0HqwFpL2wcmb/JNip/2/o5SB2YFw +leSI5VakU1glG0552+rbg4SS9CxZe1Whs7Kq6O7HjdmcQl8RzU9RfMA5nsv23ywuPIcyRdUrVV1O +ioXrlAkrp/GrNJJNffTn0MPOwoq00M4nAm9w6E2q80X4UkAP94gEBXh4APgIVU+Q95y7kYNbIrXs +2GGB6FG9WIvyH15zJDP5OIATUdDKpjB+tE0kRVqatIrVGnQRyPtTWNZDgv0pHxZPzdrVNtAq1jJY +oo9fDrs3i9EqxGY3EIB9I3iAMNeGaPngEHcbiMYTpogurgoJLIK4IF/hNbNIUWK43UHdYv0ygteI +fravFzFRF65I+JojVQcn+PeDL6xk5GHRHQtMqJYmp8/bciqtnbdT1cuij1UETwPH5u5mWZxrBiUy +PETlgHApd39h7zJapdY9XMajAMPNzaPl0CRRAO6GSetmPPRYp6efq7oX6GoZGDYEV3hdAv9aTntI +HGKg64i1PGWTWhdr1Ik+3Az3SAUo349IkQks5xmmMZX/JPdMjHQEw9VSLfrwREiMyUn0jCVGqSXS +3gYp2HWoUUrNBO30guZmdUs56dHnFAmy4IgSSNwdpTTqfPI8BplAfxEHBSR4i27diRsufAOHtTII +qvbwRYqEmiNurqYkBEo7b393AX3hT1+p+YuetwBbGKUfP1ePckY2Gssqecal3wJTpsXqbb5j3Fuq +w4omXNW2de2iQdnevdUJe5wNXhbKMBY8Yf9stTVRAQNC8vYbaVeNzZGeE9UDsyVs1XLSSt47NRhI +w1xp0w7IqhBx5wJKn9dCoHKVu1JwVGWoGFZp1tHzlisYU2cH7e3P6bzk5H8IWPZtaA7Nw9RjbP2k +gqyoFgWLrqho0gw3rsbxnu/YK7xoziF9rITUYxk7bX+/5DJDmsEsm7kgwID71WFJFz3hQH/QvJQS +XmG0sOyy1jyGMFf5j3lyEXK6cyMABcXxZJbF+7ir8Dt9R593r7EXNK+nZArm7he92McCiCksk8gT +GaLG+Z+fuEwZ75TBVQGZOdiXzTJDbP491Y3ixNfGh7jFlcy+m91A38nSlj7Y/qXW+hjWW+VUmAWG +y/sbidUgBBH3fIoikBnb+OcRYx4Tk6UD0Q8Rg9ugNDqEwNb5pnSUNa+WGphqeAZ6fkS52C704hLl +j3L6fhmrCh1emfC2NFEIplVKuLbL/OZC7F8yNxvYqeFSioV5Op4GEldplFb1TyOMaHM2jV5mrQ0Y +R+bFdOopdHciPT+08x5qJ3hdYlmcVHx6a6PPpmyZelYQVNgyWPOS4lEscY5ibzR7wtA82k2E0MTx +GRFBq9Awf3+BMN5/Kb/3MuGQIq1kyYtnFKi8vrpkAiSfVfHDZSnEJ/LmXuyN/b4QGJkJ7vkhq556 +cogRVhbyH3tkqbLkspC+QMdeBronyLp3frxik64OtOqXJPLtb0GxGnaTuSKvdodL14CwAQ63JxP/ +hRCRL94nNNeUCaFRezaTzb0XA4BDDHTsykkVa8z/+Uw2G0GbaF6onbdpLz8y/r/jBLIMvRskXUlg +KGOGOPi9U/OpiXxUeCLKMbveB/Q3s3Aj1ax5ru1p1OAiJen3Cm76IkoLnCWKLBLN3hjg/EgORUPk +Aj5cLWcLYwBLtNAN4Y0kb7WndDEsVbSI1Igb+Ds5kl3IOcB+XieAHYzoG5SigjGeCneBS6FFfC9s +AlaGaurzoUJNHXiFwftggd1rcrV8I73a+nOWFc01K+Ac1EDbr6UE5f4wTHuhGCzS5HniGXRUEBhc +z46O449j8BRdpAlWJbc7RoSeXB+1xkVUaxywHom8ESV7xMmZigvqPuRvQcg9Qe9A/GlSMvlzGf+U +Oh4N54KxQG5Hn4/BUmnbZAVLWAfyqP+fowCnKVXNXV58KDfhNgrA+Uwb0zhfFpve9brdYJf1dsyM +PAwZEykQt9WYco4vYHTjPySwq2Du/h2bJZ1pDkCrFQQJLVdjRzrhYV+e2unf9bKTxLzuYxA5ZNDz +WEYDFz8+Lr3UHVENP7jNGbGNZ6n04oFZ4ZRe3dcR1ZIOZTRLOp0gpvmhhqT9Cmmuhw21Ivu7fv6r +N5sMArkA9XU3GLTV1Yf8exZalhLVrq3BkWpQhpU2sY+ePqOBHFsi19yuemXLQ0eoajz8u50hYWpj +lkt6gxhUHb7PB4aiFRChbRldpyvHbQg5mwl7J3WaiwZPsvmcAu2e5lCTl33cBAsT6auA9jbQQnyu +WndZt7S82t331ZtDsWvM9sbH0RH45bkTnz2ItjQYX6mvMS/ImoP91Fngy/ocjjMqCgs1PITZA2V2 +J6ZiHnkbLZ+NVeg289TM75UlxyZoTJUD+ndyQ+jxLoBe5Gu4sO0J8cF5HBYzj7VHvb5ff25pAe8p +QhfoHdWhs7jjs4e6euHFXg/4BH+5cmDat8Jeo2ZnU4R4n2tDQa/WfKgXCOWqf+bF15DFfBB/LhK4 +Ga+yBNdJ6/otQwDkrWyyYFJePxLLH/8eLOoNCt/28oVY2M3pUvL78Fn+w7tfRj4obEnE294fStkI +N4uDimCp9T57e9sPO8oD4E5gd6Hile1mD/e29WigqUq4Y5jPn8Ioa5/jMiTthsUz4LYA/xulSZm4 +MF8jD5CxAo7a8RvofoH/Gyycb6ei6vRob1prwSkdHYhni9Lc7uDFjXVaqiw7oQ49qHZ56mG+KWRU +Z0CNr3Ijq556/UwmOdgHabI9T8XrlkjjJapg8sDuU4FtufbXeNS9af181TQxAOFkNheX/4m42DjU +KijPp6pCTUp8ueotkpbG+5+q98RIaL9U0P292jBJTawTj1eqrDfqN3hGqpvXboTsQxuNVEPdAcHz +rU7of9Hy3+1P1sDX9xb5BuwC00j9UdOYcH1+VZBfWus+fD7G446GHLt1guGNkcREJzgCUL86caFA +aYH4H69yZpov9rM+U701cdbAtPazE+WyizZaK9y6YwS6Lxfqu+AnCc1jksjrpq6wNtGSrp0UVpOx +llbMM6bR5EgfCBLiD0W4nixQ2p1eu1eMDMry5pgTt0WWNSy6amFSDgn4Gc7ui49zZFo1EYjICuc1 +LymO/Ge1wmiytIZduiXX8jJHNNUUTtIopAbqNM2dz6XnsXIlMCYlOvAHBZxgCg04Q6PoqdchVGGB +NCtBa/XP9IO8kQDSjExbo4O+Hb7P3fzyjDjC7t8olf8vRNs7CCPoJLwEFO8KiglgvNjZeBkjk6Uw +7uWe2bkAoD2po1nuPFpELm/LKklxE584Mt4SVhFdd1swi7/ofMgC3jRk0Vu9KQxtObZ25rZxEYre +biNP/DRW9s+eXSxEPPP05uY2HCgCy2ID0B97g01ps7x7CwZ/8007Nl2rHEiRypIatTARpOPchN/g +eGvhgOlkd6uX5cqqvxiRGU1IM2U0B1T//GF/GZVPD4uehIJTsr+H7ZKl7OS3t4nl/kikLhgRUbyF +CzWXZd6W+8k4GRrzklG+OfCX1cfVyu6IuvhNhSXbxfD41TNuJSwglEOOwYYbgR3WgEk502gLB0Ir +f7X0RIi78b3E2zYnVZ2DXDc7s9icQ2oCkC8wXPFz+rBT3UeFOAdC0G9aB2BlNqDZdFWk4eieauBi +jhFjtPl17MTyb5qRqbIxIV9PjDHM/vNyZEih7Mpp0tqob0Eln9kJz08rE8bB4vtFuHUVRVpRH5+G +MDDH9rKt6JTS8vR2jUNbuznxhHD7RhEDBFNE051ol0ScyFSck/7iOTHwW2xWpk2UhZwokDZjwt1P +4b87TrMs0fWbpTA/CIC9b0b89oS77rzAxlX8FIjPqpU+xre57OKrqFfTKDTQJHimLm8CXkNCdZ+Y +KPdc/GC5Up+OQMdT4+/jmRa5lGj9PmjRnqifsyi1Y3QqgCPOktkFUmkDpI1XryDjmk19MXv19Ln2 +dLw+O7E0xaS5bV/WKhpEj8NsT41cndos8Psf35/upHflBnq1ZEcBTEw6ZHTSvMNwVyyZaCngFoop +zGQqgU9GHkb5DsouyctKNa9PTe9zd9kKYduZ2usSVaAmdTyCZ6K9E7guyj5HpTe0sC6LEHrgmBQM +Fma3Q7fvlUosKe/DH4NAxeJWwKKFf4BT1n3zWnJVOkwGOkcJT/WGi5tltNBTpEml6ee2kc14Ji3q +gKSM3v/VFmVKOBraxG4DgFppt1hPJI8TBiaqnzJGsCD3H4aHbvLO+D9J9Bv3B4U1nK1yj0D3TG39 +c840hnu6letIdwijq01YTRi0RnybraXi6YVXRxVU68SNmv/gtOg6FWLEx7HIaH/lDBE/sMhVUk5D ++V0KKvZ1aRCCaIAXE/O3qak1F4d2jY5onNZUW3Vna6karo/9T/DtbVu/vLM8p2YeB5F3nVB8y4VV +7i0/01f7+bVNFjwcM+ZfyHyXVZWZKIFPmRpTEafhkbafiQOLOnGoNZifiIz9fcVWP8Wcj4JNoqU9 +S9FhDqteDvsHoi2VLeZSsVzyyzODkFR10XgKMuB3tTLVolBuTMXZRiKrT95iUGfrmUKjJa5A/m8g +5ea8l6mSIweh1JiEktIiv1GZLB7VQEvwyYcfI2zaZ4avSSY/KCuHpwAB6QLnMH6kJTa3EEftFXTU +ybnW5ewAUm7NRtzGMEXSdjknEA2kuMEU6f7B92tBKpTLSuRtrD+5/ecu+dNLzPnXgcsrTJAJpu6o +h70u1dUKnlKFazJIzBdErVeVbKhp36Jpbfu/GNyHAP0ZTr2sO22PGaqgIzgikYH1gzFgeVGpe7cw +/5PSOswVBvzFCqHOhdAKBmXa0JbSFQd0i6T+YcpFGLlwuwq0mFOPLaRkb6S7CQSdAyXu/GrZ13FS +vhPjnyXO/6qxZRL9AGEsHw2q67wTDITHvW5aUQJf8TQEDrX4K+vHUq2mfiTo/VTD/iYbkmMFkg98 +2wdazQRCg7yc5DlRl2TzmNzoIVkXpQidQ2n8p+RjKC/XFgkuu+0LHuw+gfNqrOqf4Aw/ye99skth +lYm6uqxtYIspGEkApwKN2/Dgi+030YLx5inpN3AzmAO44ScJR+VzbYvy58kgxSMZ0+GypH4gEVCO +T9T6P4QVkI3qgy3azvOmG0xOdJHq/s+4b1BqclIw3aF5kz2nKU4R4Rz0Ge8suV9yMjiUqOgGeNWJ +J5/4ySoTVZ2oiD3psPUkhoaopQM1gaLLY3BzZWZQk2pe208fcbETJHuYLaaqAMXGKSv6Z+FEtLx9 +Ai6ENyS2oHnAoLMjMlk8VAA5eppcoWnsXdR5c7dxfBo8iubKPoctBH8ABElH2M6w2Awo/8tnII8P +mQgsQQgjkuRr3wHZ2MhDcP1eTQggeRXQNvZvzquKziEL/k7ktWPgIZWbgtCKaxdQZHUXPVhWkR2J +rUTVXerRwtN/QouK8aTRYVj7B8V9Ghb0GkO4Ed4Qu09XnKLRqxcLZv6cURCKIZHUAAVVHiZko4uS +0FjoFkILpXzFyoeWCuA7iGc4qmLVekNgHGJ1FNFSG479a9D+pgF8v8ofgTe5vkfyxQVP0sLaufNj +Y5mJHlMUxlEIWG7uklX0It6ZG8La2Bqu/a2TvbFyAykkaCNB9P9raDc24Sx2Tcaeo5XY7YiTOU/4 +Scu0KLafC9OiMy1/WPGH+AN/9NwuO/5Af/d3eQvbHKwKQ5x3J9dVbJD5Kd6IWcaGD2J5hDF9BUNf +ACq/4EBvZ4t68Ag/SEc1NPtdLAUmY/ByL1L/t5s63RhhiIQkYJl9wF3yKWzrhVHTNV6kw9WQrSId +jskBUPsPJjy7VxNlSYNLKpvnGl5Uwuof3mibMYMuYhLvJXmEEqgLZ816EVSpgOomB8AWu2fZFMKR +/sUrExdZgW6ncCtL8fNrbkuh0w19oXf3xJ1pTY8IrT8XyLRWuc1FgHdQCVfkRuBUiE8AYdd4J5L9 +0AOvNbe3kAD/bSNunZCqnzsP0I7z/QT7ULsweXpsc9A4LcQSme6Hb/E4tUPocPCi4rzynKs8NW9K +8S3Gn7QSnYLuIRJOKNxzs9r/1kkWabFzzZjvlQP4h14VjKhjUn3XJF/l9VTNJCquSR6Sd5IiG6g7 ++MzWpTl4DsfqAOPU7SJoxp/La31pgE2KaRmHLzvE6IHIoMSTND+isgqWWQNg/uC43FJo7D01JwX5 +QCsloGYWI2lu+MhEOfMP8UwMlJM5MJD/q2PD5DgVSONUKmd4c8cqycA700iiDSYe8MUBA4yWUJXn +20OM8wAl/zyRx3Zo6mVdYXa+D+atYBolc+XJqK6QhlNCH6zVbMgk2Oy9IEyXXRLiTFbA+7nE6UNl +As12/2h0lyt7CjSiG6m28NmWTr1Q2TpuiEExgTmEk48B+xmHpYjjqPOiWRdpZVggTJfXZgUBoGfH +yPAU3YUJA7PlxzFMujoFJ0j025uVHfDHAnXUs9T3/ip2Yki+H2DyDl5mOtxlmWWUhljfZ+AWML+k +svncsxSEEJu4UztKZx6iy3iT4JTFG6JSZNVWPpghGg39Jid60cfLVUfP3VK5IVuahAa+Oh5wotJo +g7Fsk9tIC2q6T1vt5oK855aVse99r4mIe5WORomkR9nVEQw+1bxWCfELdOFN+xJ39NwM3bwxfnIb +h4YMehHHwUgXhp8LiodjCxQdfkR9irDnsqPj0G9F3RLomegCwiqZ5Kz6qmF5FarPogk+DkdS3d0R +wDeX79QLqEbi6FlZZothGv0TDxqW3vLI2dInZvtAZwts4830l/31VrsLxJah2xux1/VQu2sCSGjx +6BPAZaT38w2MbbYbHqsmMoidyARJvl3N84U62sOKGP8sI0fwqr/PrSpUrB/8dbFmrrE5wRSO5VNV +aBqp1tMnbwEuV2bwwpWm3ni87gs+ot9oxRDT9nsGnjiidorOa174M5s12SzhjYiSNIU6ZbNWI0C6 +1oOyuAn8XGKGnUjiVg4Stu+i2um5vO4y5MNA4TSeOmshQfLfr83RFO3X0tdsRf9lOhORVJL+flDO +20ziETcaXLOqw76daGl9uYi1JnX8GCr7/feqVtVHBsbrdpgp8fKCiiYrmD1ZBeOzvdCLEcVBmR4/ +IRgL2ICvxPFn4yiZugzi9yDICBV03QcQvRCZHGhyrEPRok2SM7JypMKky1OHCaFWXfRhQvd0YCNr +2Gbd/isG4jOB/0EfMNig2NuoJ9nIRIZVihtFfSPEqtcifDhZiQ50DH8+OKxguuIJ8XCtRTO7O+kB +6rT33fZ5jOrt5YjLeEqRfcTkhMt+I14AEJtQdN9c4VCpTELJ/SPVPR1kO5v+TlHUWkcpF+QWbIPV +37v5sXNKN/VRm8mJ6EG4grrlMR8g7uL1OURLoCkjKn1Yc/fBoukuCnwRENSua13Hmhos/4YBJbin +61glUY/y+qotS1TfbCvXBWkj4JfHA+Th2UbDN62gOVSreTeNAy7koXipAREFwLWenYra3hG6Ur4b +GMnPwduYSdUSHVfmGIk/pKyHdo1KUtklEgxZe26T8RWJcfWYYPK9rdhjF61PMzlLzz+8z+thnpc4 +Xcp3KxS8gyO6wLKXbakYM1XRnc+XN43G+cy29D3z3ZDfy5b2lRB14Qaq+OByoh1wa8krjMgUfazT +4yhFUa60e12dqw6gdJRuqu2q5g6pCO9jV4zf9QkYBvrI8yuqClJPDc5eFu2woCqaFh2fCjX1UhHm +a5GTO1Pp9kBEsDQI8RZfSn9uYkN4O1UUvJok1Mmpz9ztwCo8yZZxkZYudMj29kTK/JEEfXpJGSBO +GIjKDMMsMGvZLQEUg0+idOAZCiVaQrUNhmf5+KBOHBRYVJsdvYGoLYhRdwNDGPc7yzUw+Ou4R7I2 +66ixbtticKDeFpz9sdpnyhMe2J5FHGh2Wdm9UWF7x9u5Fd1HDb+pWCaFayCBJyDcJBHbpGhOjGwX +gjkz/eFiQecmhW0LfgVyv2FwjLyB18fIKyOGyFIz+OMIT7fKSWhN2bl6oZUIUGyf5uL/eBp+KKB1 +5+crwOjYQDGby39VrdeEykbeiLMSv5+Iazlw4rNZioSkq0EKMnfnfDyMUi9W2ERr4jD9mbrPhiLM +J/eNcoQrr5CneGpmf7ojfwOERg0bY/qKUzEZmJDRFRPLr2f8+pa3caEEZywM65d3iMzvprbMaNY5 +0/3nObKWZXusGg9lQ1r4sLrZ6uLN35uDR0ai3xdAH7dhWzrz84hnDd5C/EZmhBBZNXxZKRklphL9 +EKxL+kpFj1SmelRnH4SbkR2sP8tHwYjfU19udVrbBu+NRXgps4/6rd4DTZoKE0afYkJml4tkum67 +yyZ9/iTChlu1Ouq7ivVNs+xVV7wc8ds8BqJKIOR396GQmfh99uoCL6ZXBVzshG/+HSM6snb7lzbm +FZ7o1A+GOQYrdDcoh55kOnpO59b+MSRaTRbdox3MycnI/2ZYbQXunZ7YayV8Ws8TbvdjdEX+y/vX +e517C33hgzUwvC39xMlHLw5pK6dtu7k8ChT8nZTlaPyzKWrIXHbq/CMC0K48BQdnResRkSctSZ3C +DzmYoKD/VqZkcSd6YBMaEWqSsX+3n7yWpr4dORjVlFHE0nKCkAo30CIKysGvkiOBFHilDkMvk26t +wbE6oIb1AwH1xU02bWn2+Tz9HxmdOe2Kvjsil6pnKaxJhT29F7vaNnrFj2hPWs737LqrgTx0YyvS +CedXNvchG0vv2hipaZqhLnjZ1WaJnFAai1Rc7AOUb+o/Pe/4NqIS82csl7iur8RwV8xPiwISQk6M +VIo8IktE/czCkcgHU/Xwma7PnA7EBLUEmmHPdf/s5qjM+c2dlKAL92GRfeUm55/iBn+uGHQAodS3 +nk2CtN7bbLdP4h5Op4K/AyCMeN4lxMuxGB45+lXTGTRo9+YceoyxizEZeOZFXeJtmwZ8iv6oCI6U +ZC64+22V+Mujajwd63EddEQaQIvJMbPlP5xbWGOZpIrvoUXCQTU5VOyKDtWbkkRdss+/ud2+O56U +zQTkjTikdbBermrmRfKHS1bDuul6M9GYMMHfYAcelWqlCiQIVMh5qkb3xoo/+/9bZnL3FV4SC1BG +4m7FSA9JcLJ9e+WDy3qi5C00vNldyirquSIxOLcH1gopZM3AHcrZ/L028XvoW8gd1e9vf4OrEZ3g +Yy+LhRKu6y2FP09F5TiQzX5W9PQFY+e4Lr9XN+eMQEHJyOUgUHURdxcEekwKdPAy+gkuMFk0/NBK +6lZGwj8KotQJQZ7wU+EpSCFLUYRDhRiLkWZZRsP7l9BBhLWzu6JKJAt8R6IBmja3EUDvKVWiMykj +0awCVp9xgsAvCE92ba3pwbwzfICltP+qhB7qesCwIwx7zLc4KpMYOg3j6Gd7+3h6pxK/hQvQDj5P +5c7oO0/5J0Bb00ufZo/PPzCBBCM7GcKvq1pZ0vEDM3zXs1utbWPw1eMXddLfZJYx1TP2Geu03Dp/ +1Vy7XSj1fmqCw8pPgK3oYhEgP4jbCytA+g+6G+TtY/9uCFOAe4DJJvKWkKP7CHrm5Qy1sgBbhVnA +mbiL/6WfDeKM4jg4i2mjyfujrm0S3CbpsdF52WYPFJ7DH4sJVcqT4pNtFw7g2IKqpI3TjToeewOo +7/buRQKzAhtqoEdsjizTXE8hat5fakMJZVIid41EL2WbqpoJ+5ejIuZMi/ahbc78LPiGCCKFqAbu +jXujm7hgJiSiGRgjnrQtjp15/u2DcDPZLx1bnSfkEHUGst4z8xgqe9NO3mGE1nHBk20u5/b43PBI +GhmWKUa9XE2zUBQ0s7tXFSiQeI8lDjOu+Z/dDvgHIzbxehHg6E6mFjPhsiUItOKsLP6dyYVO03pV +31au5M80c6lJySHMnJdJqw956S2NbOCMuR6J7QStWl+F7/v0yfmaAV3c9BBSAGf5Ea+TYRyQLek8 +YAgWU1RjtIK8l7yju1ijHZPD7zO1LqMKwTflXkpOy7TzSqwd9Zr3nceZ8dmN5djRynUD8gE6n3lP +A3Rbbbb9DeyWPVmQZxBlPI3UmT9NpKbg5mJHHbG74peZyGtMbyyS6UX44Jv6FOPyRLgBusoWXOJx +H4MMGOliULi3SjLNrsKe57r9l3UBbV10AWqWaKaHptNXaxil9uHVryBslizkYuOPPDgEVVIkh608 +JX9SIAfwFEV4b9euMZ0QMx5HtHUOKvQGNgFUKVm3oCd1mZa9KmrB+AcsCiLG+F3Q3A19nooruYcx +gUEDDqUNI89dkPekVUa05KJX4mys/S4sZQejqlCIkVfYN1TksgtvPCz1mNt3iGx7RkODA6X5w4Ql +R0KYLcbWgPwGjMUAQ8MLMrYjMz6oA+z0rlZw5wU0fWXwr4ygzSS/QLeMCbOVJdFgmrMUtUDOxNT7 +2FLwHd2pFITQ6P/q5StOOAGzY3dM2viQ3UoBAzqkvhnV9y3VYytZJN1TvxBu0KoArOzX3fekxr+C +PkYycKZ04Mn+k4zzWYmzoOIe43q+tRKxj7EKmp6MEGsjQh5l29wqMzLeiE5SyTBfsLNQw/uUlH4G +1xGADXO8g2hXb+KOYym/AI4FYptSCtIYEOv2U5zgvfi9CjFNtYEBWEWpWUy6xiQf4dJWttd8ILm0 +WFwiWQDnhD+mwmZLEYpmvz9rJFqDKBRAPYZVC7PVpKVpjVqJl1CDPcn/IeV8Y1tfrLlNNq83c8xx +ZqkFtI5G4tzRlu7wbkCY7HkTS3t3diG18d1eW0QiemRrxmAjjdQDmVdOxkHJcBbvTV5R8iZFwOyh +tZa9hoIw0KPHrbe5wFB5psBZEhCJu9r+Yn+6/ob1u9cvOpSW5FMwRfyU78GivxuXrAdDmXn9zqZG +O+uMU8ej+OazWDwEAwIc5g2PuMWt1v+jd1ChZPE8HHnyO+GP4S7mRBj07SC5XxDldr7l3grYt8YF +UwqpaxSSgLsqKQl97wQ++q5BZgH9f6BEdG+0lnPGg6coDIbQFLmIcKqv32YBvw7d0iaPTPPdxeHH +cAi5Nquhuadki4F9QzXQwZ1kuFup8+GkMzDaWJS31DmP8cjpubB+dqDrKVcZhcKQ2XsQCuIbOhwe +uq/oURJhOc6O1C8bfcoqdQ3G8Ov3BMDMzRK+AB0+fK7kZaRIVZJ+Lnp2iNVo2XXDFwUTl1MIAB++ +rUY/f714pp1BHnUidcu+0RKyeXTxl55EYwEYLfVLiruKP36dFuoUm/cBedXbH0TSxMOoAJp6HURO +oTS7rGbmXm31zfM7SHhnt69XY5amo+fVTaKTYJCTPKZErwgvuvJOb+KmA51gn+HnIzeuAWzjAMi6 +RV0Sp4JndfmiO8RpMAuf1/863vOaPANE8CRtZrs7SEEZ7X4i+JjW/ATp0yhljcwyAXKNAb+scv+W ++rOIDkT9BjnJXLVVx/y6G73ltyz1PPWqDvHH0GBcMwumVm8J9rCiLCf6PRQOVYPs6DZARcrpwz5n +SnowaDQh8jRtgRCU7pY6oBSiONfVKVIKkERsqlsaf+FrmPefpO+w4aQmKsFYOXefeLCFEQamZ1HC +8o1o1koERI2WU1ndAyIwvrEbNK1/ErXrAgS1w2zdDm3DUzOhYqQJUbmNgE89koPuqsxEdn1xRJkZ +IxVJlt9JMQm1qyHk1IYfr7kckRzkru0xgHYNkWHxe32YbF8yA+z5z9zSH/1NuCgW8uMFhn4SYxju +vYWrNa7rfJEPGMhI3oMAF90yy1ZLiinhH1AEIwEZnCbiL8IcXJWEfyxkx7ul2ZtJXAAjwXeG19F+ +kDmJWxJ9daMSr42lAxDlYttR7Pb0PDfrs9ErOlUdyGtod7T20HwAkAQY5/N/8AaKpE6zf7ObrHvp +JnsG7QuxFTwPM7ZSa4WeQBk+k/y3/P9u2keBHuJgiv3Rrc6lwscFllc9R8lehACqWm17+ARA5YC3 +KBAcLCDT09iKvgEFHenSusJTCAY0uiSa+WAwMd5FqbUiNAlvCU/1jc8+v++w8H7B6LZmeG7R7Bi6 +pg9gvPsIyJdwfrnDut+xyS9Fq6V4G0QKLRZ/mrTnWYHsBGRmh+TlNwO+AtX0bnrqsOua+g+H3NTn +1TdQ5v/qABm/NPeHKXIiUlhjHPY2gEwEEetKX7Vl/Ha/3oDpBeldRSnzAOKnllptTgCMT9K5L56A +J5Ac3P07bETlXsK4HneYLBmA6pKs+3FjXIPKB4VrepIky7uBqX7Q8Ifb+HMQ4IBx98up7rMqMrLC +Gve2d5Be+tcdtNkIdADjaRKTZXSCUjUzCRKvdiLYF6oBYC7jAN7jnG3NvBGopEGJh142ehWt335P +xHO3GOJD0D+mABAtO725iFhebylmvB1aEjy93Fu+Y4lNaEBRfpjLdVSoxT7NBKsMKwK+KSzPsflL +n1A8nlZmwDKjcBGizpBRRxdR3dEpGd0Lm3kQiFPGMhVnDTRwbjCANLGehLD3gDetC7TzUdP8Riea +h61MGOO8J2TF5w8nQYLAnnSox/SYVi7glSv0ZjSwPI/Ue2XX4/StavKjX00zYO3Bz+bWOygxgvcf +B0c6sKWyIIx/JaXZ9w8rNnO14VFPYrFwR1rj/vH1dG1YgbDd6l0ZCrOLx5gBH7N3jsAkYs/RivoQ ++4xddJTLdR7pwmcdPCGY0we8J2JwExrEmTaMy3lT1BJ8rcvNU4HDTTsSJxqJeSHb5zGFR7iQkNqD +w22oTe8pSP7YOrhsmq12FJlqIkpGzVQepRh+i40Y58SumftlWXvq02bhszZMuxR/9RzidbfAd3Le +vYCnDMv9tPkW9gOc/OhG5NhpaVD0RiST+ZjmTGI1aJRFdUk1/H9zt7UTqyepJtKRlEuDsSlyJIzB +WshuVLTx9CCm1SWlnMDYjeecapT4dHmA3+eqs64//ZXPm4EJG++knk4IcEoWrzL4+3MgGFKoBV3A +GQO6O5mknR+aLJyrKNZV27cIbg68oJhchHLvdpZRUMa3rktJ7/RN0KuwsHnBGq/fv/YJvVrDQFZw +engNw99RHhWTJfJOA1vwQP2yKvesjZatBXJt1vM4dYagKTV2WEQFAXYWpsLvbCd5DmlUyHg2nTz3 +Au2EZWV+AyUd3mCY2rP0w7jKqry5HEhMmbWvV6u5ntAJ3vwghJcD+PEocjsMW5wR87CYivKclyU/ +wdgCn1AZRgB+ue6WjhZYf1B5Tx/Iamco6PdhaQ9jFgrirAaa9aQR8PH71/PWxcsmCkYSWZqWzAJk +FjQmel8MH17aiOu797qPhgvRvVqfLKcl+hUTUlgEJMvclUAyd7xKDAZj4vM2mWJAHsnthyOjxGAs +DoA1w3wLUvblWRT6EUsWPdDBiHkzaIhTyF8UuPxEAvhaWKKtdrWzpn6q/7qZdl2ekc7b3Nz48d8k +SpA6UQOKgPXjHMz/ZENiXxPAgm3Q6jlRkUxHmnD4tMOa/KY4KUJN8hiTb5OqmtfOcpIZuSoSeUOy +WirE0DGv1cZKb20NOQ7JyMxZn4QqyoGuQ61LUwBtCcsmNsJUyoncuy2R3VklgQzSJzEGbdl2/xcf +rfdcp0FkgRrZ/xv1tWJEoKUTCRg0JShHIyvWl/qbfYWLhvnxC+h9I94iUYYzr9nE5bcncUp3d9La +BFTIVKqqDWsNSROHxXI4sLh6XWzG3fBc/iLE7k86Fw9XtT5eaezVbSOICouD1mUfL3usg8qwYTFy +2a5y/WEb3zUH/lV0qAmxqt1TxsWuFu+VvOT7NciK0cSB43YcG+lIovBlPUz1vegSHC3yyDvgw9iG +Mr8oQs8L++G7HOffODOTF8B9wSZwK5JR5ZYf9u0FWmGQx08A4cNhRUTxhC2O5KnHzfDKYNP+5EqZ +2kNyal5h8QtFCaM6Bpo1eJn81F77GSneZenMmvgR5XhOnmsxB+GRGS+qjJS6PqoBNpzotplN/wr7 +6bDjM3rc/gYbHHK3hS0EeQJJMs/1C9pCkhWRFGMzk0HhjD2HX4V4AzprVyDe6QKTZQI6VqS+z4nX +S2HsnVzY6QQnTW1iGqb6hILOHOb6lgMdeVdn7xsCa99wrYoHLmeLlB7XHbWbejibTe99ScmzeWmY +VwyXorBjuarH/w8XONJRdzyqt68BYMfDYMmzLo8zzvVIhzm731/bwky9+kcks9652N97t2E2mBBz ++u8Rbc3mw1sMI8kQzT7S5Wf3100vv+bsHLt+ky5HnAlWHg2+EAGlycp5KSrbbZUBOf5VbSwe7z34 +VgWGVZJU2NJ7GeQg9AxAvGOW7hgA5I8n23W20UDk90A9CoJJWyGMGFS0wEPtdMPa4Z1C2nw1OBjF +/pG9HDv+J1rsm+bgdl8pDFggQ2CqMTnlKgvUKE4WRs00QAQufaASlSxkwSNAJOGYGVISw+OqmY41 +AZ26c6gQasMJnHmNDLo/MfUfGtEydVXBwNTchlHKjuf0SWECJwtCEzt45nR14NCKdhOEXzBVFbll ++j/oB2gC1Hkaf7+8gkV5JWrb6M/bR6E00loj7ur/chHbCWtF9dMU+c8tmdIN5Ez5aiiyFQsM+bC3 +iURf2Bf7dVutQZbl4M3Vzsivsoxchov6PkRDbGNHd5NKpvisUnhjDeu5PzoMwvAgd3KjQHZ3oy0u +mUXznYorrj72ZkYfKVH+OucUIvuxjfnXPlvrPtXHlRPDxZ55uKoClFAlOuh1mUAaaAC1h6ubOTPe +Xg65r3aWfV4zjRck71p1BwVxPTbicgCTqpDSn7I6L73z8bK/KxpxlqietElyNmhjJmZbBcEVvcn2 +UQTTtVUCRQ2KtKSVCtE75qzLccmxQ+KgZSMXrUj9ETJdGnUpmncTSkqiNYOi/+OTmKgySxLf+I/4 +LiTP6vMsxFp1hHAnvD0utY0LS9vCiVEZ0mOm2lg/JvojIxQSteXMh85CroVbE1mI1ypaEdzdifqN +pl7djaBsGGTNlHKGfncRhQBNoxT8lnu2kOUeap6Z1R2JM1gwuu4Igj3MIUuTN6YQcH4Yrbj9t7In +tm8Lk1Ho5zlt14QSwn4c0xDSMQBDGmwV0l+MJs5PbvyLUntkOIZwsNIMQrM6z8WriQPv4vWVgE8e +nfEZmaYCf3mMWwxK6BC1QSqWMwkwFDbtMJYYARpxGIrS7ip4ZXWKVveOjthcpEJ7AhgvGPSiUAZf +KU32qieAIbiY4KoNiN6F/QFmw6PmQxMdBRDLn7mfMsP7lT0kmonmXiEHF7s1W9kUcYuK/+295JIQ +rCNmWZrO8Iesn+XXldWFW3liEU94HmC2Ypq4kVkV+lbQTg6kbmPlqcwGcRhMSpJnSa7eMy8lV7+X +2uFjQ/1XhFrIFaRfemzYZRSf8I90U1+X4yeUNh8dHeTVbN8ov9SEg2WFQQIFZha4UdbcE1Csje5r +s8fDK6PJV9NgzXrVVtbo2vl0XTnVFZ9q64g8oYHEBWaa7FLyDd6VuTik087emf3boekW7j8QNlSk +7v75UgqDcACBNepuOdOARm+GhM01PgveuQLwxzK/cfxskf7Eam/1gZRyHnqqM8Vro7wuiiG1Oo/j +q9iDBX2c/yqQhQ3H6n2KY4QxfTOVWODuuVoD1Dc6qsW43FqJS3m8oRG3XcGEkLg2eUrHIJQ/wK9i +PSdIFBMofDA9Tf/MCm3wmaWMRbphS9/0h5JLmI92MJdGxEF3xhke77GeCVMggEfqdldHAr4j20t2 +vVPTwAnCAM3A60N3xsCtTl6SZQRM5a9kuwEQ/jnsTmtxP6ORf8QsiLONggsJI4uTVIbpVz3ReZvL +8KUDA58gcZ1YEnN9XyFaTk5PKAXw174XXl209pyeyoo/wtfm31FONlhZjNQHTNmWYhcYQS87rvub +yTl5bQyG0DGgXP2ICpH6ofCUcFUcozMWQBxizjMMkVQquHgyXqCb78hgtn40X4m9V1c9nbVlW3jM +tUcRU7TDk50+GOAGCw3iwZ67G4IXnKf7SsV5+zwetpaIicOhlyC0/ZJpddXlGRTMX7bH5JH5d2x3 +g0C2zx/kZtZr4vAQVm6PVx4fBoW+EpUD6bzExD7tAorGjV5yw9W5lSy1QJPX2MyMJ0OsbvFs5Q65 +g2JOclAw4e4IrnmWLHoyLvu5M95vgChL+XddmEcb4ob6ai7429669N9XsTE6omd55ZUQYBE58CRb +hxkmimRTDAT8VOayzVADRpotKxd6C877rKBa8Iv/oqFD6GnhPq8yRxPmKrCtYQ0HOV24Qnuasq3Q +Tv1F6aoyneNrOdGC9LPbu/V5baptj/yuGy34qcQ5OOa8QkxvBE+0Czn7JnL+wD4CPk1sb4w4jwap +eB4a9Bt+ZWNBwBaIKIUGl3tFL/k7xKjfRnboEq7mXz25CTUy+zjkFduDO6yvUE+RsBswVUgTrslM +Ae2VBO0ZyK0H0II2RjJqGKJ+5cSK5Zyycww1sbGRPaqkUPBOdtjtugrsTa62b4QEtbQbsX2klc9k +jpn5ddys8m4tfuDmb3JrY4K5eAFth++utzgkNb+NE8DGT94BBV0+vZKe2bRUdR3iHLYPEue17Ucl +hOoO5EoiwWKQBER/0HHrUMnZZXrx+o9miI/tqESmnLdt6fuwPlyObU8djatRBg3edkyk9JQ2HYyk +3EYoMi/+25Nt30dUfhsMF9PYH7htBYyYqwm/2AfNIYw4sOaizVJ2OnqwgHLyzOWZ6n5fNZ/hvjEk +9X9597z2aL0uOGXKB/dVTKWNro5yFvTfvhD7RspB2TdxbhuCNCcxOG8UYJu2IJJwiMV72GRNna68 +C9nQT1Nk3EW7BpEvqSrRE5uQ4cz86HP2QJ5sJe7AM/q5yjLRMJph8uhTeryTqF83tZRcpHNDZNBs +W8pyXzJkzq9TXivXB13d8XALpuOcLD7+SWXHf2VNZBC/ZnhkN8Dz2YNlb4ued7tCnBLlPJdwSfx9 +JPoT01D6ZS0TtJfcXouLKxGJ8YITYmXUU9/6lNhJsZD6qjKFeFUYnuIDq5z6cyNTgCXMZGUZP6vf +4BS8dL3EB5YKFRBQDj1AFy0PRLqBrh1oN9+myQItmVFbFUyVCR0nmhD9y682lWjgtwYIVZCpQyDm +uEWsdYpGdEr+WT8Zv7MMvSHuaTdhcUahU8tLk5IDeEsORxfMvTntID0wVmMupWPGcs1+cY91GBi0 ++KDsu9/8KBpdpqSSiuceqUq7ZGHYAhTaiamc8Gu/XFsOiIJK1PSPmXwVgYT1vK9vIAIM0ezRHqAL +N7mmDQqAuxGBUBgcpwXPdiEueIxBie0Hzsc1y3FiRtrddOwtilmKf1737Tc29Xf+3tujibqc9oaM +jPECbclsyKD0fRb3e782IUgdnUrZloE74NNd2ZqjS5MgVhgNHK/oEM1IBapYsjsbnF7YfYwHyemt +ctTbZ7hEMKpkAMu86v4kALF9XZKwhv22gNz4QFXX1i+eDGH3Wmyms6SFGGyvb/ClxGSIacJnpVxH +6l/uA/BI6yS1cjmXiYRHbNB6lELuw0fpZhzKqMGKGfOqXUyP10pULDG1XhgvDYtQDjS2TA0FNmVC +OODLaGBKfW7XzeqqFNZGLu1249arb102p1+5GIenzacXSy61BZOK3c/3/u9yir1AqtqD6g5PDQsl +xXqu/XKWZmyW26Kb6/Y6a3Ct+gjtgkcbgV3mIPnngfj+giku75n1u4ApH1uXG2xPv7taO4F+6l7o +bGHgW+VxqBYZubKuu6XPAemmRcNo6AUOkDA9IzeTIR/CFTH2lITKuvUq/i3KMoG/6e1b5+8axVJc +L5Y46R3VIo0YA3U0dg705EOKZiD25Qy/2VX3gKo2Ayq7pkjOvLRLPBUzsxXu+siXT4vsyhEhvY4N +YfVzvN+PS7S5k53JO/d8ukka1xelq3OmnlkebOVGesLvIeRqkktyBwpRwmXVxltUUuZVUZ7IC7nf +ewE9FrjLi+yN/OSIKcMEGpmuhXY1fsACdrdaRXjP31pP1/zvixyZcA46pZg5XScSRBrlKHWDwd2R +Mwm0FKfdj5pbaIleoj0/damGtPK4wmgYRENZyi9Lsedy8QeojOyqR9VYWboL8aZzgLos7sPnstny +qCtS8lhkk1bDe1G3VxAgYQr4VXo7Vh1hxw0OFlV3N0NpVst+nfOkXmVXbnxKSXKeaIGid1P2uCIv +07/ZiM1lMLf8N6WZtLWqn5a6+Figl0jIORav+w8BQMuNHffRHkJR1CW3Fw6DVQxAkz4fAyoBw2zy +V1XcuuODj4wFyXjWfxWzfN1nS0goGl5ybqoHyYFfaF4Hm7F38jvNsAu+oo0qX8lJ1YmzRprc1CUW +ZAkbGfFBKcLOiIxrrTd4WTBjyJVbhfyAyX0KWCWxiJZ4htCTt9Er3XJaUx8bZVBjSnaOiyaEXH/r +jUQn+lQ6wc9AI9mgOdKmjPOgjwWCOuaT5sdVmBQK4M3hfQOXmvjxB0hq5Mf47+6hYRv1OQzt8LtV +tjovrGYle1M4iNN7HT4ptT8NWFakY2AeXktcVFB5+s3u3eRe3q+vWLgADbiskWIGualNO6eDtlSs +i391Igijtvp+qNEl2NVVLpMzghHdaeET8Xk5d9uU7IuI5fTkcoeEuBqBdew8ADoc7pzAoLodCc0E +hDMIRwV6/KKvYIUcLpJbCzKQAY4XBfq670oNs9RxGel11jvOoQHT+u16aDKLdUCZqNRnNxyzJRwZ +ho23uy2ZROArMmcjMofx+O5nd1tgPCDT43zDQodqwfOHYFAVaZEpAqaLpbr2vxOVZ/vJvssxyTtH +9EvZNzKwJ4cFxlFPl8J9DCN419j9+yqdh0N+U46M+jbhBlONS8iBxbOYMuk9qKGJDHndPtHUL1Og +7k3OvOb6V+cW7FeygGt/qrdNMdwAVJqOLoh2AzbJ4C0LgDsSp36iVY0z5hPK9t5kXuVNX7rxyLQl +gwhUPfoGsp7XCNlfpionqwA80o+br81dzYaPOIhQPF/oWGVHshmXtiSFUi66zCstsZDLEvQxeNve +3T5gnS5p1zg6EIkfJ/X5bh+wCkYT1wIMftBgo5o0Yp/6Z/2lYWoAoaSFmB557s7/F+PvX6DmqrKK +52UpLNTQUebeLnG0yHcSrKF2csXMZkWhOYq9PTJINeeTLG/d/EX0QE4QQ2+IvbZC6UwyPd7aWW3O +vtuvXdFGOCbsLR8HipT6EXRYxpVGhBKZgsHEh2BY4MerG0YgGvTuIzVksPM+AXmkGRbdWx/WmMXU +2vaauM/cBjlxPBSzCJ+MnK7YPXib8mTUv28AeXUsDRnkyXD8CrE9vvDSjqGgSh22m+rjzv99MvVV +OiEZXBLMAgtY8nSFuzZ5VjPTE1lDFwyIcvN5rk+AE/lR9iK7vhv2TUMUHYZf7tD4vbrBHN0DTsju +dUEI3gqFkrjJfFgnFBL0y+MsYnsnwMmzdZcU0fUcTM3lwI+mGmc+HZPriQuAGpKTvtIeqtHIj8nO +v909jQRwmCyNYAFQbVcstda88kMlWpr7wmzINFx2FSbkLBqNXgwt1IcVXmPBq+f3kDrdlAto0yZp +VtTpfsajFnrlMwm1Mw2mDJXWtqRbPMDVb7pHRmV7jzat1JOwFV6hdIZapkgQTKWaZZm3EC+kmrRQ +eKjHrMjBQwYd8M6LXuw3MhySoAcZvrY5DTIlr/dweE0I7ZuLfXyz/m33EHZbeZQfE/WgWizYJ8Pr +20FApOFJlRRCN3hf6e64BlC7bSqXi5XIYGtv0IDPJQkJkBYz369vUiB9k7YU02jc2wf5oNheeFKK +/pIkcLFaCAuA07gq78Cw07qyZ39Lcemv2d6FDS7PBzajO8o4M7H3f7O0s+LJG6t54aA1kCMDgQjv +0j34sbavBcCuCK0KIH8o+F8DIQWz0BgE/JocidZnl75YLxI4LJGqgBbdM2d3stXEAlxb8TSlOfvu +DoeDUrHApsEkux96A/pESWCUtiKXzQnqf48nhxLXbm/bYMl2HmUMo2BUCICEqusJdE4GOFL40bhe +lkJnAyMWPW3v06gyvgWdmtebhzr8ba39ZqdNOG7f5c8bb608vsV83YwzN5LC/EzMCvJSLLOJ0Rzm +/I155IMm805x0HyBIyCzxoWgCIjbA99gJ3GaVh9ONDZu8iiFIznwBogD2O+CKVafpUwXJJLJ2WNF +oIyPIaZ/aKv6y+lCcljyQlvo0i2srUnuFVNuLW0V7tyd8um2fMicmR07okgveCzm5Laj1H3kPkl1 +XGBnjAdJiMIp7TUjolOMOVCY+OD2Exv2Rk5k6rjhOqeHI9f7iCqNTFEJYEJhFjicUxBL6Q/Ja8vv +GCyVNm97EqvUDtDNhZ/Q3e9l09Kfq5p0FMM57XSaUKG8frc7QHum/wcN64234fqL9b0UcK9eVlBX +mUpl6hqb1MELLa/mQDVMU3xbvufGEQlFE2RWDwQoMKYmhycLGDfu/UBDY80yq3EErN6np/rtgCa9 +MoYQpcbhm69Sc/TnujeygL2NnKntv0gmaj3k1YgMneW6AGbXE0ykmZQgo00tyfUH+QH2Jycqz79k +CXGMIcB10Opyb0iV9TBiFkFOgL6bHrZsZfOS2bpDxlIsj1+lQA9VmwjQJiq5LCnClp6ChBOnEj9Y +qztW1vSaWqMyVBb2QerFdZH/YLsXvYtw7eAnxzZ0yJv/sVSMAx3520nzj5dnVo/iFr3aJeKHVUG1 +dAg2OZcvYqYFREMpz1DkniGnpVy68u4I/RZM7TnNaxG9cANmec+zy7xnydXkrY8ag6aaCO4wTlNc +X5gsc7ca289s3ujKlEvlG3LhybaQPqG91lu8OPeXsQoqHUgRlbB9wQqpSMz4JzlX5Pb1hTJxc43k +ni9blbR6lqX9i8+jtxsbS4HiO6BEF324MPARPyEjZCZ+7HFZdZgZYr3jwFIjDvZGtAFp2+FpRCKk +4ZeOsM4cw1p65/Kef4JAToz3GOBBUOvDZBvkAOXO+KFGjbhFzHusaPm3r3oQEl3iMH7fC4R+psXU +F7jITB/UPEXb3DpLfaOfTk559m5h6y49fQoD0amjtjl/NW7CmKzhdPQ2Leg9DobXSkLhkYO6YML+ +j9qpY/SblOyGN2JRI4SG++ibcfwe5h4nnWaCHT8bbg41qenWXJUYHpHlRt/UuxwkDdH/Kr6Jeg78 +HFW4Xe76WGQOuULTt8I5N4MeqP7lH3DnFmFjvJOIj43Znn1l/iImSIFDHmqVZsLsf+qOnoLXQ9bd +01gXdDxAEkgR3mvq1bvwRDms5M6xQAyRdrnmpj0q/kGI5jdlyXLEh2ri5zJ8XUvV949in9o2lrAh +68shaQvXkyMl9KClsXFo/cpKpl4vozeeyqACajJXyt/9jnMV7aqe82kUH1tvA2SrnuOuCkkddZzQ +E7oFnTT+6AGHUHSFaXwikTszyRwkHEJ0wXAFw6upujYyipMJoulGO6K4ydrNaaN6OnnCoSsqY3GN +csOL+aDqCxvs5YsAcj6WJHzxBSMM/RKYviQYjYvn8hZfVUkZmPugPEWDqt27uJznkhBM1DW7ZpTn +RqeA3VDx4E105aOTNdHA7yolUMUnPrEHtxuLzZx4YJgnXrVknLtT6SKGKu2ZCi4Uby9h2Jqz9mOT +3nt1HGhay/WqgI3X01m/OIGvJO9KG2MgzJ+41JylB83vYgwSeAl1o/wHNpiH6EyYDrGVJ5V6cosC +/zohnxU1lyb1EIsIRAex7v+llc4P7Wb/BO5CiEfK/AZKaqbeQ+SKoXEs482dsfiMJ5gMR4JJ/lsY +8k/fD60APNWiH/cIZCgJt3nLg/BQAQ1PHhVPNhDgC2Rz6nCo0kIIs3EOk/zZAI6Nuv3hQCFAiXfb +vV2sReoRphoqH1Qw4Qm/55N/baBMKS5KZM9ww321X0vawfG4SXFdRfe3zHBf8jWqi/3YM3keVF/T +JwegLPj8eA== +`pragma protect end_protected diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/gowin_fifo24.vhg b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/gowin_fifo24.vhg new file mode 100644 index 0000000..498a68b --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/gowin_fifo24.vhg @@ -0,0 +1,425 @@ +-- +--Written by GowinSynthesis +--Tool Version "V1.9.10.03 Education (64-bit)" +--Tue Aug 19 23:16:31 2025 + +--Source file index table: +--file0 "\C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/fifo_define.v" +--file1 "\C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/fifo_parameter.v" +--file2 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/edc.v" +--file3 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/fifo.v" +--file4 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/fifo_top.v" +`protect begin_protected +`protect version="2.3" +`protect author="default" +`protect author_info="default" +`protect encrypt_agent="GOWIN" +`protect encrypt_agent_info="GOWIN Encrypt Version 2.3" + +`protect encoding=(enctype="base64", line_length=76, bytes=256) +`protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa" +`protect key_block +tr4uTyjTC6ybPRQQl1Ho1FdeRaAetRnMEgw23NH75DaXXOeUL8ejGWW4yGukQ9GAE7L8a9UjQhi1 +Wv8JY8zi+egHNWHq2H/fYVhq4x7Nd7mMgDngeO9HB2ekxxkmBQOkAofubskB8/zL/WqsvnJcIgRd +NbobxhhoCD7U2m+xd2BG1MkGfF+yrC4TmfvOnJJiM8pzmEFGiS6INr2DfHsJzf4xAdOp0DN3DyRb +BcwThXPk6lrdFM9mYGO4r2dJiB25D8G6gw4mQex3sLOJUBHTQ94fggfjsHDqVoBwVJs29FmME9Rw +D6e2xIvPvj5lR/idlmTCNuS0Qch/yhM6djFROg== + +`protect encoding=(enctype="base64", line_length=76, bytes=22304) +`protect data_keyowner="default-ip-vendor" +`protect data_keyname="default-ip-key" +`protect data_method="aes128-cfb" +`protect data_block +Ui6eM/iI8GM3oWjv7qcNG9+HIlM/q19t7rBZYgbEgznKJb+5Q4x8So13P4HujKs34OVePTN6mPt8 +p0OkJNwvtbx68pN+xXCgUu7oHdBbVhQDa5P4zRpIfibumScRudqo3MUJ+LBC9QPIiGTAVtvqkFZu +n8vGTp2/y1Ywn9SZPqUVS5Cy4XNlW7/x4Lx5h4PySy7w4akj1ScskXSb5w3CdmFOItMiwj6ZOT/y +wkTu0hLmxJHXoBtevll2BcSw9hhuGn5QOmsNVvw988siti6bOmOaGl6WtxzkVNwHRsrxD6Gza13J +lGXVhXf1Jv/LN4QO8lr/LKaMw+pcUj30ZL+0bORlcJYW6FDyBdFYmsUH17IsbMMiLbFJ5U2Nh++U +PaYCGQ9edj7vm4Uz4R9kCtsj87BsmJCnMqcn0gdcT36VvDjNZvflG7+/TVUTdOAHVV9puS07moj+ +Uev/7yMdjJnEs0iCE6HMPsYrZZfvnQLv8+rJF+ZOOPiv9h3VdIW/ZyupJlbdncb+Dr8/wMKhLNE2 +l42v9OKIJ2YSj31tSoFUw/Z3G3kO0fe7lsZNkEH930oFL11ZkypCWjpodH/lj9hp3YQVG4vwd77b +FkJiMpLh+Tb9ap7uH/WXlJ30QnPCqgtdl5fNN302SS7IQHXNfFhyiXKmFiiuawa5wsZSmFliHvBT +TnjWrH2voxShd9Bzk53856/4n6Snw2vMCqkpHM9hqvR3x8ReNPjojNFbMHmpCxZrv/XRNv2JX2UB +vr4aqBhzDphPZhU90qXO29PN/hLaZGdgV9N8iX9IpDvCqeyKLLcGPrHW+wBQYNSGX0L18/7wDrQU +GUuQtIzN8xxgQqgwFUmqxDcLAK+0SrTYNpezd/W8hM8Kmf5g2hMGSTolQMFcjb2z39QtA1QR43Eb +aemg2zNK/6z1VPeNp4Bcxov5OGF3La/9jm420y8Lr78IXpB3vGjPYl2b3kRjF6+xuh3bAlk3yMrT +PJr2NciNbVb1SlbYj4oL+8UElldOHXv8Z6ZLLpamSy3DISmhKSggtEq1yMka/5uMmP4i0LKWJQHc +YySWcYg82kY95O/ePqy2wXPpi9XF0qeGmPvIw98iHJEv27P2lI9cx6I+/ESFzay2eSiNyq+D04b5 +qg5KvaAz1JRnRgubz6m45ushx17jfuZeFRKIIDJwCB8LshD5xtIXIcXnmahDLzZa41d5tRfxGSra +ZrLUEvEVeCOChlJ6g2hOQOBaea1sUVpX9CBmTn7xAgONoBS4UKUE6uHhI0P3iyC2NEhEdkl4x4A0 +tsfw7C6f5LZyWv0D1JUW8IdyrdQKIDztQrgLOlNH6v5pp25hdAbVHn0c+WF3YSd1+2FhIWzr3qXu +T310JmBiEPZ/EQjsW3WD1nGShu2pY5rUrUI9H5Tj9PeKDxircw4LvE6+OnHL29bh1vJsxCStY5lG +Fe+O96mpxU4Tbux1w09bnXaeLUNW/tKUZ8dIq6027GBgX13ktLkh6HroCJZK81mJOJS8Gun76dKK +5hcR9JjFA+/OQpqvr3Q9q+XP0yaaodqUfZGYSbNIWQTbFstubjNgYklHjI9W9OUdRJAYCl26889b +tawJU/RM1endT/LeveFlxxHZqRtl4Fs86OSDAx68gHCBZeym7LFiG7yG/efnMYcuJUyTWV6YLOks +gDcVcupEnPjFB9yNnk6ESOms1dMUogAT2TcCp39rtq1wXNEuRS3qhKAWBT0vAssaeP1OnzbTTvey +3guhe8Ms82eC8mE5h+wZMzoADkbhzXfD888z2Y/OqWuc86fXnbpk0VOHxC7c2M+vMWaNB+Tzg2fI +HQi00HAS+8zd/G4XIZopET+sbvFwmIcdb0QklInGme6sO/FUcjuzoMxeVSKAKM/8fBsis6b/irhN +5X37H6iXfkI+8WpTRmYn7u6aJqFXvfzt8h+aPhRAatBa8OT4XIxn+kUNeV0fGJpXMcoXnBSlLVzq +Mh5xQ+7knpOeVpKf+1g4HaJR4+zou0kcr9lRpdBCeYOA+gsH0vShbRc4pgKHwR+LmPXrqvTkjeZq +m03lbVmKKg/SCGNVvLtDflM7AIH4JUuwEXk2pIDdnuxxXYCA79vsv56rTh0/eV6R2gAvrG4akNhB +i1gE860sQlu/X/rM115IAjDVcZnMBLG88UJRuN6AlGKn1tU0tGP9wFDVIRkKJ89FTa7n8iOJ6KWK ++L8l4XtwDLNyKCpqUhWLSfFy1pY7FU6ZhfQYSHzYSqC+F4vyJhtwVGgB3G3+ozMlE6tqXesMNEbu +o92vq1IrmPO2rCcaU+42+CUvfNgtxJs9eTnOFhpy2bPQ2vBzUA+h5SgagSlK/t8pN+FwT0ksrB9D +eJ4+S88Rf5y6ISEUwe9eRQPIHZlKrhxAl5nKbeJMaegpYx2kq3ZLXGiO6E2xna8AFBpsWwXtIBh2 +M0ELS/9FMaKqEo6TvhR+Gpo1YwDDslwfdUWkMKblhB1A9rsFfmEmqaIhqDk2Q8x13PfUNQqDpTXx +dgBXYvrA6vbJ8lLsOhNCKvtspfqcKJRZQMF2LNMCKxG5ynKH9ULRTqV+irabuh6wGy7bv3tyN5St +J8Bcg+4XKLJZbdS8PIrICrHdx3EMShBWnB791ttD8N1IC2HSHBVQM75LxMZgffYN/DYRhwpTk7BV +GNgADS6k5sLzUFZU7+olx4rgOnG3NnO62hruj18GBZMCCz7vU0CCCcpAIiOhUfR8MC3MbKvINsZn +4L2ybMUUBK30dq3cYkx/iuXebgHErw9tNLwmtePd456nYB5bWVL7i4mfX5AtMr6WgPNaPN2JLw5i +GHgBeD5TH+hG8cMDCRVvnf1lLGD3VjieEFJJ25nZH6B6QXueMv9WeWBRH3UWKTUGGx9wuWKMoUHC +eO1YV6UkgBixprP77gixEUoL+F9HJrhtMT69P4QBQPevd0tJaOAg5mNwxXvaskN1PrPR3GwkH1Ej +JgWyzG9EosOJPcdXp5XpNfX/FSAB4aHPhy+N5a3S1NCjGwI/i2lNHcTgs5ckr96tPoQnGONkR1qf +XGdzAyvC8ZRnRwRuB/KSWaX6YtmLdftE07dBOPl+EEmTlnQggQDhTRbyUVH7u2cElLpLtzzNofG/ +LrbFRp+CgrBm9HoQBmLAjAXdS/PNPvpDlv+Zqm6SSD0F6edn0vCxpTHDGuWb/dNZzOXGn8TwBRfe +vgWpohE07CXzSFHydl66N82W+bxaq8t2srJFFxMHUFWjbXy70sizBM1BwzgmWza/Qu9afMGHoKh9 +LRmqgdb4Z1/A/pYUj7144l/z+3Lm1rCtyKdj4KHpM7c89CcTgxA05MqTFroBUfdJN4xM+r2nvmu2 +gJuYv6HsOChGJM1dL3Jl1XESh1b6FOt4AYiYsj0ZLedmQNDWfP0CkgbCwI1755q85xQd6JUJyEUL +HcOMAq7tw5WY9l+oOaWr1O0HlUC5Ip0DvFKtp2jrA82XXGn7WLmtHNf3F2h5+UyWldtg9H0YBv4R +UQtK27ST5t4O02ZMor3FuAuqTAteXx40RJ47BF0beNmgFTtHG8ZUPlrhMS9VEsNIMf4T2PLQiWaU +fWLmvKqSWiwPulJWW8GUXVrk8zad8crO65nzFVtWyZmn4P6O2fVIbVLzXMmNWlvMEW4n6QDu8v66 +5bksmJi6bt5SESFBnH3tej9GnezWGOpOUhyGFLln0UA3d6vjcL9TZHXZHwZUVR5iyv9sWomkFB2R +878t3oZpyL3U2aHrk3nEe6QBgVoRnYzZ8SuQAcn/wRtBOW5sl8Kr3hBE8cUXmxQlbM1B7SKASCeZ +LFJ7vMN4frzefPSmgI78Nytuyw5CuTwfj9dQ7AA3NnZTkH0q+LuTIaK1LQShSiTY/sk/WRL7BMgA +K/KSS/opQcUReClhSfdvQnWkbB3ScdndrFxtWx/kxwa8bJ1lmMxmqZV6NTGiWUUTJVCkh8gevQRS ++0x/KmJN8POz3u7IPG4I4U4QU7+k1FwyuoRmfvf/OQHhUrPHeshW6cQLXjIj0yW59XB/MV4Cr5en +te1ogqaulQabX2glQw6XJA3GvA9M0rrhbGValUJppmPmayRGXl21NXO7ezCpzBRBNjPBPoVlZOBt +RfSBiJxbmIgw+q77yNqFzGf8lpyFsRqbdoJjHHQiFIfgRHgHO2/I89K1N/pZ37j9rTwDzL51gqva +6CxvofAI4VzGyCjENlzAMrtO4JRZxXuQ1zl0ZTqgBkR9POnqUAMwx2OPXVGe70xpr8TcR9nPwdMb +INTy//+EaFXlNp5/9Gm2Pr7/HCdnsX9YvHQkhiaX3/1sl0wnr24tOQZ1H2qZc0pIO8J5p9W1V0Q2 +8vJyhe/f1fGnu2XpmdOS8OhUGBDNJvzLMO7KL/wnmiw2dIp0JXleE85iYIMyvlaR40GPmSTOSgLb +FrDn9AHpcIbp1iA2o4paHEnrjYcyJfB3NAA0ph9y6M5jTNrPNe+x5jR3o4EBTcF53x29aZwHuNLi +arnJ0Ss+GSch3GHAv2maL/AD7SZn7pGNZd4h78dn9RrJRyo6VHHgV28INDff0Haik0Rc6YlT3+ne +jWRbH7Onn2+oDFKWyP/1gYYyRoYBXd9Vg0vsru1ylfRUC8oD9z4SMzCo7dgVL3odjr1jBoeOy2+m +jNtYdn0B/AWtNMQc3kTijmrb25KqawAzvlEHSFmENJwxEYWBZ48c2nwlAGBdI6Kxx/m4Sl0d5FEF +Mk4LXsEVdIqvwlcHL9Axk7lE78VXoRppSDS5Pc3uDVZO8bfBxLCE1hBsfwuwS/1d9C4iNcBOLhcL +NmsMc6GZD9PGGcXfzroCyB4SVyP38BcRJnzQ0mraWg+isH8+IK659WHhJA2Ahh8KcNTnHEvVJF/D +bClcGYvz5b5ztVVoVvqLwSnurDIlcC+myAXyMGxg9Fk2JlWh+QpMZTCkh4tKBck642j7lv98UqeV +Z9+UZtrtWSZniZIPgxep1ww9FJS+ZHRuP/+Yerf7IAbHFgI2d6ne4eZGVJNV+8/hBQQnTrO3enHP +sxO288aeUEq8z0UB+0mrBPrEyqSbDgg45N59IgbR+3/JHywsGyFqd8Y3uuL1bShjaLFoLEWI5Mms +aIR43SaFfvA12qEL4Z5eTgLBrvnVr++RXWlLMIxy3e3dJYLHOhedhA5yCNWUdZegxfJJH8OhRm+I +E3ivA+XIA+DPrko8HHOniWjpx/cHynDzePtOwwnTCeSfgNVjPK7o4BJl35ZK1Nhom6TsykoqB2oB +2Pc+NZlcCxggZCXLBuIT4w+pPZJxLhfyf4Tp/6NkaZmPu8Ommlvo4j4PKEQ+Ien9/oa8HmkeeF62 +TduvTA0/P7XNvVK7X0qddTnatrHAA7I9UVryWCBgQDsp44ZBtMDWh4qjrunjHkTmHOWiIxZG3XHH +y4RVzAjz4DfNcqduqlmwvF07c/Va7wKx+VP00XjfspVOR3Mw2X/aIGgLqyHH8bLazkrG8YKkgrLL +I3Lov77ps2XuF5z0kMqPhMGFl59JxIR0sybRtwTKdukRww4Y44l/dzI0KQkPHOt7UBZWs7TOZ9pV +le1mciwCBWqW1yuwM7iORVYS+JBJpXyyS8XeqaGSTAyFooA9gc7EYLezeRM0pKbCsBRcIzg4wqtM +h4q/bqi02FZtO39oJlnEQV6JNwjpMDWh2hNh+lXbdY33eKCvCAunF9Gq8n/qy9VUhiBY7rXHqZIt +LLlv2sdqvnF1RQ2oyXl0jtZo9AGsUbdS1esGMQ+5YEjclOJWjPDkFsBrKnrKkYh6TIozgPL1Cm1F +anNSefdl8a/LCrqbVQCoGRAkG27xRT5FLW6jgr7JL57DgVKo/sw2w/Ki4m4r2YUKgCcYO1N5q8Ee +WT9SCKXULZBUP/uD56c92O/epylb9gAIjVSMFTP3hmhDfeU6vUcH43PUSkf+xuUQHdBCIwaLhADX +LR/17eIWT18dsia5MX7zENUxeySJ7/eXLhpD13Uv1xDOymIMMYosZnhTRorjdl3bLOaPVEFV7PxJ +xvw8BqJpZ1iTHZBc5zW1/BdEJKO+QFVBlrxWZ4TzM1cbeaKP1v7t8BrovDxLg0pLRa/Iq3b2rapC +UmFTrJKzfiVUYaJ5DaZ/u0HPxG2E9w7B6J6VdjS24kRxkjcm6PRQwcYfaG6QRi0QbuDoNjqdSziT +FOI1SsZXEXoprKbD93pBxbbFDyvZ2PKRd11tW7v6vW6WcTmmtXXGn/RnZjEZE0/GVFC1EsT2HsT6 +Lam3g7Vtm3ZAfqrareNoqNT4PcMIRhIrJCZxl57yxYCy8AEqzbWmxbAIBbU9EXVsXdRhB68wz2E7 +ANmx6Nj8j56dob44K8JKLCSDOuJqADzRAzznYB7iKndm8CrLzKNdRLTPRHgdmOVpB6gA0zK6K9KO +hVjcnyIvrGAwJz9JA1YXevgaAnH2Ygf5l65iJzYuWAinbJdkDtq1jDPAy8lMjoHZinD1TBLdQniy +hXPrQKidjUoeoHUZR6md/dvVgvE30pYc6ks49nPlVfkI2KAJPONZpFDnsGzaNr3iCsSBLrblGG1g +oBKCZW0S7SZUPbj0LgzgSF2tDN7YvqRc1O5HGL2z7eqvFshfbmkMnZvKZm5HfWoi22cnLfrX9K5n +u2xwSUVKJsHLXX9PBEMlySxK0+b98UZEXc1ZEeQ6+BvryLE/2Mq2v6lpyXVqK5+g1dKrp9mBxOOT +4iDrwo8r3jhFjGJ0F/N+IplgAG6EKusxSExVy3Odwm1JRAEM8WKjAjmtK/GoAu+4dWTtdRvrRmZl +GDAGZUyVWxTNClieJ1N71nQFVSomBpQYzotk4+LIAvVZDT+l9ZxIOqP4p0PdSd/saI0n1K9Cp7P5 +pbnCO9hNj0PZHZvDHO+H3VOB/wXE7xAAB4slGe+TcFHPD78AjGpgePMjyw7loGcrfbXD4HK+XYJ7 +QJpy1lctoTGASuO1JgbaPmgMH3096szDPGMR/ioA7Ai1GYXpzi5Wb82yDffaEVdaY5Ye2LGQUo4e +R0L85IJNjhhAmGO26Sk1FSqtXbW0E+6RxOEgMSikmN9PLCt3o8cpGoLLZziJKUS7S2ypszYF5EKQ +v8/8T3qn0azGV5cUnMYXirk/GxdKtLVA6mU6Pn2Dmc5KNOvp0VycGBD2eOad0AfTiyylHl4KqEpt +EvH+zOUKbZXV2uFhC7Yl7wbxbg+7tlETWv6uWwqt1km2NIR1MjUzDEibMoB3o5VyJScMjcliGCoX +4XXd/HVaIHVQ24lR99A5rSko9Y2OScVvcllMfgmu65LTutSQ7sua87FilA8LWhC69C0H+/LHSKCt +PBSXxGA628Xma+KBry9aNiaW+PH387GWfdrCVbnRHV5URWYLqBje9DaOePFqL4nncKHN3p/ROtXr +mYFIBzSmohuqF5IsxdokXa2UjcWpyjgHi7ZPTw9R9PYCDk3Ou5U37ke6jnW92gkg6vaewcI/fR85 +RbZttLd3y8mITstJA0SBVDls14KX2jkG2Fsy2+k8MhRo5xy4Dnazkw9aBxKssK5zlFzyHoMdh0sq +loVbNdzLWnjtJvgXol7aFfrAhDLVr/KqJTRyZDHWUKTCo4srfQvLZlW6Ty5kkQiCsOqNm2J+BA48 +uUnwOR6gFkEO/H07GpYmzc7ENLrdU56VFWZU023aTkm9IIzakbgOwYQ9Q4TM79SzQSOxSVbx9YgZ +mHUZccZG7wKoL/7mAPRzfre11Fbi0v8IvJQG2BDMPgOUm2MQtqTAf/M0cezVXiS98mOf8SvxywQQ +zylnGO7vtLNmo34Nsg3rIjq7nN/q0arDQCxtUjTDu3POtU/ksSrbQo35YtsOGTwrXsEQu5X0ie6w +kkYrEAz2lzJ2Yaxw+zsjBOEaUaevtg+1gcm0OI+EHKvNjgYWRHeHotzFMocF4RfLv+AJEusBzvNS +qt7o3qqu99gqjxsnDMrwzz21T16DDjtjmdK5Dbu81/zPjJJG9nRG70AkwvNhuMc1DkfDjsi9a9fd +FB3I99eRZnTterCpIHpoUweie5Z8h/pa/pY44xTNOAMGZPKBhoOSVeTt313oy39EUSGrFjn8PNQx +/068qJ6wsynsOsNcrUq4fyus8KB1VTCMexFy3lgadzF0rHcgF/k4txNZfp1B09gTGI+LYmypx1RA +yPARAxeMbFA+xv++PWTod3rB7p9ZiVQ0DtsdyTv3SGX+OzEW/+F2IzNt0GDaRdmvoyyRaHxzic+n +Z5nyo+yV3ERClRtgxYcbXTICyckQFlJoZ5eDHOH2gSeCdxYApOLskOUyAhVJskJPTFg0hwBB3bYN +UfPuR2sV8e7FjCQD5abxGqfl7FKgzbyoGHzxmiwv3iQ7b9blmZ0/uk+07eKLFHmVbJbfxRZQ1xOq +BBMsAa7Sml3aXqTmDsIYKXj9gHUiTt7RUfvCL+OyLorChrNYCg52aRYuEDzpIw7J80hO9QzD95uP +puwpW6d2v+FOLttzCREMtPM2MTqHO3nPRkDB47sYGf1sTDq2Ci0PjJuOCqhxB9NFQwLsirUzca/C +XqBTBEfMXsvLos7x9Fdz3w6aM17JHmLYoCFW3oIfjb60JeQTWMbizCiB/eOXoZxePycZkjmK7ECq +XQM399qE0i8CoF+5O8tiEtp8UfCJ8eBeGRyS3PX5ruSaHRrObthETz3l+W+u2fiIZwEHtHp7VDZe +WC92jLcn0m0v78SUO7uxerBg/4x3nj+PlM26ReVfmG50AzUY3OtNRxaX5vbWrGvfsNKa97yYpMh/ +Xt5FC0jOv2G/+hUVZSPXINy0gBrP9p77UmeXXh1h6fef+m/bIcXhCMf/8IMCCcBgi0nEDwz1FUcT +ebT0tNTjcaA7hNNrWHqaxDtRA24iMHmXUNozutWIRZd3qkey3TpRclVUbbeIVrhwqUmC2nCBQH/m +h5cmmQNopZuAxw8KTml8K7RykUChneol+BFLgsuEemo1Ss4nKv4AtX3BR9i/nHQaR0RpH2STbupK +0NcpB+x8SS+ALesFBBdTdY9ZcprsSL7N/6LCk6VUsR65yoLRpj/wk0uBDh0JcOs4zF5g2uzKlXP/ +XkIutlbBSV/XbuFrSKHsvpCVFXXT4DRXesqG2tuYPGiJSfl4p6aXdJK+527CSaK2XCdF1l8AOK8P +svsiIJ3mxucvGL1T0mS7YsQI8jQzzvLaaGMgMa9WNryg3+5yJ6RRmowOa3ZNLY/lKoFnk2XqzDaI +gYm73PGEhUttv0jY3d3us/3ILOQ3vvBBjQgdyYyPnAyriStCHyWMiXMtm944F2nk5cM4YwFzJcC9 +EIvyoVP7Bu2/bbW04PCKm98ESCsQkgdaD3wYqgTFGXhHXhDs5RupyFhOoBRHE1FxK59aFnsQKAIc +qhwpIX1Piis/mmDU6TyFBHeElasORNzQNXUHzusFpiJ4KQPa1zpvZk80fFtv9v9PpB8h9JJtYFQa +pltlNyL6K0aPSJVR7/QdnfqbLzShb/xb7HwzstK1sm7NWx7TJw6ztKLImasEDycshorJ7/Ap7eG7 +jlfyoYPfJgGvWS3Beyp+hW5qxBQWtP68v0GYU7rKXTUMCQriGJsgWxbSO327Cb88IyDGB3XxeER7 +ZgkCe54epAOqYwXQiLffaWZNkYzYYGlrmI/sBpWUQYReUNQabAUouOSXaZ89aRTN+LOJcbTeufsj +CRDHPTWTgf97IZpuzpcMd6lKM9WVcExETWubqWWNbDCukMM72PKq/HGP5pUaQSbJVAwb3GGeyKQt +3RU1FW830T7a7fTP8C3xn+ygC79bXKxk69xi2/7BzYs9Xq7Lb/oBVikpLRi5xxkTuniGwf5KEmYC +2vAgtlSvEkEozfPcqA5+lH6XJUKWDF14aIA/uKQjKmcRW1aNHdHqqTe+ZMABjCh4V1mQ/DTdzMDg +iIm78CObhooQSANZORMskE3O41fOELxTRElJ94jStoJQ9BWJTbtC2357PSn9EXBbs0uUyWrg+LRN +V+8XJGGoe8T7FzASP3sfUvGhGRzS1TkR4wCbdYdqN0NXWLlTEU9xfXZ8zUywD9jsSNwiL7IMBJOO +Gv0bkTm1sN57SyVEih+75iRwFb9WgRehRQ23MkHkbXo7VUy5QPtdMYfnBZkeUjq11f+z5TkzGFIJ +7Sov5ckdEFfKSKC5QWcB2FzX0gvzsEXTfuPSuMhTH8Z1od4M1Q1bia/bN2Dv+maYF8BUhihBTbOI +88uUSkeUeJXOUIFkGim9dQDx3RSAW7nUX3C4cDKFHRJCztzcb3qbqwn97uRYif11CSyUoftvhK0j +f9K8TiIFRKX72c1SJ1Wcy87C+OGqRD+U7WaAVABQFWcpE35eCZTd0RhXh1TmfIFcQUVuPmdSD2HZ +UuS0A6b2QxdBugYBUIiUsXGwxlH1fVvS1hAVVYEYWgUZQZrdhHbzx0aWexiuNqlnwTv3TUIMQPYS +oIDaItjjnV4DAVbeWq1ggleXBs0E9H9pPxkWb7dEyWKTSr5JrYBy0bCQy4MISvZgHD08O5FBpj9c +RPKYNOvQJip7LkPTt+gRc30BXAO9YIQwxpSskHUf9mLVkXna7hg+q9dL+W6RcNKmgD8B3WeQwpLT +Bp8HKt312BxmREiovL+z1dVW46RdXKvt8Zv7bk7L279OadWIUEn7+YT2BI63AtIz5q4w3jpjTaXz +QuFhlqlEmfondSdlVfiUrFFN3IFwUR8wU7kBIRDp7bnu61SfTdACh8/MwiZCNnfKhXQlD0C/CdVc +ZBuIR0DdBVfHRaIQV2LTDda/cuF+FRJuABdRrOoUBiCk51PIE+7SxgPAPnwZX9IGTw4c0j1CXOAg +Yi8Pwih2Tf6cPzlH5qyAcNwJ43BSbZEE0A2Wf7lQomQHBSxcaL2dzJr3GesCn44ahIYgLunJsTl0 +F8zf3BPyFIvTej4RpdrPOFOKwpXMT1QNcgGsGOc+zfTeCDXSKiVd5sJWSpxsN/lvdM1xchKc3r4k +sf7nOCWOpezO9WWsHEb4mDuRAf/udIfkO5FGKsoNYJnKPo7qOkgpPhXJmfpup/VMMATnj4ZbQhIG +bEpRy3Q1ajU+N8QizuuDgGN7KOyDR0tzGl+PVG1eTYQNXYUQxeCZZ+Cap7ftVMQwBBGMwC56QRas +Jg4jn8j42ZMv2ODrqNf3StNT+IuWqIYTKbWa/Dbdk2QN58n218/UzZcQyEJdCdC5eKquXez2q7Ua +l+rX7rL/+opYxljO9FcHb0kfDzKMmmkSWkK0+S2AY0sPTgTZwI0CTwiPi0X7qfsHNxofSw1fbUxz +TAz2SgXqmmRSjI7jfnKXmdJPe8mQr0xe77QHOmqh02SqBGwSzGKPdiXcL5tqiDurHjInuKMjN1jc +ufZ5DbEQr4bWxYCoMyIFv2COs0OApcmcfKTci795AahzN46o50T5dQ1675T3rGexlVRq/0vDvsT6 +fIMVjrkoXY0+SkjkGVRa6I2eDoIzqqHHbNl8iwyA/OdtVNjUV0eQYNHsTvmQv53YtpgxjQPTGclH +xKKcdJnSo0XvyU1mOg0HapmU/b61j66TWsb0AFntDaWL1kilS0Kc1vnCLybCmgopHMTJkrgWcEnI +Y9s4pIGGUVS0KR5uRYq0Iq+A4DSRsiQDCEF5AKYHpkcQN+CfT43/O9THKoGt4NvhBEdXHPWje1zz +8eD123pG8dOHTpHf+T1eIu3cXNFBkz4s7ebTc6y8tZmGZlGuIE9b2rpTph3UAE+fazYPYkgeoail +NfwU6zZ+FvZDcOCigJjeKI5cz6Z0osLVnR21NbAOW1w4kpfLw0D8zOuHI4qv8qJX/5u9sMueGBGw +2U1ampSPPXTrn7CAgXbKoRLgrNs9TOW+Y1rolXbb+Okj7k1zSXZoozmaoKTiU8B8pJEhujYkUDfv +ys4O15UYuI2BrXntM916/bFGP2IZ7EyFIIj9Fwgyr3CS+wZIXO7ECz2tH6Y8hJflfRL7jKOnMiy9 +3pGu31pjrqP4UGp8peMg7XKrqt6nvGyKHe7epfiHQ3miCofSKikQHyabiZ1Pnil1TW+Llqub5vlA +r6D/qSG1NFgItL2wJkxX9+KtI7ERqSSeOIPJMC6SzNXMI362NFKlDh7a6nQbiwdb/gWrCr3f0Ap0 +/bmXJj+THlffXUWsWzrtXn1NKkoPIwIonl+pem3rNZHXgFGDvqV8fzb9LMiYpGnJRm8ZCCEQV8kb +1S99wfGxHwRizuRxcBTI6eaGYP21g0TgTuYiYxLpaW1OzYcXzW4jGbabjFoR9Aa3OFcl4WpfDcuF +Resyc9cFIYCLME7PYxowpGBoMx4sIr+ny2c2Zt1zmFaIlbhdTQLwrIl4vjSeGWEICOaBEes28r3o +nJSv6aVZK3nIx+7N4K04E76mbFdxaia+c6Ya+kGyK28OaoVDmRVwtfKsgyV4+chPtZYrSM0cym1B +OQL2mX+zDjqKWzfTytuuo9ITmuAhnuW+Yn3XvcjjPJog/bKb69HP4GCDD9Ec9ercsVg0fQ+LetNB +YdpubK6q24hwJ71KDlQbpz04T8+YhA7mjosOp0675VwKwhnhh3OKSkJsOYTm+jO1kEYQLT0nEbQg +CtWXzO7784mWtlp2/NXk8JH1hVAhWhe/gbKz3/Nmahrc9A85ZIAgtx9dnwst6Lin3xaoBmPaMGZP +TQvkN7iyQ4mOzcNE4E0gBCSz9tRChPOt5Z6Ol5vOznWFFKZO3U5tK6875XvFg5TP6C+kwbp/SIWE +kM+v2JK5z5ZSnvAFD/7XooCEucm2Oge8Q2KlINc24w5kpaI8Y1HIy6AAEEDBrRxKcwpCuNVPRbEx +Q+k/BSxWJlB4AHrF6o+ToP/4Oebl7V5xYALuLK6wktBNxM+UC5tji+qQk+U4rS74SqTeZsiws/CD +eTxztASDY0t/ZGiim/D5IMEd3EkycibBg3AsfNOMYJgFJw6AAXLGRgOLDXcRShpLdqGnfw136eDv +MaDNZdBiiUjsvnHA7gJJNyEaQ9ul/GHj0LQcP+5DPpBK00SRDtbRMXWCKFyM0KUNauLkUcRI1G1A +/XqaPYsEwCx3PvloCAjOaI4NParISIQuPFtPGdPyMYBgyFiVBoH7uxDMO9rDkpVRgYWeko/sEaYh +fIpWtVaqbcsRoVsb9qcugVbp6R5FyAZSa85tCKQSySdjgPbQpAziiKn27s9/PpFPz4YKIJhlak/i +3Y1CBnbYw4oxf5qy+ACxTW2YW64VGnpzF8dcvHwokgsZXDjnrJ2kQsKC39KxEctH99OIB40qfUcR +0L4DShI0IKCEGJyRO0EUZJo29LLWOmTnL/AX4/k2nmbN47UnQNTanh4+99pOfsXv8xSeLi+ftFeA +k1FdjrlnmMHZWpkmgTGFO49dYotttuqfQmAP/xjPPj/a82UP/Mef2cG6hqkZyoSlkwNx+qf3jQQX +dVfKPbz61H9zKfAcX9tP5SSi92mslyIkvOqGUifi50IARPjy/bOiKVuNuO1zTUo8ueFwA+FcoM3M +wiNhF5xWyQpiN26iIU5mlqrV7eQqvJNC9evkWPh5l53cy8QVo8+D0HHjoZGzE2vi6yOTaLNyR7Jl +Vpb4syxyL7ohH7TorZ0y5gUSBK1s0K5f5UtF3LVKXiSSkxOWMN7nQcf+gcLRZ3TI9TFTprkIdCdF +7da/hgyG7U1RvqLQla90WCw+n4AHLoyumyT1KOZ7OsRMfScBgkcm4Xx3xLZZJtrdmnEwHNTys4lM +JCDlPn9AqgTabTqReU7YvIrkDA3e41j8Cw/idGhbGT9VvEtK/73O2R2pnEy1OG+l+evLTzhJMpBb +EgXi2fBWd7tbWQXsK1qEZqIARuFNgkzH4DEsdwP0aMTl4mTmCXelSmCqUsCTd6ccNGqmgJtvoQl3 +G3Tk6KBpLNI5EiYjluPryoBjs1o8kTiVvQiwQMdkZOAWrrjlxpR/gpUzLtyt8TJtpcy7vkLL6L9b +KYgE6v8+EDIkZTYxdfYSFfWpZEQyJWKK4oOn7BggWwI9Vh4d1U0wd3Dufh3UaMhYAe25dYhaCKS6 +OEm1f5D89qtFOUL19XZko4hMc1EhYdEvvLKMrYtog8o5VvJyFNciShepmcBqEWQR3tvFW9/b15nu +L9ElACg30RbW2M7WQ6czOAfzp3CEJnmmLel+NCmLsnDM618OX0JnQaaSs0eV43I8d30Bj7hjQXT+ +/4IteD8UAihN1T49CcNlxxdCHs5zu/Joh/Kz4X7VrOaH94q+FsB3hsSSck70wB0SyI2QaFmfdvSJ +voK7ft4rRY5HBYaRLmVo4lB8QOD3kZ0hkItmAnUZ3ZQ+ZCztsDxi/60ds6jaQsiZ3205dSez9+Ek +qsvussMwQgbBD36zbm7ZzG4pxKtPe1/By7kUzwkIM5ku/D2c/tn+pwAonK7Gl9Pu7YZOfXIegbco +MCW5RWycBJobex+3PG4cvUqdrg8vXhL89H9J9t17cu+xsuTy6RN5bw8P/MhJc7CblcdatXfxB4kK +PP03awySrtTH64yp6VdzO6eIsTrqudvNvKaX+KYHLvJr8odHoA8MtwrCVlSoER891EWvWCsF6wp9 +m6xaxY4KyW6b0Zca6ZSfISxRkcyzHhj7mLAHENaIUu7kJpZbgnZASaCPuehUZXsCYJgQps1gSzDN +nkO+YecWY3DyRMgIFa9JGE6/7LxTWHarHPpSDu7hwdmQXLNiCv/mJTp6Ciq/vxbk5kyzRijZf/HG +8DyqMNt3QdF0ngmkn3IypKD8h9d2kNgHugxzq2ROzb0XUy/s/ZXK8rrxupiB2NMXQdmMbESwafnc +8E2QFK0LmFPIn3h96mZSps5YSMiD4Vo6TeSbUZnMXlU9L6UtXQXE+Od+StvENWhaSKB99C/Ayfsu +h7ffbg8ymAlCQRSFErmPn9cMHYHmAkVpbhSLZ9EgUXG/zyGsh0snpjsprNQPXyeMoUwHBt5Tfeuu +7+vudrxSswuE3EnGMNiBzC0oBGyQqf/ofxyA6vS3a2sM6arJfoQh1F25nynWo6XJpYg6NEa2NQgQ +YyJpEX78aQvAoR6ZRBCky9wPT1iZcBxgoMVVtRlK3hMN5HvCrlR+2vTArXhhh0NIReLdxyH0qDOc +5cOk23JhbG0MVG/m3hyJu4Jy/ns7ZKtJKq9pEUr3REwec7QawEpsTdKYaExm+WjLSwSy0GzsRP0R +pX+b2krNJwxMNJHyNihFyYc1UuhGZOmsTEN20q2q/4aj3MWFgdRmUhn9RlNj8hYpDfki8WxTokkM +AVhy4J3QqXhf+Ce5Y3+a6Lih1SbQcLALN2BaliPRlt0mjFZkGpwUUPThUlPhLoDe979tPi70xn+n +7ru2eh2w6CfSQDwXwK1/x2o4vQ02eUguvHLbQfn9rElYmLoFtSQiCAKMvkruf10gaq/bdFPes1a3 +lmFxdSeGThuLrZYEJjYM75uMTQUtdQh6XbVFFjXylfsEXYEFUpYqGWuD6mM/RfYgJfXhY51Q03J8 +921m8PJeVBm62o1cJgfeDE/dXjJyMXslzMoPIx8y2GLfavtcPK/X6wwbK3WYp8bkodBxTrlTlqm/ +KyuGjXCEBvzHIx6kJcD5olpCqABF1bUHv1ZXSv/kFN2o5bwrfNBykQ7BMC1/1LAPHS66BpUej/YP +JULGv8wToxskB/j9wwd77vI4LuyXfnSJDB0VZnXS/4cZsdwMvDIt2Cu/e5IWE901FQjBFaUeEd5c +PM9miCgKmVlLkc93rZLEqf/67y6FaW0tVRNOEk0g3TN0E7p+YAoTvTAUVzcXrmTEjzQEPAYF7M31 +BvC/voQqZYDjFTNrsI0sOvCl/9cLFCgufcoEsyNhvuUEddTlZPiX0gdypshAZUsI+BkJHy1Nru4u +pbYN4X844/xD21B2o87T89UMplfg0SGBbBF+ErrTpC0wEXlkFR3ZAUfLzdVi9XmcxivE6oI/uOJY +cVAskx4vUQfi9tFdwF/GXGheHQxswrujKhX/4fFrr5xxO4u+j2cD1yOQDK03ZsU1gmeh6doRgGnS +mYoCsgP98EzJp1vIR44PeEtdCIvTkR9erPyJ3rI/JDREGHh5UrUz7YJbedxUHMbBNxxiJjfJ5P3H +l/S3SID1/KjgIAehEpwUUCfeBvlE2hOSZO0Y/rpLH/RUg7fodgAOgKK3DoO3AQ8J3HGo+6RnnQvc +gtBXH1cncdJYXi+49nalvNWNcWrhB2kCGHCvrxzzdbS1Yr8p0Qzj2PEL/u/4Zn/9dql06Eq9rmsy +UqLTzc+jVdcNEwju0hTxM3BoKGwEk5J51oGNfNPPRdMzboA/AQlM9t0/gvDkMjpxybCR7kcxl/bJ +7+MJ8IdjRLqbPJtqSMKW6WJj2VGEkAttFijkOAvIKqoRYwcq4dUoiQHYil/nOBEeP+cHNv67Yhyn +EVWUSonYV29shIofEz06Ltsn3rcnUWk/xBIlvtabRnlDfrn1VzZCo68t6e0tmAXZB4bU4BaI6rkG +hvUgpaJd4bWeR5qRQYM3+9LsgCe761Cbd9bGoy/h2doouHLdak0KkcpUnBvjcp2Z/zkAHR5MQnhS +Q1cemoNKHRG966U43kxFP8y5UWEYYlHFjcIpSAZEA2YfZDgo7LjWPwRmZMXQuFLTrwPI3DO7i1mx +IIfhCuiK8Fh9TCoCuyYEy1cZgmSkxh8nxtmhB3Ig7kcy8I+RpNBSJL55rRzeURoDHzT+A/+42yO1 +Ie1B5pYyWXdqRJTMtJbaQVl1a9UXoZIguOxWEb+ZrZZeRdXPKQvZSEGW/8di6dn87mw6cFeIJC5f +s924eMNZ2A150jMasjXwaoNd8Mw7gbgtqtDjb7ElZy8I7SLpSVJoo4il1V+0D9cnnJGkPAiFzm74 +JCNvMFV2fA3cuyPKTdQKd2m/HLxn5RJU+5GjpDUas1jKIkBEWQaz6Xa/x9cMG+8R+75D4D2crNBV +NbTTIF/nx0oRKiRM0CZAdt465Wbag2kt+k7wrvQXyVnqhAB0cqjFOylstddzjDCv/uHtkcqiJ9CM +uYDGQTo/zwOB3OOZ0yfUkKQzRSmjm79GPGpASfU/GluBN+OB7rs+OmcvbiIw8quXvRxYmejvrKbY +BzqxT/9VZdB7V3+kA6/N3DnMO/4VQ+Itnk66LY9YjUsW1Q+jIl40/TBdNe54LPlsrnPNznwcQMY8 +1yyzmYEX/CaxnzmLIEqYyHqs0lII+viTrKecEJGxgwK5+ORT6OK9eMm0Ps9UMa4kQDwvTBiyLgtm +t/Miwj7+F0/MQHgiXV57OAStR8uYy+JD1ef57BnonYV9G84SRFUbMGodlviYdi+iiW25Py3a8V2b +IJ0G0caWdCoSiTvhb1YQ2UtBfti8q/L4G9Wh2s1sNf8CmVq/LJeOAD8QzARHxUMqe8jE/ZNRDgmV +i+QVZOUq50+LjIAhG48wQHr+UmiYOg5/Yx7FC62zqGso28PL6QVyXcibAoo1I+J0evB89a+Csd6i +MZDiL4u/lkTpHbClEz1rTDNytxOasvhoR0/OOcQxw+tYzG5d5RJYnMJGE6a/iHaRshTkU3LFIUph +NrUkaD/BoGdhe06zDCcmFj96wlpV3ZLqYAnAwf2obD7xGE9ilvPTW4m414UjPgRyD5U+IYsYT6kQ +2dzW7f4QQT5PWqkwxohFkvcGUXI3rI4XO0GeUSktpymeoenSQzEYn4nMqi61a+8gEejbqagYOMOi +ltREREXZJ4zIT6buWrMRaq99h2N0H2CaZCarxFDDcRGTP6gIhNxD2kDC3k1XiHSFUNmLBLL526VI +W1d9SGjPmjS6S/MP1nKDXIGopzhcXNwBRYfZB6XtYL6RzGNEyqeLRJF3jNdDt7fzd21KWfiN8KEW +9TQ9aY2041X0YTlAvJLSDXi8zXXcsQMH9K/SqfBne1b1Y/QRleajnjB9199l5TYwBRvr3U+Vjhgf +wNoVB6lcvJhjJpHsaqKqgTvAvPzfqm1WdXG3kpIHi+QyekWbMFnzuTtXSN9C9K1pqeeAit7Xg2J/ +vmWZHZGk7wuO5pM7Ty71cOjmPweCZa7+PlcahFZHbOVbg1j9jW1XWySc7DTrOAHdsaxs0jWJ2TSd +H7sk2/Uw6wJMo2zDQqmAKsDBy7UzahEsgW25jCal9CMIZLlFxpQ9pORWlTHorC+deDbvYFtxAnlO +e/Z1etHXchxhtMQjuxjWnOKkAitwQ15wDu5XLYPtFUX4Qs5ARftSgCTdSKjsEoS7oJm+Cp2tru3q +M+zaRMfl6z2GTj08PBcscYQ/Y7KWy7qfJ/w1uBkQnmpmVOI5oXUJOVbDjXTEjlQ1K2NCt4CDizGs +42pax7SwyrK8r8v9BzLaBhU+YVIm1CGLqLPH7DQcrratucQ/5PQ9qlfCBH1G86RS7p0HFQwTVAUC +9eU0M3OuO5InK30HFNczrW5tjjIyB9EJ0aYcPQQAxp03zxIAsVlvVLu1/aEeSwe1FBB98ToDnkov +OSqc9JEfqyBWRHQ/rihSm2OgdE+dLJy1UB8isOIkRsNN2dZRZl+AMmngid9TiZNe5fpnJm9wWUVp +F0j/nnKU3Mh1dKrIZ2LNgWinsvRO8OpOEmFrVRohRkqQhas51EzDoCVhuiG/0ChKwO48e5l0M4jB +XNP60n1FXK4Jcal+FBOdzgZa41rlZrGkhLDcYqdR2keXql88DcYJsppWaRaFLOoUdL+/BcYFQVNz +y9xUUjVtk3SK94Dioh5eJ9ghG82CBedZeZHcxm3mzkW7yVOeN8eoo4KR8G3XFQ5Mbr2nU138CG1D +w91Tvy5VxeRzUhYEaQ8NIsxVValoRFolFtG6WcSwFRdTob8uClV9zqrZqBcQQn0gY3/P/3o6CpXg +Y9dZ1WgcHiugCMWyIH51zA8MKs4ltzRwP5KrVlwALNfuLtKY/Lp/ciFpLjoBDlEAoBgjEAZr3E2y +iokCd7IiXujW2wJ8LdUmvPkrJ8Fikn/1gjPg0DBIHD1njgYoTUJaE6Fk8R5SP2Lsfxo0Koxu7lLQ +EFIG9Hg6+gUvLCtBz8Wvj/3YBVlRoMxDzg5nnlAGQF2tLk9dQXM26aPxjD5gbdGvjCxtYG4CJCq9 +AO6r3ouH63r8whNfT/sc+nj2KbpWw78ZKmAqY9D/MUX2jVN9jqvnjKWBOJWaU4SOs0dUOF88HxQJ +uX9f4cg5YT50C9/ggfS2Ge2elt7GPdf5HjHn0DiIsgI8Ftb9O7asyk3q+XQZ8fQe9hHtFe1IsRDj +PX+rAvI6kupE9gQiZWi54LtzRRUL5Xean58UOH9eBHuSAv7FntUt47eQtG1V9xlpHa32ouZq0T1P +PYMKWuqzmIGSda7n6/wTTWs+Hh6h5DMzP/GfB6F1ZHhl3NtrY0/ScPfsGXoWpzicRP+fHy0tObvJ +luu9io49Jnd9k3VGX4/x6qU52Mc4e2LkQC9Ph22W9rk2gJfbG/Cpv7Hiv08bkt/Bwng08MFLQgzg +8/tboI0oXn+GVC8WTQwI1FRR2b8pMtfD7Q6VGnKoJ5OT9OcGoe1P64kf/uDLzXPiJyXOlyKq37hV +0EoOGP9NpnsUnGRJhfeaROPM/eLc/ENSbbNpyKJ8m9tJJFEZaR7FGBq8phMk+FkX+c4hk6egvGK5 +6eH0JiEfff59R0aecipUOrCV+3zYuDr8QdSofTsQgW/KZre5EMBmZPsTnw2AAILckw7RiPjij1oS +cL/5jlw+cmory1KFq4xMSfBW+LImeXbOiMk+NbAKax45DPb0SNHC8yOZQ4oiCgaMR2JlHBMZl3M0 +d/3/nLUeO34pEr76ky/KwpIyTFs0J2l9Vj3QFr9y4vvH1yKkqI1DRKcgAgymR8QMgJA3qE61Nu6y +0mALEhdWuv415BKi4EgcAm4ptYLZYLBUbv4aDHVleQzPsxGtYfHBu3xEiLfW1F6mI2b/UcQNw/2A +HJFAqEdXA/jGoMubPiXwMZbJrcBy0UEcoGAZa2+iPMi4LsYvpdHoIn9/HgvjIFqidKyIjSaCmlVh +PL57SLF2bm9Nh80xsLTbAt3CpSm8L/FA94/PwQo1AykYwckwVEJraxBigZANqWnadsybVr7pMWZZ +YLojBHdq0dh+kW4rPv842ecvPUbU7QpT8g3L9dem7yOIHkyZsoFgRgncxIfyiZl7bRsiUiJWkMlO +uZn/1roCPIFZEnFHddciGLtHGP/rXNiaW9D2gUK3KNsAIc8wVsi5hAF+ZQnyX/MZts7igJrDWGZZ +cuMUCY5d3qleOAcBHd7q/WkSSdgGa4YCr37GS/tsxuxAKv/jdj5z9t7+SuxtyILtDzRfr1Wmt5bC +WYi/Ur3Q5YF6uBJE5KeetHP5CxJKDukyJm82Qg2IIasc3Rg+sDfumL38DjHBb3WUdfZWSFH5oQZ1 +mGSgQQDFkBhFMJq7Ve5mab8c6XyWQxQq7rDfRFj825QxMVPpQ3xZ9hWE1RaAp9E5Ik68o3LX4NxL +rvLo8JuG+QFwlkR4gGC72Y95dhW/21IWG17AANR+LW7BPNIhG1TLvd3RBWrqd0fO/NATfODtTR4w +h0Vdp8/M+PKpBwpIM2dE9XIzN7ikYHSqIAAUQX0+cR0LPKEd+urIt+Vtjes3oZNnreEuwTMdTVPr +bX591wsO4a9glxFMVu8CxRv6NWRiqD2l8ClhmVBiueOxIYiNr2Z0KnlzQE00JUso801RXNznZge/ +FNUBMZLSuIhXxpn2OUSlRC++fJw8SFds8gUqvNu9TSd99n8xAnw3/9AZDZWCl4/e0W9INLnn+O4n +bdeQp9BQkXYOgc59SUdN1KERzTgf1kEpLfdPmXr+qGFciZRFD0h/DPqwGvD3zIDzW3UTT7Bttd7q +DVlmXvBvufC1/CV0520fLNNAAUTOcQcSNBl1Xrdvj/x0edo1ZWsUSd5RM47kff4Il1DERowVKjhd +zSO1bOQKjEYUUSpt5jPQI+vVch40lGf8UAw0EcdlsFJ0COHrX7McCvs3hlLaq+/YiOWb7Qe+7pJl +8LqpNoRt70euvXJ31ThIQTcMAVFo24EIG68QeLD6brspREdYGIjEiOkLes82tzc2R5W5f9YPPnz5 +X6eZUGo1g67z5Ai4WTuAN0WpdZRpdFRDflhJpP9RSdEZxUOVyg3e/oAHYYqpa8a/sa09HLH2FLxV +Wnlxk9hBuT7P1iUPok0qcsZXzkbNvZ3qaHx1Ogur0XJcpBCXqAKS4gXoQ2eyHeGpTVRehrAbrJhl +HFxzMJ7OkNd3kuq4Tnmg+qyBt4RWzKGeOZiyEL9GFFx3c2BIv+CsCxRVXkqQ8vBR2nAqhBsv015+ +Uz9/RVJXSN4+6v9Un04aIaqzOtZ9WEXZqflAipBVRv2PYzNclTOqGVwCKqIlZufV0HIJCTz66Lzx +2n5PQ5YGVFrtJkXaWvj5tDcv1jbE4Ac3cFlIY7DSth2jxqYNj6in39qdOBYuVDpiqjNIRrJphtib +iYbdY63MtDaUAo9grdRwWJZJc0C4G7IG5e2SLNrzIWsdNWozTZveY3HjbqlCxJsNXjaQxCPNt9ZE +HRScqFhelBtE95pAU/iEb/bdsidlcrUtkfoitd+E8SHE//o7zKX1dQNa7b25Ucp9tKsEBaKE20HT +tzckTp7SBg8wFdfOd3bK2H9SlXD/vFvKRBJ03qZETNKUN7aPausqiVGksfrzL7z3ZhyWNB4mAm2l +nMjq0BAJYh2GIy1572qUhLQO8iKW34MhoGL9Q3H31LZXqsxc/tAtqUIvoOk/Lw6AhIj4CT5G4FRd +d2/SOS1OkzYgLQ3fL9hxw31MCST2lB/xnb8vVaM61IfFT20/rqg2Q2ysVGP4+DfP+4ySHJ8FUKUT +Ty73DvSEyIj0Hip6ymORY0esHv7WMa9847uuZviyD3E1/hkdiNYTEm8afQtE+TO9wK34aX4CmwZH +0rQjctQAJfxrGQgYIP9jtXiulO4h9ASjgGitPc+kMJu7dFc2VqUjHFFoW1V8WobTzPBRzAshewKd +U0Px+H2lfVmnBjOZd3tZOsAQmmpjsujUfC5ddv/er1jW8yfWHBXA1SyIURMD1JOS5ra8nR/4VgJl +HB1mCP3s6TXeddB2maKcsYkv0obFZd5I83Xu3q3fl3zlTaudbWEauLOCHQr/UZKeubiyyA6+GReW +Whxi0KsPccxThPUWB1IzA9sZVE9S4xEQNQPRNljjMRlTDg57mL33jQ3p+XvjWOlk0vCYAtg83np6 +skf4F4wwp3bPUGeSfLveSoh5+t9AaabDMpE+dVhyYEWzB3M4JZ8WIRHw3qcZxc0EB5PxcorKMuNr +EBYSeXy2jiJMhyFHtx3tTqf7XrSsVr0Zfit1mVEmehc9sKtFCpl0fYkWGCHRxN0dYUxFyeqD/CuW +wdb7nP/fbzNKqZtqYPU1wyvg2XlBDsx6P5r/Iq1qNvnyI3t7sjTh31C46F3gJ5pJs4JZHCzN3sEi +U7ow5zN+vIp05kdZoGTmjJ7SeVVTfDN5PsXLAqOqcxkj9pnr+UMUFSXcAEMntATJhqZ29GaPsF2t +VjR68svXvxIeya4au+AXTbh0hVvhyqoz2nk8opspD0LWUF7E9GOzwgc/wHLbhGUK5odOVl3tT59r +zkhN8vQKcqWazKPl/+mSfkf84Gl0YFVjVjjTCGEPVwcjnA3ne39Yje/UFxXWamylLOVzbGFRegzU +czpR08vVytivFFBSzSckZeRruYrfw8RADhJskvc7soNAs3CsKfRBTcfKiQG2ySAx3tOaUTfLlXkJ +ebw0oUtQi/YVj46ukfyu6i3JvpZLvb6YPtpPYKYEkYsgBey+XtTswDrEOxkJ4cc6GZ4ZZSKk4b/c +SyvQhqjFXlMmRQvV/olbMthyEM3wDiTpSTPJMBYHvVFFfA5gOC/y7/ywB5SrPGGoZkdputfHamnf +dEUwet4skE7u87S3dPyFNhe8CbAhrO5DeyB69rhtEeorGRtNEi1WluIsjLU4TNUBWoJI3DuWM/Qs +0C5mYFwFuozJ6pXh5npuEJDPB7JkwRps4rw5XedxDSmB6VP4hBpBRxQmd4xC8ZyXiwzBhc4hU7u1 +EIkQn1EEQv4w0KcnlH1fB4+LR8foLiw180OYxm3eoXFtXzljQVYDP4wLIrJ9RD2DWfbdy1c6x/kX +pz6uEDnf+0+GdbGTe6KiDXJMznkCj4dJ3OZpifVs0T9tn6GrFW9Rb8pRyING/FgRQD1d+F8Pfn39 +3/ZCONKpz3YkvGxJMvOQTQoC61pRhx9rE3Gfo+Aq8L5v5Vv0s1GP4X3xix/+GxgC0wmSpWm1I23g +D+HycikuG75KaWPePm/SNLYHdxX/VR4BbAUEGAb/xWnkvLWJB0fzGSTxhl/1GmhjLW9udvluyxRJ +m/4UJV4enUfjaDIgby6rru4cfHLBhy4I7oNl6H6T/M79NYmznoQl89HGzIgIB5XdXf5ZAA2AQq1M +PJlRuT3CPnGXM1Tz5Kbnt0AQ7M6ld4vAY8cJ3VTc/oKpjLUnLCu6Am76oyTbPyY7yEAX1PYG1Uj0 +LF8l96dzyy+asUTNzS/nBSnlgFXr7+eU199H8a9tcVp4q9zzC7uPf7X6cLrjgsNtKw3+uICCq4bu +FK4OCELOE4wpmHr6N1z1d4D675d6KJ8U44EC9CBgQrTF+M612vVftBYDxOw6yLt8caTSFuE+LdR1 +X1bHSkabPPnzkgt+zJCrovRoPqjZOyl3SFlxheIDRgKuf/4V5EJKaJufglqZRpmK65w/yX5X0iTA +uKkyl+NsNG/Ne2jM5aY3ZVfUTW1NWj7kuxIYwSTVKBvo9GyZDQlliU3xtgRwLO6xSCmyVzpgc5P1 +xen4DlrAbJAlTi1u2bKe/sM3Z00p4s31cI8T6U2T5oslgZl2cUo7YqYRoE81opniWz4yLhA2Dy+/ +HyG1hEzHTR/8sgawdVcWR0SCNPSc95Cp/DXNdwJn8YsoVR+u99Pbsplh/LJskTAALBQhwzvnUEJE +ANb0HHOQYlh3AT57P/9pnbtZ95cnTzuLNPqjyGyZnyFVYZHnabwQlr25cytgTroS6i2AG7nyi40s +2zVvV1JTYsjqmpq4pfxzZPjq7V1A0rvDiHc/3vtAGxFfYXBb9PpoNbcCyC8n7Vc8UrIJsgqIRU5i +h+bNzvqjbqL1WniZABMu5kBY/V9m4a/cTjizzHP8n9Y1jTzoRcLXVPHd/JEC7PJj9604GFWA3LTI +cSb4heaSStD61FJOCLtb7VmXu00yrQ4KEGCBaqeBaVelmXwDQUqcCz2+4u8eetyJj6JM/Ws+wOWY +P0dTlU/LFd7eVcNWiXV2OXzFFm+qzRT6NIdM7daQu2Wio2bl+AneKdL/G9dX9EuYtWorXg619zk1 +0zDbNjBTqh+cdLmz4AN8V+/0RwdNL4QHuGhXUDasfmqIfKtIwMUU9yp/YX96d6bEaZHQEsEMD1xp +HmkKYPKJH6+8f5RayFXsGmDlBVrOI4GkntcC5XKTaKspAeduA0/7Q5iSsMe7Zov96Re917qcl0Iv +TvMZQiYzNZg9JhjBCRQDUT93A7Arz0Qct6KXriIfQ0jKkatxlUp1ksYpc/Ufw2XGg37wlQOJca/q +NaABsc0Yleq3UFH9vGbhGvsQ7+sZbOeyRBflJ5ZbnDU3G03gs903JURzqwkagdSAixfZ2EO48Mxi +LL9Y7uMYwm8zKO8v31F7ixbXANgrgVsZsIrzoIJTV5XXFSJvvA5KopJe0oQ74iX7cauVLV++t9Fs +nSqwAQHTKP9JxMn7/Bh3ET1CP87w/Dt8at13iEuPgJhZe1zBQAMK21nxQRWF6zbntJ5E4OOnJzcI +kQsQd4PIeNSM76f9RlmPvpRIEK2tJ5Xwqx6CeCIzleBqQYuGvOes1dT1ZUAiKIOQfWRfkkxZEaEj +KEaLi5PhVUaSrxaqw5FX9s3UO2hOowOwtJfkB4PnOhftLxBKQZIPJIG7fgVVsaWU5OlHpDGM9B/L +4adA7qXZZ29ewpd2iKDF5KMiC4rJKEP/KdbiGKmCxXIbj9a4UizfwZqA+qQYs3OFHHA/dlMoR2Ze +/KZGem20u/jYhTcS2G3rOeS7I+W9gXdmJMpkb7G5txBAM2sMj44vZ1zecbAgmvN/OeOWGqBKWL1E +2/MCRhLBjRQwhXvNmKP+LqU5bP+K4Rwv2AVWDoSwkNCcHzfza7pfVOEI0YR+TD4UApzJ4rg1horR +Qgd3Zo6MSHC6oU6kBhymhtbwyNf8odTx9wdojVBJc+JZaSPqfpt97yPHD3q1PGqUsuH6frVdmFm6 +gSG+J/2fU8vPeIiKYapajLhwJXUDoi51hhVHAX9I3896jDK5qpMd/OHsnbCwlZQBUT1GZPmCm5G4 +79rov52QFgA8gELhAclyybsFQEQsn1hZ9pOxPPKmcZTXrudmuGAZBI/AgWr22Mx9+Zj7gzzCguSD +G8JwHaI005BNa/jfhrekpDC7lTZ3vYTEpjpfiVaAJNv1/6uQEm0aO3PcGl6iKgZ2sKYhL8TiNses ++ye9YdQE94XzppFJ7USh20hy3YxNAqGwXHvbX5wukjh1RmhNQnRyZjZgFZoahzoZwT4KCfNzhLW4 +zdC3BxNfZbTz7z9i7oPztfLBkjYknTHLZ1jeCBW3cU5bcFnCCi7iJQGsTHUvMq1HcxFOZeBiJ6ce +rrHtnKpFnE0dHI/6tZr415p+f4S4QmCKlXkJFQYg2ChS++NuL7DjMRQYYnOt7q7+6cIWaH3rf8fF +oWxvGb4oZ2gyx7Z3FO8879pk62NLEHKZSNUZqb/JtrxDYjtjCNy1EXEZt6CQKNigyQg+fwcHMmKW +D5S/3biYZN50sp7pQFaClDOdrIidixWI7dyvHSC+p3A9CCf0uOmHg0s3vBvgqevPQOBpfYnU7UOU +oK/rfB47YdSvPozctDPP9apAn/4Prbg6ZmN85VBi7na1e4R2VqwRdhJoSke4ma1YinNxT19iSG9B +CnX4MANna3UWeQS0JdnXhZq+21immVA276Vmyn4fIA8aQ3+L9Ez54dONNaWvIM1/AxTvG8GdMSUl +sgBVI6e0l5FHsDX4VJkI4jRyYmLQ9SGd4u192UDgKgURihBIIyLQQWedaZEQ/X76K8T65f5wTXxX +16Pj+KkmMgbDLPxUXwNu9vosOPbqN1lZ394dMkMnx5Ojh51woDqwrbUNolt1GBJMmWDkW8/q4ji3 +6RntUHLmypOuNkaDfGwfoVw3L2ZokikP+24xz5rAcI3BiPac4S6eddWsQy7eIjXNGQT08LhCPvfm +6XSbPsSHcFDQ9EHBEaPG6SgZXxlPj2lHUsoAaABCsFoYCU8uzDKO5+4m88eh7M5tzElsswYuKYSj +cs0wv1Mx5kGa9/SevA/0yCY6OLtDYy83IrOSd8ZEBFKZDVmSr1NZKMV5RTr1sbSlJbVA25jX6yeS +DWB7Pjh0vrAhLk/0H3EvbekD3kOqFmvRpstwb+ahk/G4ec6c4XrT7PMzu3LpovrFKOoprGG9xW0L +js1ret8734v+YWZ6ym7MfS7nVZJXtrtz1z8OVEYr1N+Bgwt/evgveq6iIfQjGgh/UQyCRluR/XnL +fp3XsQ+2XcAMN+4UCQRuwnJm0cKxRtScY2L+k4Mv1WvnSjYrMC+Gx2InRKLbZ78YrlihbV5SNhGz +bG+oM2hkTvd3vM4NA0sHUi01TlIyhrZCaJALIaN7IpqS8hKmz8bFIIzv3IK3EYIaSlg3Q2O0g7vJ +VVB1CscQC1qc9CR8VqpM8rsQgvw6ZY+QH+/F/JUn23SrxJVLXYEZ06ExZJwNbij2KxuepWsRsp/R +dRnv1hDK8cKFvok+o/t85+46jaOfRu2xGKOz95r03CKzigVl8hClUzRd6bciVWAzZygYBLzfyg+T +yWBlky+72Tmq/XiLKd2jPaX37h7mzXcljpvWk82Q0SqyhMd2EAZzS7LQXaA8BzLsDgGpaJiMM2jG +AorkK0BTQSyLtutiAz5KSN1Gp9P+OA4w2ZtTBtgQWH9Qju6DhdWqsgUV74B5H1iGZjDtC/Bmf8ET +KYuSsp/DOwOmIpE12ZjEXsS/yM+/QNW+wJnC7MBZ2LnUvEOPf2hUnsPQkk1hxZG5S90+tm+97Las +c7AliaHX9zomYG8+hLce2fU3DA4vIvohN1scPkSItrKQWWzos36LwvqYdUVwBicr4Mba/D1bn/6v +XQ96qIxQdKmT+d2RXIvGyambfc/Hp6745PHNrLO5tpGWgRZ6Y9nsAbCSJ927BcWzttdJ81TeR/dj +DRbx1MVssg+47lOkLspmDiKF7kKjtwEU+jsM9lx3RMcIny/baIlteKgWbC5x56jsHlD53cpx3zOf +pRnZfFaPC6zSgUo78RN3R+R6l0G2A6XikLYSCg4mSHCRATt5qLuHd7knQAPbXIUebsvgr5LtX9Y5 +SrVxbrzaTqZve3PrjFgeh2e+1kDHzV/Ednt+Fkp2UJI0BYHbQsBufNkLXl3c3ehFN1bsBGfknDmC +yd+b9XQNIYx4V/FtYweSgFDErb9KlRMSreHFlwRpG6wgqjrftzkPlPHtZuUwqHZl/hA8f+xx33tv +SYO+Aoxw/RBR6cUECiUW2alV6HGg62jhHNwMPT7SMim+J7vzaE70TOZMbQXIj2aZs99FbbJFMgaU +b8KCVVbHiG3iYmN1iRvR7rUXBoR418tvkiaAIzoB7law3HbZHS7Xdmk45de9ua+YViuWKQ1qN9/B +CJCjnwX0H0nLBZDKbBzYuMJI09/S7YMToyoTWHBJgrc1BPC+ypQny4hz5tFmJOCLUxI0VbPqmZr5 +tx/cMnTewjmi1IA7mFO/OU2WidNxzgYBX+82tdhdr4cwuns2Ed9WtY1QVKI5EyGaaQ6KkObQ81Q5 +Yl+B0KXlO7evIF0sOu2JBLScKkkjUoQaGzhE3MRvPVT3LAmX6fe7sHY3J9FaEzuNcATvDrvtEatW +rfoM4J2/kbjarZ0YjZq7LIjtnKO8Ud0yZ3DiS+eIbgh9mn75OTJQNb5ZqBNQGZVWGLT6FQiJPMO+ +PqQAkOk8uCB/dpyecbFEkAihEJ3OHUWoYV1SoaF74ZDoISigOwfOikJdO/ylDlT4MakumyNy0MKG +e12ARU8LXDzUi5u4lMF0Q8gj2T1kJ0IFxpuVhFyWcrNEyPKjhY/khwYgCOXwJQ8V8s6aOeLtAHiN +qaRZCROMioh+xXRoUsbp8BcUWsRavBACWvVEf17X3SWRxqwh4CD8KmseucewcwyJEnylHn4ZQm7Z +CxQv1Br1W+O60/YG33WvW0/mTYw232PT8o1ABM7ynMfTeqOuj62C41GXgjqtjf/TPjfKmqZa7lIQ +wsrQ5ZOwTGrPWqrrNVC29ASKqAXndYN09BHBwVYUkDSDASvlprp1x7GgitA7T7+RU07FBXM7a2F5 +pm7nBRJCeVMrBB80bSAePiaNnJAfw/rMALZF97+6dAC40Pv+ln4vtg2LbpYVXf6zvugvT+6j+u4H +N4Rms7l2ORnbXiBDd0Fl9VYe7p0t5WwCaLqHd7NicbQG/cEW840WXg+6zfRkVLHX+zweUOhAUryH +F2ZQ3Wuk/AfIZ8gEd2MyeiSW5Lva1oIN2V7sZClEgHyEfd1O7moNTTQJodplKRHXL2yKhp392jiP +7m50XUQSYCeRHd1wbhbieETBWpCAyhyPu4A6H0kN7T5RUZr9VIKYLWW+vTe1cjwcq/Dmsgp47tTX +2+bCb5TN4kfQFPDjZoEFlmdpprBVMVL7pGVkTGxhcBdOrCFD68T1rlfPFBhN+NoXopLrHSg3kSOn +c49A3x35jQYbigVAaMAflrluiOVgjkzpJ2OGIInZHcVLkWBbBfCh7sBwLy4jly137Ird//Fp4g5+ +Uu6+uxXO6gBWqUwEAPm4HD975+5E7iKAQTjjoqXBF8NBq4FriYniuUyt0/0qUGEAhPBEskGu0Hun +hV2Gi5MMBki6tl12onCX4xIPOSLFuv/K4n5sKGrzm1hCiFL1DyLjkUxUvZ4/3W7jVjeeS41MncXw +MIMtScKx/yD/pvPlzojXs3EG9Co58Iij9YlFDiuZeoh1pnYHHJge+jhT6rhgo0ajDmXZfopZQ+ED +oN75eG1tjyMaYA+1GjZdreUL08CLQyZ1BtoW4t0hv87n989p4NdtAQWk5GMOUhwMRj37FtyyUkRk +zSborNkpSNoBAvMEYxzNG6HAUa5fElzx5ZisOp0Of1aikWFqqJRAwYghvr3dSF8Bnk7wXvjl5jLn +bXHBaLUchTZUTE22mpxQUR8TEoeMzTJv+QAsIQ/i60NZgHZlrezFkIPUb65otJPTHfpILrBNesL/ +ilO8K3ZDLH8vAnbfVqbfNkEE/2piS0JornbjTWT4G0vgV6jkBGrtvhyVTDrkoF9KFmwaL7wFW3WP +uAGggFejuj0RIw2cFfKSfV/uPAg7mQOIlimrqEqRUam8KPYJryy2c/kLGMrIvRRB7S3CWGvdxUvf +/GuifAn2DaOlCi5khqaElBVOOoNYq4Q8I3Gpl6lRX8IZ2dOGSPWUjhEvtyYITVhJ5RIoGy83QUNi +NpRqHR1Vgsfq4px/tjuGLKwecxrLfqGzTvdvNz7hpZtTRMYVELUEta5OPfF5XQVIC+oZvoNz4+sV +Sw4fvJ7EwOSeHFUU/7BDQurA7TkmXbzPu0GruUq+0pTpOeWvT7jxaUvpRQCPWVNkf/EFSnkUjbXZ ++Q/v+kEiYE4ToolJFNK3R0Nwd+rL92SLxPKBizBL8bNNepj2W2piEJWTNVcg7E+gq8EX0wPVOk8m +/gbXwRxR+R/Tn/yQ49X9liDGdYg2K3p46NXWnpberQLuYqlYwjJLrU/GfWuNxIbHE+6uBlJYVQjU +FHnJUFeCc07yXq7J9uvBXq4= +`protect end_protected diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/gowin_fifo24.vho b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/gowin_fifo24.vho new file mode 100644 index 0000000..d1dc22d --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/gowin_fifo24.vho @@ -0,0 +1,501 @@ +-- +--Written by GowinSynthesis +--Tool Version "V1.9.10.03 Education (64-bit)" +--Tue Aug 19 23:16:31 2025 + +--Source file index table: +--file0 "\C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/fifo_define.v" +--file1 "\C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/fifo_parameter.v" +--file2 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/edc.v" +--file3 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/fifo.v" +--file4 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/fifo_top.v" +`protect begin_protected +`protect version="2.3" +`protect author="default" +`protect author_info="default" +`protect encrypt_agent="GOWIN" +`protect encrypt_agent_info="GOWIN Encrypt Version 2.3" + +`protect encoding=(enctype="base64", line_length=76, bytes=256) +`protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa" +`protect key_block +pEDFkbO9CPeBHmxoSjfBM8Ig9QaKQoTtf/hEa9XTrNklNc/rtLSt7iBJZCVj25bVLxfOCCZCjH6f +FwYOPkTpBXUlJwMJAdJFLBLgM2fg8perEzA1SGjn2Cy3mYHIqqjvh2H5RyDJYghFPgSqCPFsCb6I +8PpXK+oqU1UfJi1a6+9GeWsFs/1mmhF86YGIq3l9Pn/cKzxD+KRtBKNL0J4iN55NGZHC9J7yicl2 +2Pwi1sexwWo2j6KkiNbwHBa2gUFLw+p74liX18GKplCfp9RVaR8wpqEgz+e+uOl1TeuR0H/J+pc4 +ZdRpGJduXefh+6e8HqlAw80Mnjac8vv3gUKQ1A== + +`protect encoding=(enctype="base64", line_length=76, bytes=26672) +`protect data_keyowner="default-ip-vendor" +`protect data_keyname="default-ip-key" +`protect data_method="aes128-cfb" +`protect data_block +Ui6eM/iI8GM3oWjv7qcNG9+HIlM/q19t7rBZYgbEgznKJb+5Q4x8So13P4HujKs34OVePTN6mPt8 +p0OkJNwvtbx68pN+xXCgUu7oHdBbVhQDa5P4zRpIfibumScRudqo3MUJ+LBC9QPIiGTAVtvqkFZu +n8vGTp2/y1Ywn9SZPqVH4QcL3obF4dHyIdAF87mqTPPQq/uIJKstdig7ixyB/kBwCM7fBT2QAxmN +tnIIHEiVqYL+5otL5jZvzA8CBZ2nuK4y/gzolCVP+iFJV/tpaIrmeCPVdAOHQt1UggFc6xL+90hZ +FB7qVqLE8MvfEN0LhVXqWORhaBvQsTY3QjaxJU09d7dqwcvjl/Hhiyud93B9/fuI0zGWdZbj+bc7 +t/EbsTnGv3x067uY6rVo2/px9dfT7Inn4fRikSJ0TtNtQW9GEM6xYbCUuNRZLxbvCtG9i8s+l/DL +kI5xO3BtTc/T3KIJEhg2GutAXQGASMeo7p58hUdVHFcg5ow7EN4JhL70bGr0ve7Np1y+pjFJs6zr +u6knnhkU2aOpk/lcT8zJS+ROqGjMATKv5k7ZVXMGastHpjjS25pboXuCxEh/YHuTdFoZM2RlytKy +2mSAEOA14i1lqHWgDvzgM84ADzVP7241JIYnW9XdVY1+tHbI6fMlN8h8Vwenvxl5/Jtww7DnM6Ak +eq2lgu7kr/yHGjhD+uotDSUEsJhGp4QonzFd4BiXlqSL6/RBqzfuMfGNc5dV6PFkzVRK3rFX+ftO +yA76RMOegx0UPXrBUjngoLdxKD5mzG3qL+1g2i+fEN8GyIH+dWG4u6QmYM9v8nwvvaBbnMhIvF0z +V1k4r8wxHztRCTZUWfJoWl3W9c0fV/Hmq98srm4dsm/z6LE3e2/NTyx/R1qM2YxIcvTF4RNYl0n0 +BhcQUvX5sDPxKF0xy/f6zIyQR7WQZQ3QCaWv0CtnGndMY+itH/eyBXoVHJmpQwD3ZsJHVb4e1XSo +MD4s/LMZmV2sT0QTsmhf3aT3GTJ3FdwSH1JLbcBd0AakCAyic1O8+nPOIsLpx6fUvOCRzThwFt0c +0B0akuMkg1h4i8F5flTMgdy1AL3v7vZ0SxNJ+RuKCW49RaUaPwW8/WF/giFkznbUlmF464z0Xvfe +2P6u/oqcHjcv5yuG74A1mQUc58PS+8gRqW67ms6oq5R6cf7BdzE6BNCbx7D//DuSEIh2IbCM5DBo +7bPJjtuVN3zNcbgcePLhST1up73mr1otS7v+svfX57MBRpHJq2ODHAii8EQxDb0obLQWPA4cYY/U +4gC3aYEBdRGbrmdRNbwFXVPLHhFkoIOlrh3/P6LInMvMVCy+M0ym4FZU+hjqS8B5u4Q73Pa8M1Zf +5Ch8cTKFbVpyVyaPbKDjUHM79QVlyT2SEcK3V5kQEq7+CIVMX9Y7pU7GBEk+uUhOj0CaTZZ/rzM3 +aMd4lC7T8HUWT5adAiBExQLBGYz259lf8KnGybndhzLCl+lOg8Ysw/W4JfpeG8xyDulQsqwTxQZL +vl1weKe/7T/2pegXQ0f/sASrLrs3BB1zY8P9M8/p6Snyo8+StfxJumznDYDTSPhTIhw3pCjTLKpM +/spEFrwUpwYhTBuBow1kd0tRuwqYwzpISJV/XczqzOFgFtLJYvkTbIfDzXeIdU/6WJNlrw6ybefn +2S3RpfyokcEEooh/aqW7AhF25rx06OlwV/92LflbSmyTew3jIMmk6Qi+bv4ZCXL2DtqEPWSTmL/+ +tVJ1P544kqVkkbEGMbXaQxwgnmmEmI6X3O4U7lo8d4lkQGjL4Cls60FoapJT5LAlGM6cUaeCMf6i +/QX3sJhVNFZ2m5IPkMO/RUJfEL7ijfOg9ZbVIBdfya/6vaC/OaelNa7eeal0HuQEciMMiJdoZZQ5 +KhFdYdesaxY/cwCBkiLTByQu2TSsztYYnV0Fm6ACpu0rBhRIdQ+7Ii9hXJzsyCEixyR51TaK57qE +XeuirfRLAj6CpzlgraTgUrZLGp6iysX2k3hTMBvUOZKwillUVseUgAygO9KKP07rPERjOmRUjSA5 +xmVzdh93C4nvTLtqqSTWcsslhU7GRVkO4MpE/Z4s7/+mmnc0erCopyb+9vGXX9wmwMeux1JLsi7H +Poy1ObTRr/95QnmEqCwvVSVs5tbkFb+9nZRgMhTtjCK9o8Fg4gBMjDwO56K9ZG7jgmHI4fSMde+5 +H8QUXorB5GLn6ej522XMGHCdH9B9uPpA16xMQWrieHMjNd53dX+xYnKyN40kWGQo2HSr8besdpJ6 +i+nMyGIleiUdhTg1z8rmZSNiv0cI5a+DUHYdmMtW4UsrhF6q1PYBeC6DGNe4vMRkw6BpAtzjFGMv +dy0CdT5LBthRxRr3NUXlLP/Y9RxptpfOmMIinVlt+AbN2kocLnE7kR2lI+gr+St14BjT8LfhQilr +V+OLBxZtdMIh9Uah91afflDHPRPLLB5MrHE2D/cNbgzTLy0bjyhW0UeHZDX+x6ixIMSwfD08+5Dt +jdnCn5dm6Jawaqq4bwsk7hkOdwxzNrcJf0zFhCdk+Mnb4bVQvFsqIpK2XzyoJRNhoNJw+7PqMsyH +APoAR0PaSW2ilDRBsGXSoaGbYdXyCmXrIl4EWdXJL8qOrrfcN4upvRwfUj6WwBB9XswdJBVSl0Ep +QOs3IuMg802m/MgI4a/aXlT2VRdNvcn5OOzeh1eW+tPZ8l9SLrJm2K8naF97UeC0u1oQViezANiv +oPgyhJZ8wPKGnfFB1LG+Md80wjVhT/weSR7kVtqGWj5iWTWbF11znHW4gBS2syr6l+0yNrn8aIvC +vHMUSPiAD/y6xauqHP93SQS3pUn5kSjqNb9m0EE73nub89E8PcalEH0U0HZOE/gDE60a3KbrNWOo +nleC/8rn+pKrfa0GW/38wqTAJV711QjbrJ+U1Fr1SXGCxcrMHj/p1WidUNf8tNboLfSXXUMNVS2R +Ml9EfV6nE4NVJSaNcVGPWBHItDhak1YptTixzGxyXBDa+ABbt8z1z8TmHfwsr+2Vs2JyA/kLMhKW +wMBVwycC5C7zSOZ7s9LSpr42+Ou4aX6SGI6SkJLQkbasu2MC/pjHd3RckVBX/VtNTcc8OYwOBJAR +V/e93dLtd5jhrEM1zk1irpxMVpJeYKjq+YP5Vo9OSYZlUK+byhy2Ebjl8uNa3eGdxbexSUgtD6HM +VnkI1jLqTKQgNwe7S9XiEUK74Bpd/YrVmmTULU60Jnzofdp30UPtrtMFd70E5kFs0LALVO9hH7N3 +OV4KDqNxbj8Da3iUm8QWow1uAtWEM1LOsgQrln0bi4WULTTMYMX9gOfpRLv9S8GazQYanc2SuocT +bpAZZQJaP7wIP4of0tQ8TMu+8g7KHBZCtJzPys7vcsI9tGSOe9JbfDuZk16jJoKlvr8c7ZU8an0w +GXvFz1Fxj6HFC5Xh/VDP5+LhjOyQG0OmnLneijeYqegmsr6zDXexY5PEgivYbwznOniqu3Tz1gWn +y5ITtgwe9iKYXTieBXo0yNktBKzEnn4NQ5YMpi0cZVzYRwEkNaSGaV/qywaxSIZFD/1sLEY1o2Rk +MbzpI150FdcGZgEI3+/FoBHkBG/63GoCgjAgqN2CImPLAQfVNIHOZQpqsDLjFJKNu5gwr+7OTnbW +INU2JwUwxkjowRFz9hLbFewkbkQ0lz2cA3Z8oVtDg0ck6ZJn0rmVeHDD2FNplADcdh8PkqvIGTWt +jdp+49A+Xsv+53dXdcvtMVr9wsjfOeeq3kscD+IXgD3Bj08Jgi4vW5RQRgRx1b31lxMi5iZgB6+n +RlGKevdet33OYS8KzWkIJ8NsopHT6zZ6T6/HrF6bvusLZaGaOsY0YxLVeMALZNfDoXPAwW5S8XC3 +Y0fzUjuWKeQ9lPoTqMwwm+y/IrUPSvNnd1bauZ2XcbB8GlybvEq4J7RI2tcpCsacVMcwm1bgcDhV +ctPFseauOP18az3Ca5jKqPFE2tA/FvM1RDldV5WmGJ6ZUo6X1gIOWOqyi0xzi1ry6AbrWSh3YrAw +0UjJIy4t4lhRlFgmZLK7Ne+xkroQMD2eDcr+Slyom6MJswjmHNt/DBdTvNQcxkkV626RdeeXFKlx +4bBu7SBednctb4IzcY9okwb8ryMrFo1XxRJzniRokDxYJompp8E7ic6aNgBZDaGiEHMj0BvsNkgK +wMFNVSJIYp6eEvvSH7uV/smvYfTlustQH5I/0TiojGJMvM5CTdrAdhLuTpCAj0gS866jBC4ocWGg +lLNIXsy44oTgUEuW8iAZ550VF9CERqpK4ajjVhVqCDmaujRf8TujDERNRTKA1+XVpIl533eRxc/j +frSM9pY8v5kTLRas3EdTw3qi3osUW4uwPZ50QTfXjP0WNQWqtnzTREBcGvx3VLJSzIMmo2QwxpB+ +JbvJEKM9f9+vydIJdiYvY7bHsp67YCg2hayUnGVfMVjQY2/HhMavicZXwJLUIu6ghHSqrTQeyhhl +IhjYhkAKwtAtaIqTD4iMaF2BZ5pKZiJGwl1Bv/m7H7HJUmeRilKK6n3xDPbZO0yAxOdqQSUFjry8 +HBUR5kip69+zcvsN7vuztQ2JvFbTS39xjYt2TQlyAuyzw/ehAFysohbr5pjzT0gpipaofBYx/3K9 +myPckQW3nCj7DB9smQnc3KT7dhITjVDph9Bi/ydK5hnQraXLLaAfdV9nVS0d+Vfxz1CQ1ByQY8Ut +RQjBN+xyb77hCW7s0OdQF3G0Lg5YOhGdbrKeQfsrLJryIfrtL1RCgY5RMV8iqtbHVenEJRbW5ud1 +VGcVolkalxYoXNVUfhPCPTlo9wuQBn/C9PB0IpM9l2WOJpUcww2n6YDFZ0Xa9oERgsStT3C9qzM+ +GIjeTCSIP6HMSkMe2VdC8cv9VyxMk/IaTMXUuIj9hCmc+pMzULCYTk4ZfXuKAdwNHt1wHqwcLhDG +ILZitpOhiSVxz/w2Ivtk55ZtcXGjeu7sNPLF+W6CeOr/EiEbtLwpgDjQJP3HvpBxtXgH9wEptfcP +vb3pYmwNd2/YkkZExcE3lB7gLQkK07yT2T9VhbIhjjgOfEEPF3SPh8I3cOAlU7INNjLFFFXLBKHy +YtdRARZm31UaktZPZsNeyWd8J5I0zKofn3omDMT813MLcXIptAM/R+P0iUfEFlnIBBQBZaIsaSfZ +pGUNKROkIvj20IoOx+iAkMuntrovwJ0zWwWP6Zx4TSRuoVqqs9maSrYOWY8In+cx4/JjJOmTM3ym +3H2YrM/gJFp1bFYlR4ZLYhXmDPo6/USWDx8OzVPPXwed/Okm5BF5/CY6H9ejYWmve5QHuS19rr5u +GAlB8SqDYTZTQK1zTNc/kCjmCTLmP+LvC7HCfH3bQK7n7mdv2bXE7Ki417q7ID5q3WpgStmYWIVz +EfhNlgsGGLrdySutsHI7VJifTn1fVAkmHhR+yzl+2URRkw0MF2bQ6CygFkHUfp61u4KzoL1o7yKf +hdFxd+UBlkqnEnxEJGA0HoDcy08Tigit3eooVnDEC0+3pAKMPPUtQKLmEQVKjJPOZqKA/iUc9Zwz +b+cYJ6NH0ZN9fD7Y2sLw7J+NmlrKrRgvIMbe7LVfBlB4KFYnQp3/nsegRbKLz9eXkCNO0UVU3sXy +t3HCL2S42mEXAJl8zHc5RrnJMRBToCXA3Hk/et7Gjrg92Mw264N3z+LD/+Mn7epaTXe1D5pzIv58 +84ihVGYKzx4F7hi+CYd22ILCpdjqaU37nXVRwf16sAL1EOGiFq5YSg0eG7kdtB9YHtBnWRgkjiCJ +v/Z7GZNXbYBWG0A7xqXO2kl9qlty9XkgCiH5kCPWgbLSiW5XvAzM0w+nsq+sZkWbd5VYIl/fjQHj +Ths+vqW/gN8wAf4uas+c9ypW1IbtO5P3OtATTlF+Dqe1790/SbMBvTk6uG7qmnOB1+B4hDebR3pK +kwXPrv8W8dVVOWzYzyku71Y8EFCVX9daFyFqda1PpxSpv7vDeb4uPxOE8wyZMTk86fHhfwPVPvzG +TvSDR6+QzaKPAP4iq6JgM9xBEZcZ6j8CCfWu0OcDOhpGX5WJco4nA9laFdD5lccxzzxrn7poDrFO +EQH8nzCrhLWkXDXBX8K48EIrDkStVQy/sLR4TCPlk42qfvh4zNNTvpvnJRrQ7taMAedxh2pJvYba +X2xdifXsIOYejfsqxuxI//XsqHQlD5N1+y0OPjykh0p0Zd1cmOfxPPTREdh0g9THyQoERUWT/PMg +1FC7AzfL5lr6y2w8TFkZMjgxYsiMrCHZBIaFGKFk/nH3doF6HmcDky35gCHTeQEctnj9opjH0ExG +HMyQEX10zZpNk5RJ0AWfdckkieOX+1YwFbBZWtdWyiKebC/D/bKUxV2ZNxNglait+R6bTJ3LcUM4 +NYBEl7WT3cqUV3CedlKoHsu4MekopgXfqceujJdv4MAAhs7osarYpmqc6zrmP/jB9QhPOLkLrbzi +To8ZCU6GVSrpZJgQI0OJ05OpAdHWrruEsbQsIjBxV2PbO9s3f21XErxFJpQT4o9/yf00wy+lv2U+ +QPGJ6dUzONmndsub/qNIEWb/6njCmaJxUMUCh3roWBrTJLFVsyBq2JXh7hcSwTNxm2Sc6Crqc5T7 +MTfS2C8vIabOEQw3uLxTOUaZylmYmTljFY514kZVpepVm2Pgp4biYVG37lrfzmk0FDAwCoNsWAKc +6k29S6kV4kSghMjBZk5U6wx5GfUuBAbSlqkYjQ63pLBASmc0qXMprSMCBFvhYoKFCuObAbXYbHYo +U7qF51BJj+XqFz3sGU+2o6gu6O4CCdqe4zR/LqMsp2Wc3AH4NINNZnCWL+/MVSD4t2H+4chmDaBB +0gRyJNxKqNZ2vPWl1NUzWS1Q45Q2CxyCuqcs4Oa8rtUT5xSEf7vWCLq65gnDdYbhM7zmkq0blzDL +/HyPfrDPJlqJeGCc+QNySeEIPgF8LiauIhVtehxQ6Sn2Y7EZ6YolVqvk+J423vcAy3lQMpYk4nfO +V0z/FL3y9Vqgt8mCLMM8sdbu0VaK98FVoQKLoEYHKXss4SMFaLQpRj+X3Kelfx/y9/cgsmfeoxsG +cywSvb3p0bRTCEU4JEiQPoVkVxx9A19durwxPxZ1L/8ZT4TQx8HP0kvLC/1BPU8Ko0Xf+VMJaC1Q +qo7fJ84Kqzq6yJFRYDykWEhA/qhoC9djJ0DsyjTSPG+owekZ0dBNahNf0xvCx01pKoiCn8odxvvG +VNeMl4UF1GugUe5mr0FqudOol7v2AkATTpXTVa8I+iTmRVVnTNsNMfzZSg4d3aEB5qvezAyyx94/ +OXdT9/ZDNP3LOib2p6Q8yofEYnzQDjiIkPovR7rsCCkfqOohweOZuv3YgYQXneNFj2zqOAkefcBq +l5FXO6Nw4JrE/1PbW6f2E4rC3ZyZ2T90TfQ22A/H/AQGHt8UjPoDbFYd14vO3RA4YcNCwMVZVGkL +5XnEmrWt0jNa9LwtMTXsi3kZZvQocM2nCpZRnQi6pm30iw6HHy5JDqi1zZWrNCJ84dhvzmlHZsd4 +oOQaFv/wEPJSzf+YsjfamSY2Au33BE74rSk6Q2mwhcXdDx5C7STbNBcUbRchEKURmZBLmBqx+oGp +QMLILfa5bq5CNCmYsZcrxotDvE1eurjfvBHtXKff0Bu9jmW3GP/kNZW7l6hjF/544fY9s7l60kzq +7y41KSN+1aiaF94yE03E9lmquFbrKikvqHorUsr3ei65d0+woSzm8ipNdQTMLQBNODXCinWErhzL +OTshyhK21qn6uSuJW6eXOxLN1FCp8ZHlU05bMXNQTSTCQ88umPvWSKHXDf4Xjb5taZ/5xj28gLOy +zk9oUZwHy3alWq6zSNZ8iQ5ZTeqaP4DrtapCUehD1GuDlzp0cEaNJxYJN+GEgCfhAKUGBQ1KlJYv +vDQAqmDfYyzL6585JyB+WJE8Xgm3D20BNR6BIGrD3CAlAA6PtIIinCBadKlVuK+MLO896GYSZTSp +mmxSaWoqE3cnWCdlSsn9TvfCz6AO6cqiLeNCyyFLfsF1QDSLk+Yxv8M7GVLZqffckjZeQsN8IgSE +Oq9vDYwIJp+tcSj1hycfpAmQHojtO+5THFkvPhv44aZ0rd6i1smLcIViVPRuECd8vvakKIuiYWI9 +P0USlqI3y0c0bVvoAJUzxE3Z74wDsMTgHs/kqhn+47jRk0Gs5GKDkrOB0P9uXBvhiB/j00lDyw0x +fz4uZVRRlXGMwv9cvwdnAx31fV0a4aPIaerU0eOgsS1OsyChxFQB5a/b/h9+I45yIo733aZQYLlC +iZrAHfy403WqhqKXdJ3Y/yrV99CNKFpjH9bq0q0Cz/5KieTiFB8/l6B7Gxk7zfkA/chZ+mcFPmfz +VTDDRYZl4v8B9XMDt+mW4oULAXJS6U5KLWR/6AIW6cuS1geQzm+uDFflKZZA63mDugc76RF8BhsQ +u1e2b47iAL8HkHkybSOOzOUK1YhjdLkxR/WJLsGP7pjqwy8eI+pVaCnTLfMayS8MReDmJF3P5ciG +oQjtgw4cJ0G2tHSKfJsT9D2TXoeoSyHMKYvyc6Roq9GkE1GBpbErK6tHVQgp09OQrJL2yUra/1mq +urultWmFAh5IlZB3ULQ7BvWs/ifpvByhoXaXS4pPRWuUPAJRYiaQQc6eDzDHuSqk7Yb2HFHQkRuE +YgExeBrV59WZzsgeudmL89ulqJJKH/5VDdocE+SFsqzjUmoDJr2Mm60oK1cKwruNZeZc/IdWy4vj +PAf3obElzDasxgxxjJfzspdV7bG2TBH5GifA7QjB0AveGrjhAUnmNfi+y3qjyoN3KGFUPiRazpVO +pEgtNx8a66qafWrM73BN1XsVEQC+1O0BqXDd8v3bhOHeX3aaYd2gH6e/p8W80Hqf8epVuQyruHzi +fZ54cmXlt7ntxMuu87+K8swK7rvI7xhgfHCZinu+LyTgJYrUvgKKVPcVh/j9MWfpUsYTUuhHcmkC +Z7AZJQqw2+fIKftIMRVDaLG731pyAwdWVLmkWssdqR61l/GvbQ/MLr8H+rHt1wa0paSWLtHGXTDT +FPCGHuaAf4sTRFgW95jDT8QW/rFaNtPrB0+JOvGSIPlhB6KX7hgogKfMjwvI5DTDaq99qvyKD9RU +3NyCijjXgGvcWj9pmbB9i4K25GlVX7u1apOKbP7Y0QdOn3RF3D9NRXY1FAGdapV66jaRyw0MKjg0 +EXzQB3vsIkAkEcLmEFza9oiXlO33YBqyVXjrhZzpuKO1qt5XM+FcC2cxssF9SESwPqD1yvanCLnI +DoePyoBvgDuqpwJS0NGW1/fwAe02EONvTD/nSsd5xBvJtEUm2z909In368E+3Fez32m94nU7CGR8 +W4JFwtHoLq1r3/ev1bjqE7DHIbixHySZ/kB5AoMsYm74yQUttkrPf6j/EoxRvHFoSUZ/Cyeaf6uE +hOUlmEhixyehW2FtUsGIYZPGVo7nDAJxhWF5EdStk3jaE6E4X2QaBWXKR/k5zF8hPVM8wZF/A9r4 +sH01KxVvd5cxmd4SftOwBBJIz2bR7J/Mj9ubHEFy7vhzahF9boP4pQDZE8QSkB6fSzXibXEqKsW2 +KNrloymMH46Aiv489vei9gyPZKtKInOmjo9mrYoL6pLTX7uTHBmbg7d4MRoLS9Ieef3+P4/LtLMh +rmZiBGJrdnbbNfMbuxqRyUT3OVIw3iFufqMQOu/rhzoZ2hxbJWE6MI0o034L3Vbvp7afPq/Uj/wX +OU1MegB4GnbOTNq28NX9ChMJ76ZU50jYAzwREv5IG/Vo9cuE6JjJxKfh4S/kOcdhhg74ySqp86Tv +k6oCA+COOW8JqGoQgFdomWzg3sgQxfFdwpBIf2qzbZzHxAKsUysP9vY1T9mZBAG70AM3u1m5MwcF ++RfEhvfvPkDm0+nZDqbn+KVCv4WYyS8WlydZygp3u9kwgJxm4Z6end58Hf3KPQuA9HgJNFeL+ink +B/5jiqA15iXn+noDUu2XOLKXgaDwmBf+6ILPCsjbkq/e1KO++pi9SMTzsDgUS5edM6M30WVq6MkT +Wu21ArB1tMymKPTRBDnLifQ6NFaYV7MLtIB0CtgN+ewxq/IZdgB/RRDW1jGl0jXwHipivskklCSp +lXSqlG70719GkDUUqNsgZPxlkw7/fpBKBbHeOKzRKijyMsbplh1Fbi3ynazS8qRiqKZAVyJgIddK +sHfAgEDfZO9NRkf76LDj2co5D+aH0/iIryMnxWpyZidWlLnap9c7HTVSKvgbVTYiE4GGQ9ZnK4VW +0uBxR9BMzLrL8twgoRK8f/1Lti4ubSqRuaZnicXAv/Z9Z/mk2OUxlk3bCgzRHbLTJXTI9KEil/2B +zx0KVUFKsp/rzF6vsi4c/uyQf2QCarohXk9FVvYKL6wiaAYyJVInoncU/ivyv3cnbnrzts1sso4L +FLGn3/S3NZcf5paIxnw6/Dn2VwQhAkTehsaTZqgHvtRRWD68Lkx7KdyzPNOEm07nwtFReFytXGHI +HuPp0d6575sXuHY9dIi1n6P3Ki7KucIGL7jSp604aLs+t/+3cshLiqrPqmS4US6FLmLV2GsN51LG +/Fw4AgONZhzA/AcyzVZ4HTS1Kd5BTQ31RIhewFIPMqz7CWYBCCwF8MvbRiSncuJacgNpQX1CZR1L +2NO3v/rBdDWQMuJBTmWAAi04tfSfyeQeiYIgi9Y1jlSNu3IP6GtmTjoT/eCbIHJyiC5of8MIswDF +ybuNMYph6ytmr1BGY2ypl6FEVSA2CSl2quh194MooZuU+L7Me3HeH7wB3wYVwgLUPgFVls+JJlX6 +ygh1b4PQUa6IPZ3chfqbdCnL59nxczw3Q3wonox6bJpkVvKpxjE5meTUGholFPtSeWxlJ97XKnCz +5Jg5vmhlASUdTSKiRR1PWqeZRNU9vPs6V9qvRgw6jYwNWzKYl66lTajfmCP2mtGlo7Dv425KjTnv +YytPDkqcdX7hH43XiMFufx+JA4ZcVmjfksVd/0cm2UHGFUdrpPUTpo7bFJe7xHLXvTsnppVJpEUn +UzV+X9R5A7PoEvhALszrx2YnsavjyyhLvgl8zJuhCW2aNKy+/e0SHMmTxN45HFfn9ZismU2oVkaW +M7nVf5nu4t3JPlcObMzwArjvnxFxSepPm5AGK8DlcTJhWPMNNy/wZacHGIqPl/ByaaDngX0g0eMW +LXRED2b0pOVE2iPO9qyWhP3BjdW9Hv8OCQrrmFgLwWFUg09DcCbIK+x/5LihndReJW6EO2Gb0V1S +IXIk7fDFc3WKFe1iYtYRO41pyaTGVDOjDYC03DSgb4uFFaZpqxrrQISi2V2G8z3PoNJAp6GYHnhI +1n17PA1HatACY102ICBYxPXj5w2vjgvZYRsueyL88cX5I87XMyyJlGQczVIITVSf29WIPgxiPV8X +DdOfASEAN4sRiB2zyYySH5BMPn09h4JNkndxbhkOPljQyq7dRxtyuW800WPaFrQ7pD3R702GkmrW +aJrK4W85i4lDm4PjcmPkZcHkaK58Vr7YHq7lGW98q1HhQtx6EMo4TA53x1Dj1x2zSD0+/1zlCAEv +vKi2TvpOnbNNRsbCEa777tMfYs58rXKx0yLVKzvbFX6qps2dmKB6hSCGC3EJ+PcXHh8/sbk0xxBP +gkHc6jbtFNSEGflpkXaX26UTkocT3WHQHBtiZx9K1kj3ezOLW0qv1OHsFPxY7QP7R6Y6RcOYQ1ke +biOpuVtb6VRmLlmipxR9pU6W/Q99jVQgh9he5qOhJEkrMuwsqWlJLeADVaymcJE/V0PJNnuIXXbr +c/dC9Ys76zJrzvGaEDW0NtQXPccjfybctVhK8SJsn41cqTQVZjQOdtf3lAg5jR/0qaCyExdpnYMu +LA7CGPk05y/yODKHq+N9iYumklqKhynCvTMepkFnZSEle3uiPwzbFoRji8IVaww5sL8suqP0hwzZ +G6xjkL4xfPngcuGjYPKLXX2yFo60uf6e6Jw14cAR+G9lEyUr4Svvt4OLoL3rQl1K5vLbHP11gJjk +Gtjc9hLoHRJ8eDhjYdFr9VcvND10HchH9I5VPFjdu/9ewD5PvdYZ6+5xo3ySyrDeqA8VmIwssMWd +EQAdgmsh+a91h0+9CK32ubWO9GiF2C3G9LgsS3+k+XVsjv6uLICCfmeHhiYZz90VqJ5vroYB+MR5 +telC1FCdrN7JEGm+mSlNwhrkhZpyHG9SFuqgTTckt8L+JteDk3B2Xvn2M4pfaAc8L4WX+/GFkw+e +Qg1o+KE/zR9diG23iZom100c0S9+Y2DHWSWwnbBWXz4ktyYMzzigs0qRIlfb6DPMy2nKyvhp9Lo8 +YSDWmhw+ETWoxlQw5vZNFCCrG9kcSkpSQ65GSX7vp0MvzXc6HB1Ny4P/79CklD7mOhkbtpQMRQwN +zz+oqJalx3LN+mxLeFESOcAerzOHI7ru2K0ETG6eIPpCW0Sovq+JTc4fH/T+ZVnxcmfZNi+ASZMG +GKPtH2gGAplmNYnuSu6/dl/edqbzKdno0qb2IqS95ICWyZWagbS4VlXNITBFRg8M9r4aVUXfIUx/ +Q846jXXnwqk8v4CMUxT6QjHdJlUVZY4IB/cQgYat15qedPW/FwDJlh0x6bIYy6y5LwULQv1Oaarm +sLnS7AeQDAYyKFJLw6Ty08+qMFPOc3Bd2AS8pNXP7blCmLhE/OkFoMKtAP6I+NHenjlUK7fr4pWF +oiLHZ5bx7OQtDF3cM9GMdTLzBu46XG6ydNBXRnnSbHKnxtzS2DQZ+WF4DWxSznWtIdWsGAFI/2RH +t/w9ev71mxx5Oj6UGAY+aOOaJlYUPANAQ6z2ReK9x7QPGziAFmT5xURotQwj6TARd8KCyjsUzel0 +0hqzsh92C+8dTzT9cSOALNIIogv+kanW567vLPZBBEHGLfGq6J6NTStwn/aK3eVdEEaIn/pZlwZo +ndke6rvxTLOot8uql+3oi7ILV49LCXsnD9wRLCW5/EKgYT2pjMlnTkeMrYR7DB85+t2yAPl12BVr +U0PuOed9iM7QA2iidPC/QwZX1RHs5aryqFbCKYtQgY/N5xWrfcGRBRPqERELRgxzmR4kaech0BcO +OeCE6YDRbdeJ1qOOU2uiouPc+bKFVLkb8kfcSR3N9d+3IGLTDIu1ls2MUGv731fgU7O7PFZpi5FN +n7jXe2PvZWTG1q6Lx/w+yFn0Q2Ug1JqvIE+TIL1vr+3S3QCPYexFayyQ2KrFBYa68+kS5e9xT7YF +KHoL6F2LpVqS0cK8LtyeMU6/NJQtojnyn4bTug67dakL5TjOV5+8VYNMwJAMEVTZN/vx4nIObWqp +rVjmjwxa9QBoU3rYIc7BD/8wVNbl1tzl2avqrxNhQwu6rNgZPrJVtuNb3intqai41lJssNklfJ90 +Torx8h3JqLp8Uee8utanFc41XdJTCwr1gecimG3z/HaFHOTclA4OLSciGTGVULgY8j5Kp51lvDRQ +BSAZE47+Sb5FkGl8SNAIC72lJiM+izSD3P06HsMAd3Pqw1+p9fQwat8ClStcfi1FxjLphLZy1gxk +Z7qKsRvh3mxott6527OX2Z9hz5W9LYoODtagQj13A7vuSQxeGhFK+bpw/geQOpC7km54X2kZM845 +cduVmtiAGNzelaycGc6blgQwTIPn8ivqDJgYpI5A6D7CyV4MkCkS0dWZmPdntTiifKkO5wu51WyY +xbS6RJdH7U8Rl77mx387SqdY9pRW6EDW4zJVrEewSxOg9UWDXiMqHaiIgYMZBsYkXhMd/0SRN6gS +VXd3bEa3PsPRxV18e4mw8MMkGqYO8EuoBhe4hKzNIYgYDYT1X618jxPImboLRvg8cyihOGVlCINU +nVrZJQvuXFGX7cFoJF88E3X+0anF2PqeQ5bXzpKG5hu1CBN6CYmBq27GSDEaG3RmtKVL2rtJuoVg +Wb6V/BcfAP35Ds0UlbjjGwRvu3KGtJpk1ithbJBU6qFNItjmsCkMXGS+/HB6rTaJcoY8Pp1Qp9xp +4PZB062UfonlOy7IBna7qdrIA9qqth/y6ieaJouDWKg64Wd1DHa2q6o8GkDZ2EXguKrdczIbzifw +9AXss4tRKHU7PqJ5KRa/Kxf09wYKzm+/wnjZ0s+/abNbsqMIgl1aP3VhK4TInyebShk7YyZjfGiO +o71ZC+bQ3vFUOsS2hJrCr//RqxSTcA1oRAPls3ESFXwiOjcSVT6I7PKhqJjCghuldCkXSJR/Yo0B +pOveBntKYiRm895BsDl24nY+Ld72OAjXj7UNntUvofZxE5fuWukn2LcrzWNTgBgo9PAl+wRcArdy +q3sjK0aOH7om32D6SDb6CTgbxZl0IvMKhWbVgVtCrGwSIvS0Z8nDW61grCj5DVQbaNuuwjb5oPEa +KZySQBSYpgL7Tjvziq9s+KWqi50PuwGFHxx1SC157+rx95YN9OYYrklLwLQyGZ6+rIr5FT+BrldZ +zJzwuMKsGhqrLjI90MOhUrrDY//9pWCRskK9jTCFkf9/dy2k9oeU27Ui/GPiGlCao0YfjXuldiBa +s4u9KUUxvRj+u4yBvPxqhZjkLFH7U7/sUxW7QKb5eFA2/P3W2WZb4y1d7v9fQs8aDq90J3X7Ze0y +GNlXYwNkSLbB+x+7PErsc3zRiKU6KqA5d2FX/HrRPhpriLDS+gSztY4JWbaAL/FgFmy/U8jaUYh8 +ud+qAc30GAKl7917gghvZDz82JmGJSV5560GPKj3GJ3soNfCXv+EbAdubdbLgpBV0AQf1ew4T7Bd +0fnRO3pkEnyAKacoVuiSB0ddNqVEDTWjymSaOukcrTmXkplfVlBl1+sOl/72zCD9QPb1j3UzQHNF +Cz2n4VwWzvUI0yOsI3P/YwYR8e52SNx6qy73J0mZE4pTM5VO4q1CBowA5Bu5Z/x4Tf9ukEFDiDqN +TwqZhmoeufkszQTracCt6pRHRbBDalp6QPLeWIBKmsvSI7BWxdCIgy6i1PYoXiTYfWxHo3V3nosA +6d32LUD1p61bSvMvsezfsBk1LvVPdDKpUpQa/FdYd7i2tegZ1GBqhNKyz3K5rQMGF5dMG2gFliKB +Z0+JBguRhzIco+gBhRlr9fSTi0kqI8XrTxpD1rtD0k0x9xPYn1cQjKp5WOe8aSL3VlRP1Fexfk/v +96dCMfMQKV6qg9Nr2vK8JNfgLPphnvlVVGM1h92Z6CrdQMScdekqMqW889xidYe4HuYmNxDMCvGE +c/AO89J+42HuDXYxFFFgDUrueg6rvRTC0Q/MrxGTEX4EU7fKETFOrY8sKkRCpaSqVJO1larDssfv +JO2XNPPxWsgDierly83asoq4l9Y2+XsMPlQpZ/TpP3svhyBwlfa1C9WyLevcIZ/ksLttBxl6o1pz +DYSibHHfzWinXzcIbV0ZOMSMqqkBFy/ql7D47i4Ux1jkDOK74CN9UjaVh8MYEjXSQgNvWqWPjvuK +fCTaBlY2l7LgbrC67S9eZLjPTrdjsVBoxHkFnmQTMjhM5dstsBYzwzu0Eis04fgnozgTBGnfWNfY +jtX7sI7gXgA5eRlPQLeA5m+WIwzCsSEYDwxZS8pE3Zx6E5WmK+PIgewVVHmpqRnfnhtPXXl3XKiq +NAPipiRzyKVeFv5bCfp080qm6k5iOrtUFfhwQYFoc2bNUWwE7iU/TsVrpkrrKy1HPlSceND1mBEK +0XtIKUjawe9bkGSfKz61TcY39vYD8+DtRn88opQ/0geCqT8WKWsmLXUFhZD3+Mr7wUmnPY8iFrBE +OXIddZTbwLH2ZRx3ndkShP3aKjQYqLmFNSLrDqMMARZjLjuI/whRYnGxVImVT8o52pE/CrOEkGFo +8ZltYg7zT0Lwgpn+sKhEF56UOuLCZQV+0t+NY5cHQIvhOkG0AoaqVbieVWslK9PDPrBSe5UIHK2T +BhlZHHOmtIzHhcQIdTnl1tXsiQ3Hxa/GX0Nb4J5HFnMFhZv4ugfz1H21gZ53oftKRlbbeR1STLUX +gUhnuli7G7di1CALByUnDxSvKfGw+GU6zxkfgA+fuJ7uCYCVjqj/bPCGy/MuShDjJ83Sm680aqfv +qU25Vy0KNVxv5geGARRc9oMLM5r6twYIYKguCSCDgd8Mx7tnj6JF0Tfba1JofjBBDV8qHynuLzuw +Aoa0POQcOtRR4h5XqzvRew51Bg9/Ukzf0mO6jHgYkXl93oYdSFRS+Xkb6P0FR/AQkaNXGU3/9CyJ +oc/0VzrMEgYuVGt4vIg4fVzOm23g9iTf8R+KLTI/0Hdi9mgmylP1q7N7Y47wG8iUXIXEJnlx89ti +zrzpKYknku6HGY4eJlyMr5nWA/9n+FWml/wo7hbAjrOjD1MCjoKPIM9d9xN1oAxJe3SrrJROuT1F +F+p+rzBS6iN5ojnQf55vF0t2jw8q5Vi52zsLL+bhgvSRAN5SC7QbGLtCpu8FhrtvorIilBTAqQo7 +gpego3wY7a0qRYifKdscRk1f0o0pU1TVX+H/Y6PS2nMPwVZdGemvaOtFf6E0T7pMhYA0599IuFXH +w29gH3FT/CRKXyyIK7d+ZziOrGAA67p8eXcTdwXXjiV3X6FJGsQ2ekAo/YaCYJ+SvIhj8ktvNFSf +tEJesrn8RXncl7Znwn640iltFElhKk8TY76FTiiPbtA+Rf/fwU+0BrvutHBreKNGw1koLuQJq2MF +5zuzx4F7pecm1H9wQgI8cIcDnGJeHPMLeml495TPK1aM343MKGN+yWRUedG2F7WLZnbZBnlB7XQB +77YyFS4hBMgyWpr8L3nAIBjNNZGgRt29ukClDfpeOsF2JipOPGI2Jk5APjKN1gfSLZkmmRXbMDGc +J1ciAoXfx5YmMxLBAs/Ro5BHZIrhvbKBbhYogxFE1Duo+ekO9jlameVGSQIum90aE9qyhBKvIR7H +94Ep60UXsBtjiC9kxMbdkYuW+1PRW2lY1HjDHV9ZvVQ884qonEhguYbc7XtVKVjJU44TrPRI2Wml +gYL2PRVrFagTMHZ5bKvqbFdSuP8S3q3ztmXECJmMCMzQ3FmUK6dh2UKlmvakkQv4ctWUEOzS++2S +w4RF8IP0KVR5Ae57C8/5vNIctFNVlQxdxuBIbg51kEjILX8o3cF7GbWS47qqFie47akyM2guaRVC +9okLKpSe+pQBGxu3ERnIFqnPY5cQzs3IjiJdLmgYnE01JfcDUnRAVJJriK7DyrGVuqs58XheXB61 +ana6QCjdjvRS1IZbRUJPJX2+iOGkq59+W3SRHAGD7glsCPjvmKQlkIt6Yb6FerFuPLliZTkQB8qh +gc3gLi63IIicFWn9zRnj+yArwaTlJzgBJU75poIVaJx67YyQvb5L95HjSFUaLESo+X+sHsVG9v4d +wTG4AQV6Y7Yq4/TVsJe/8l2fZrLZ9H5csNh+Z3cKFQEXXdodNPPHfvzZCmGWZ2w+qi2fTTedLh7m +WBOhslEmVJXtaESJ5j1t4308aHut80b5wFjhPSXN8+jOxogiejjy/MTHzNS5kGX7f3QkCrRvFHpY +Te7mNgYPerrrInpmpZRDTt7zedEVrI877lIZZQKgRvrMxWiQC8xkn9VU5/Pm8YdbEk6F8Nbtvz3S +PF7wMPrE9HgGfrJZE0gWcQUsvppfMRnCuwsPSHRRoqbipv5mEVS9haYH7yi3DCk5gdu/ecP4n24t +Hxtw5xhCNE9FMxHefTr3GKHq2fcdQjmfr0cndCCT2B+5t6AnpUx6Oz9XSg0XFFgPvrXJh5dUK4Mm +MGlxKh1MxqBVI2jDZpnTX6A8ArFSsBY6UGd7HiIJa5gmkdoKTRpPCm/e+hliu8aXU25VkH3ybu9r +QwNumXuborNMkAaGeKueZ/rirQed1DxUakwWVE8AvaWyXA3loPGdu0XvMDL4k/PPQO2OY5U+E0rD +BTfZocBsYs9QvJ1FOMUiTwvjBfRtR5JN2BtPtGZxdA9iHOafwP7eFS3Y6RVO4QUom4n6EduCSh7s +hhzPoZGfLNx/cx2Wy1YmczvAnGvM5xcEjtoYhtJcfxgh0E9460Qd7lMeZ0nej4r6Sma88TIsOUTn +GbvtrkVXXkFX7pier4M7kidWOp8O9GMlHUZLkveO0XCv32Ghq5a0nOTb/qXKBsxZchs3C243L8rs +JNuCGWj7iRDVqOvGEBh5tcVPYw5vgthCauswhZQBVfK/ESPHcvIuqZ+2VhpbIfOVfIQTS8aWTt36 +ZbTr3RrBZcjctFHP2h02+Q6N3ilJHnsQQESd7MCAnfJdP729Lpkwohngu+/MBkV2KUbMsajPT2Ob +kd0YX/cgVKu9pYQrSDB2uR2ya6Pec/6oxmPVwr6qThnkMcrthMqOpsBSGSUfelrgOWs77T9H4l9z +jSKfQegG8+CHN0sIOUKQpzD30VXT+uiH7M1r3T6C1qTaSb8q5egBFGioI7xu1O7uas41KGHmN/76 +NPiVxRSa39txEaapemxFVd7DvGFC6ic4hohSnagRJnOIYjlcRQFnF1s2SVLYUjg+E6QK5oSPy26S +AoV6eDWvbX3v53Dy151huRP+RQ6MWvqRrhnTcpG9QoLymepdsaGXFdGt36ETg+ipur0hcZd0Yoib +idNlQVbdVF4nZZftbVvLVQ2zyujoiGGlckN2XfY2yqZcpwujFqanFG8v203PR8fRT9hfWBzR18GR +44XLOHA2VkQv6kPTtSraLzEzUITUSfl874ktl3xGZ6BdKy3T7k6Yww3Zfaj2gPP9LAn0JWY0E9U5 +xrIoAAjjzv8DHjhKfSOnLM+yCP4Z8bUK3lyxYqkKkp9LjfuwzloQHUvAOa+mRGljbLuBCfHHxCcN +3BR3/IHhfPbsNkK9lygFT1A6GQSgTFnLCz3FucQ3Bu/n9CQ6Tk8ObakNZ8m2wCRovlBFkpvjJlhu +Cr5kcmCSj529G1jGbzGbWZrLwCJTbAAEzhUEi0MltZSSz4Unryk4z8L4BjYtwKBPkJ+F3o7aDofW +RPQVtyv90hQa2/facbHvRDT2PWHf6g5Bp1Aae20YdpZd1UiHBpMlRc0/F7azGsCG7xBhBj5IdDBE +6OE7C2NZkVEpJbTUgbHDHkijfLDz+sM8szx3pzPnfnZKjJ3F+JfMa8wUen9p8lUHKMox+awAWh1T +CpwhbhgC+Z1Tmg9/WTYYvebs5beqr4ZrESe6r/+/wwwG9d+pvsgsTFUiOLQi7PDOMd0VJdQHlIRX +LNaTSKqoatcNJ3sGyJJSkOGnGTB4x7xTlJFk6f2SabiVt+IlvDAc4EjqlJLhWhouE35lZ6t3QLB7 +kVdDtHlPbRQMzLT2VZLLN1Kd07xAeDpbKn7w7Bcq0QTjPPCohFnMPOa2Xfb5cat866wz4Xh2W2e8 +/1ni1XpgWFRGix1nBD8D4Tl3L9XW/oqoQjDy2eFOvN2Y2WnKBZaunZUMAdBADc9wtMPKQZygKrD9 +4qmp+H+WULw55yF7dsDi6/7cIpwohtFXmXRVNpiELMSexqb7hESNNDfzqwl5CP18/OAspbd7PhKQ +oU14KQ8PiZHFelwqUiaM4OhCDOO6T2P66A28RBfttHk1+U5fidhgQRYOQufOaaHfJJiB3HauafKk +9i68nRntEC1z8zaAuRUGK0dCA1Ull3+8v4CGfxVF2N434rfqwUlp27TkXsaeiuGguKoMchLqt/k7 +z1Vv8N3JoZwvASOWkBOjr9LZ8mar265iCdPRcrgwxF7NeCnMg4TFgXOe+9SqsIoC8qg0mtSiTj9d +qqsJTeuEIkBkSH3UcnoT1X6UH4alVowb8229paEwXzHAHLGqUvvY4RvmuBbOZNNQEejRuD4m/qU1 +5DYfz0nQFoBWKA4e/Aabi3LIrLmw1is7pbN8tODoOJIoP1kIwbQGHk8C1EaMb4ms4J87kKOhEZJM +htw3Lm8eaBwqfK+wiUwqGUfuwNXhbvsnv08EhzJIJdEPlOZTWBACmZ1UmQ2bBT9B0qRpsT5j25fC +kdJtysq19S9uw20qoLeXTKvuav48IUuuevFHjCfqV91OYB6CtDG//6A5qk0D0DASfkvtCsBiTfxB +zLdKXHhw+5oaJ+ruP8pQBBodrSQg1Cn4JXaND32aY2dRuq108p3Sub3Ebw9iuEaj7RajT17211Vd +Y2oOQRMF0UUAXyXobfjnJTLTYVEgfO8RxrmWeBmWWFPKGq6Yx1REVU7LOSGscydsJFqIQw8UAE4s ++Jvd4aykNQ+alhyttfHO5YZ+c0q722SGPVNcJbFAlYIs3JnrkZnddcdvDva45UAdX5+EPTzmamUk +ubMqFAvXKPJirSr6XtG9kOV9H87asiI4ED1i+SpgkhVZ2y+kJdaLXKE78lam1XOfs9OkxbLFcfSr +nYkBzXSnH8sxIDDSgEdPZnBJQoe8QN+HvsbHlG/6TD2cS3RBdrVr/9YDNyW667ElIXnVyAKKCkAw +hF6+ci18dsreDNwltlvkniU1M1fAeIodD+KD3NAa4YKlbRfHsnVVTvHsgKAKAAIsAOJ8GNTTO+tH +hhP0C+59q6cyKOMi9giArk5ek+JtIm+vqAjho5KObVE2IHoh20OsVE+szMyFj+xqL2qgB6RcoMJ8 +TsXmzAKNOh1trsViYT6dupp5LmVd6jItcCtZknDmTZ6f4v5wKZJuv9292++gaJ3AbGVaLG3GLT5L +MgCIHryfU6O5wRnKdoRGbHvxlFopITGKHhFYZz+GOuCL6HdOlKId0KZA1Ha7eCLcTopxAXTufPPW +/Ot+PPZ4jlmidHMyBYY7JKgrJSgp+vjhxaTBHvy1G33z/vMqvj9/ORLE60I21YliVwwcG4Ewf2lT +mgPMNZpY59tQEtUgmoJddr/lM/TkWGsQi3qMvLvgA1f7clw9tG8dD2DhkxieZHsVreqgNy6pWBWy +S+H1mLk1dWsqrdR3F5EHtnt48HOlYQQTHdGKAso+gyoMljSPdXJCYFZwHEjJmIsUhoeYRB6hqDOQ +7QDx5BugUh0azCiIOzpG85rhO6eVvJkRaxcKJTocrhuojR3zxWbz8StuvbUJtiSu8EAA7XyRkkKV +Tc4rkylUQ+sxxbvXEfYD3zo0/npuJnGiCrtDRXPnmrN2E1Ph9RHerUmQteyC+LBDX8Pserc2qGUR +pI7sAtaJlwYkK8MrPMMcAKf69VJjkTPHB/sHiU4nWMe+fPU/HQamuFlmNAGu144hwnHfm4/LmL/H +IlgFJ1bBtVGlJlheA2It5bdy058dxd+xts8EmVSnsqg7/q8m2+N1LUbSfibpeTfTBA18DVqe88cF +54qXPOMmGRdcs2vK3Eu/bgCBpEpvHCprlRat0XgDsG5Vv9El6gNX73cx/PgODhQTtvWI/Tw/tOnX +tWxAkrOSBmQOoIvNf+tKoYYZANKfNGwx2zcrJBoochTsWOoufH0edQZVVOG/Fff9Ev1g8XaI0yKy +0HlYLf7ugFF3i3ijRHaRO4QTF/52TZ8/yCk5yQgiIytEIH9KY3XqO2DedahX5AkhdfZh2XEbSyln +z4VU7kW28K3U2A1VvVVAvQdopDNBRGX3DDa/o/H808Vd562iCdjJu4SW5kg1SqzMLTiT/6ipL0sP +sTivKa+5QOSRJ9cxcg6/R+FmRpqsDbx6A4sQcOZX0xjDYx5YZ/AL3pQMHnDiSzqkpVXhePCU3/Bl +5ZYOkCyaTrkz9Z5krHoMjv9jKDkCSWGG29CLwdgAvPoQ1CJZjnlixRsIyaF9DO8Q3CvS+7LN3K1U +TSUIgCmDx1FieQcIvvL8+Hqk3wE/TP26jLDYU7hkCCjePw9HwsyBsrQTQwi8oNl1us8nezGcg8DX +k9rvY1Fbi87xF4cqOn4YyMn7stLQ+LH8IA7WizO6z3MniNRt+xgwXfJSJ4AuO4qAYGO7ZhPqGLuy +ch11KcOr7N+vh/EW+sP8l3gtKeV6hecHAG2vHOfBNBNqesTZ/vRp6Os6xN6aCABHY+8H0Fl77NuU +KpbPawXjREmHE94vEa2xQY5TS+lMY7zsqozYndMoBRnxjpqX/+uq0u21k53BSYvppnncJ/enVzVp +RL36i4zUhpkWB65f9xaNBm2tq63IO8eLk5aFEhPs5pNNyn+R7oM/0OfXFALWMjY2W1Y4r3zxZ/z8 +xGSd67e6vpnHYQBA6KfKhnB8BJFSQex4AYzNH1oi+RmoigGEmKTPR9NRn8RvXfr3Awm44HxWlf9h +w11KqzHXcJGtM2+U57aykIoAGxo98KlVIV+c30IoLZESczU4GJiFUDxtxNNRejxVSA+IIfQIZk0l +J2wrlWF/wWFRtITYcORjzR9deDp8er/Jq4yoakpqHaYGw5EUsBn8Ck11/o15IVPERxV9oEo0fkNj +2y4TLYJln5FJW9/td8QYRn80WK7dg6QKXE4UNjGFMtdTWZvNzfoOYLDSu/gEQ38UbIfdTtBAXUI3 +p+FcP4Yox2y1Vpg59ncY1iQ1SE6hutzhO1iWhpftNXlbFfyp6Yk/u6mV46aV2oJ+jAs+VT/xg4I6 +vU6mOCciBd5ckqcE2LPC6LBqFFEa16hejjEIXZcmXR1fgH0CpqgfierImUraRkNtQGvvPeZIGkHs +je0t7ZnFAhfwwFfm7eGkoW+yAOgmm6FP4vEfz+adOBZHX2XY9cOD+vWoVslb+vPBmJr/2HegSRpy +87KbXeOg9DMwOgYyaKS+xDcnvCIkjamtOWiGH4QmcUOybJFWAHEDSom+B267bsihuIsWbiUZewkD +hUjaydBFAbu0NTCA3YByLI52ZU8g+1FgiaH321sOCbLfhS5ZFBo1OQmEyBG92x6IHHYls3dYml07 +C7HGRGQfrlCc4+LPjGXI9Y5q7SBDGVSGl6bojo1RZGL2Qle4aRgcJcXSvw0BISaU77KCVLS/nbC6 +sAM1CdDI3gtzryAJF9hOZ++KsIu3rBNwcWwD0pKRORvjaiyDj932b3XAXnembdgZ1sGeX+SRZeLh +qc+BD/NLw5Fw4LLL1NvWtI8XfF5pk1WLcIyCvt+yExqtw2FarvN9wPJMpE/68MsPuI4L15mC3IwC +aIWgEcLeWwfdlsbc6vpnVKjNjqdxtGzLGIR9adxWz2sqK3E/s3HMRZJED0PTYoiI+OlsqYI/cYIz +UfaYb7gYchL62x89oTUBBWwI1HruxwMkqBQK5xbxIdxJsORp4ki6KUUyStP2Psrsiuc9WBzUFR40 +MBT7PPcPTNSyOemrIKokjAt1YwLPQvd3qC9LeDPxctzBbJzyiB7SXWdi0eA4UWg5b1xRz/ojRki9 +V/E9KlXd16WustS35Yhy95kK8J8SN8eBcdHONeH2QcEjH61U8IWN8W4Om3XjbOkPBtJxpEJKZGpg +QpwfY0axQhVY1JHSyJjDOhu07yBXkC2H6s0+QbIBI+PogiMQc4rMJQsjVdhQ3O5+KFtRkkoyDL0m +DeGb8Ns4QF+I66xe/vuLnh1tOYEt2Xj34TeuXJ+JHd2cjAufoYV7jSjUjK4HNs2sWz2tHjl/P2Z8 +1Je6plj07LqsxObqpBT2RYns1txRAL+oNzJvyFN4hibaPKrIyFbB4j+mvH2MPlHIYLpYuQA/fLZH +oLGYBl7Klt+2su+KDy7wNWQ7pT7B1uTKung4P/RQjbll4ewRfKjWcmJNa7Vq3AkqNxTGFRpbYvop +qCpfGzbLuhJqB7/5IjDdAFqx45H7s4couJ0fVUX+XHh/EjXh93pLvNk7EWkLID0AKb8gBehVWrbG +c8y68W5H3e32bZ89A9EvUDOy/p3Z3u52G9hJ7GBYEvBXGkHQSerqT5jp02tMbGd0XfohXrooPC94 +M3+tV4iJbVUy7EIqZmQoCMfcsFfQFy5Ozah+c3PZ+cxIQFPLDXcq8lv8+zh3brOzHUazYdjQHEO4 +f1L7cPUTQ4rTzTbUkmvRct/coKILfOz+7B2tCa6U6kKtvZ7UqOJdOCJlnMKlj+TEkOz2f2x5JU9k +5pZS9IkrIsy8U4aVtbBkl5OYHeMgiGBy/sFztVr0N1WuJwTIpAA0xitLIPnKnyj/DWE94jQE5fcp +rn5pwMpyK9sD8FI95kymBZU5m8/ZEmZwToqALf+3WCtjQwZuS0ma2MAbDcmCr07I79UZm+ZrSk2y +S53OytR6F7sO7CC/YC0f4ch7GFRIHwL0j+maV1lP6gOGJr3hEahunDcNnDDtxqmCoq8BK+d0IOxm +e+xOTpSKwipLyqb+DmFBXhhf2wZeR6nQCoitV6/+gwmWw8b2+6ArDYcb0+BPAnWCwzColj60IwOS +pO0jXhblCyLIShnq0w2SHVXq2e/qXBGegHyp0yKF/sjygwbO0u5ihfiWsocOvT0yQFqHfIsvo1Wr +9z+vgPigya7np9xcd5pxVSf/XtQGmhdbgNbjAGVnAPEEWjfbzZWZuRStSLSJ0Q1INzlwEl4lfrfS +CfycUiVAeTRYMxRlHIJhfR0MbL3YqxmbpQgjo/H9UiTuaGw/xup9V9zxcrq0LjH7a6On8SMv2yV6 +T7AGPQABXQUtsPEbZyjZu5aRImCiLl37F6kEBny6xofqr+/7fy/tII30UM6iMilgroWfq5tq21Uy +Xh8ZBgRZv+rq+gcZTHKFsJpZ5Tm1u0dNrzYPIqZbuRgXfZKzYY2bQ6qqVGWFOWnOF6DGfqaUPuLE +sqLgP1maV5fVpjnIr3IEUO5CNC+z0aj9w/9UCg42MFcEYedUYeHwk1gbjcyW0XHHzVsn8zWP7MY3 +Nq/NOJs+iRC69QBgeOcHJRvhpm9YEOfI/8BKAK4SYBH3r1tIE1UOWqQtI0EA5r5PTUtRLRHVy1oz +03fTGMkfuGwNqXlPImfCFf0zsWICgO0jMMAAl86l2n3iUibgOdxdExTpBPdZrwIwhQeIiz88QVnn +socZjHvKPFvGNWQaY20o+UTzaIMrHR8Cd5mQnAv/FWT8ge7DTY9ezZEfnPjxt9e9qNVbx+Sv2CMb +1J7c+bRRYD5fnwH8l2tHLK6y+a2IbdwOiRZed6uhUpKHG7ma8PdpcMNnrcqT7+Wfvw0EAoOvAVFj +rmIRCssz+OKAh0K5DyKqutDNMTJGSMVBSQKveNEamlA4zp+HZG2lcqyKJMPRJipzr9oPSLPp2iqR +Aspem9Wu9U2fNlhkqj38/Hj08j7qSGNyXzLaNWEnQ9JGLXuD/h8bxGGtKFmPjDpjb8Vt6hpMGHYB +ackcuWdn3LmdO4Nz8tzGEMGIWd4ZfgN6//a4p/pjoKhNW/Ih0NC+lCoftCLiWAYaKMOif3fyjraC +QVM3TqGRS9fW03EslJqGqBmWcxt62iDiduDy2G0vbSpmg1rFzQw65jQ135pI+lbo2moYriGygX8v +Fq1bxCqqf/Q2ni/DzGzo5NCehKZPbdMhudvwv2Ribi+mlEcSVNXJClHFe0lyGg6yJBAZP5iyw5GV +Y+3fPOsBqq+/JF+YTudXI5u4AICslmosvCo07EXBFHk5PWtGJ/Tj9IFUdqgmNlSaSYa8QKJiFjcI +ostto4QoL11ebpFbGDdpVQJs2X5EP8uQTSfGjMLp9ouV7kNSGF01CHpiBjB9bTucJQLnZvkAwRav +kXHgGPUmLuDsNIoJr6WchOl7lPYUN8Ez5lxC0uRs+zTIy+C2KJURXcVhF4MfSN8VqGsr4QHLZwV0 +vmAnQOcFYFbnT2nEXfir5dx4VfGtN0PQZjKNbCC1wh06eArtk8XJ4iW+YPiLM0yedn1A06Li318c +Ez3M3BFhk2W9G8dxtdptgPECPeM6vTlWj+kENZD9sTsKI1IljgmX1FhyrL/Nn2AzD2aca3+ON7iD +PLA2pf8bwc9WdMGypqjRVARl3X22VO1X0J87fJbHibkSnjTf+4Ge8aYDWFDYJVM629ewlozpIOCq +2q6ymrIScidz7XHQkTJ23cVm3TDiSwHOvZ0wrGpj5BTgi5NpEg0pfTTa7TSN6lyDBv7psqqQMO/v +Z8cYblTNkIunOn8ZO7lvU0jPOYmTBEcSaoD3jCMNz7mfIOIjYbXRXVSBBUu9DttkvpVjJK3drcCF +dzsPdBPtrLlxLmAWK55+PI9P4wTAt40LevXLpDcs+MOe5O0A4Lha1P8OsxlQtBmI3+P7GY7/Q5O+ +nKipwm4YTdQ5nk+KvlW51n20OKxXxU3/Biou/3UWYQ1upvkJ8FL+LDmw82cfaFqeIG5W2J0kKB2Q +XYdSSHb5kafJR+CgFMTn5aVjyOQtmefMzD9+ppPKxF+SZneVrigS7e+CttAd6IqEh6fikws66Vh6 +K8fhDJTih8KzAmOwFcqTSjQ43PiqijqLdsAXpTnnQLBT3I4Z+axIFgr9FuEbkSya+vSuxwMMFPvk +oJkw1MaUinoFsswwRpTPdVQ07N7dsmNU9OtzN4r+rB3pUbaOmKw17TTpGH68hkoEzrBQI+35ODrp +TRXv2YakVWhbtVvD5ZrU9nEC4kKv07IwNhauv7MN/f9n0Bg8xW2UmybMY+reV3bU+PicU+kCQ2XD +qiMqc2j6hdRUxaJymxglZcipEV27NK3k6NuHHm8UoEondLEJOrNzYopfcldu2GZgKTtjQ846LTKx +OfloB+Csc5yMzSRipH/77CdG3RvJ5mgu4cwwNSz65FfftY0/IH5DKVdZgjuSKDA3cx6DEp3U6vde +hDGbh2vn37Bocx34rs2vLcWqisD2EPfO4Kt4GFbwcMRVbhzQ89cLVlfuGzi/U4QlGvNjKS9lozNm +pK5JjBSV08fXiIFpq/5HnyseOc48hp/AxFhNhzeeWttrPC4/NPpggoWDrHHkdcXppFBmReDMRHLe +0JSM+NrBL+eoXcnxOVnKuDp2a1sO346/QHFVZvlRWDl2RDsJVtVpOcwN5XbZ1brSdU/AtHulY8NP +dbMqyfoWrZabMjIPm3ZGydLzNaZlx3NRw0A026iGWCX5uaUcorUu43Escm5WLyNUfK4xfwK76rVr +YKuDJ1G7isZsGV8kYq1XJToJ2HGi7vaOaUTbNIgNUI//O1vOnHkst/dvULxGPL16Nc1FSrQOK33v +BoYJwXRXaDbqwDmrHUZUgce8hJiacKB+mi5a0Y3OvYoc9Fkh6nPyAsCfvl5bfehbjmcESS85vBuy +zMXZK1vV8re+yPq08we28KE6Q25KmQfD7GhWQK7lhlGq2oFX3kbqSLKwMwhHHB13QfLaqdQqDdAZ +0BKNWr2B21hIPIw7Zl1Ch1p8QrCnEamgErJ+j9l6WA2yfgMzupim9tC+nWwTY1pxkC5HeokPPwAB +SKBj4VVvosEQnU1uPpjsNqFbExyZG7aET7lAm1M3uG1ADP4scUhV79psZv6imZh8iKf67pPg/TD6 ++gGUuW9cHhy2jCgoTD5EuuwPeDUlcdgcUmxkeAuaZHexbHWZfBC7YT7mhllTt3YXoPoZh2yb1IVx +M9OzbcQ+3zeoPjvXnAo9PRkTAf7nvGJ8dEyY1TYMRjDQeeiZ8t1BHqhuDnci6DYnjXb9imoJRnj4 +w+chcNl6PgJ+zCBd4Cnn9TRNaHM/3imJk1tT/NArekcM4fU2Hd41yxbKb3lMVNNoGm0WDl9g+TLp +6h4/m6ba5wL+Z4A45IRePEbz58lV9IuSVuHysEZQeAdd8DZeNFUG2P9eNlLujNtjxZAdnuJL2jPY +WtGPTubotCdMbF+JF5ITLd/si9guTg5McWJ7rHQ4RDgwPV/ULAQB6vJVOX39cu+uck7ymtSnf6u+ +BPggNwUyNUwnydu1qvEz1cZa5FjIdiW3WVptJuVCBAs/+oIafuhdsMRfw9AaSqZkV/GnNy+ifAi7 +2EoVoCPLh5wZgYHOaICne9vpTzszCHB5WBvaAeQyxwD8xVpH9jsdfpuN+LJH3Bb26Ek9n2GGElzN +VpGUea58+uyz5qI5rGcfLYLvRe5AnB1wC0hKiy3WG/+ORButhpgrdooBQ2knmHB+VngK5hYLKsWs +WQnasFdLphnoL1BjCrT9RweUiJWrSPf93OXc/az5W2dqA1LJc+uCcBQZQjVv5pxkVMe8jqoyfZ7a +tTf3lA0d6VbhIcww/h2oEiYazTC5L+V39aGWZ42P6Phc5OtxknGdSDl/aIGoXM49Xoy8ABaiAKrV +l0RUWYzqtyDqp5BtbD/8EFzBaleOR/abDO+JmNzojqy9GdCzaLQpCG7B25lELzCMU5JPJJu7Cf8s +AvsQo57OOuup582Ej/FS/iNdYae97stwV0/a9oQqAazRpKbec4yJtS/8Ek+tjz1hTfvhybH5D7/T +H6U4SO0+iTo8AuDNRwyeg//YSglw6kf+TvJR9TMiQ1b+NrLjdwzGVf/5DAnr6BM5bMJ98cBeagEY +X3bTxnD1XZAM1QbQcbRwf/zO3nkVTG/VUR+TGkwtMlIi+ELa4u5NzTkbM99WVN6RoRT/zXq2rLbe +zKSYN/ngtImr0YWz9fuxAExj9UvjGpbbiNf6XikLySvbBGEqOUhtpca0ZuFWP3OWafNBmuvEkvBs +0k8wy/ytJiFxAoHbwaF0c8SM6U9jcGAQ36CTF19UNJjGySo1EsHw1xHVaRDG6FxWDEmk8vLnGFiz +KQoJT6CU5Lpea7GQMBI+ZqFgmBbLuyhLZJjsar8WU938q99jC7Kh55kWOIW4nHUY1TVcl9shcfuR +Oeq9CvQa6ypec7MG1Egj//Hy77eI6q6MHMlYM7UhgUQ8el51HuJxoUZtQOC0lX7okyj5lqt4Z/x0 +ro8CdS+Th4ZqiDHGKTPTXDfcaW15FHYPsQ/aqN3ykXzFXix9u5QiJAi2NrLofsbKBYy00Xb0Osx8 +v+3f/oS79o4y9WfMo6MbdzA7G7ItZxAhggthsYZVHelw97cjb3L+yxGy1CLwG3eyc2QhULxxihnH +rs/lZnwHJaDROHw9tjC5E+HzAw7zqjibxtX2Alm0PRoCcgIQZWrYFXRyg1F+bCVL3EIQ0Y7p7PFS +xX06iU+ZJUwRvRXkAG0SiDELBiLLI6JFE5dgT+fvAAPq7VuVwiu5zQ3MF+7rx4sVlsa5GObeynHd +hEpgmQvtA/zGo9yb6BDKS6a8cL3nJXdb3io8cfW8dpTm3cb70OfkBBgpySiI1/Pf5Ill5STE6ifh +VRIh5jS/yBta1Z4hsFfIB+HcJa7AuOj4J1qL2GS/SYLbJM47W9Zz7lyAbgXiK7wFZ2wlToMDxvKn +pEjiODMgkkpnfewsVLsJCI2xTmZSCe02V0dHD3JZbBjX8LNdvynjysLU6Upv3tq5yP9Zn1Glcm0K +hvE+PB2KAUxz/71IkmJb3FY8vohN6A728A2jwZd8nrKoxWkVbsBMeCJDzOENC4DssVqnmtfRC/AC +V7vT8/5gf/Sunigz7Y0nWVcBTA2GyPS+E/pMzAhCsdP5VNOqG4RLBYpI2o1dWv6YOInmvRKI9HvT +iFm92erbmT380cvxCD1gTgAPt7Gkc0S1pO55+DxwLi012Zi9/sf98deZZny5ByJgEHKD00qhNsYf +rSve6HqsGOTtGFhiBknka4aWTZ08GFszNTjPffWuHsK7cqh+dzLDAiw9w84XQXEYhRm/hbdC4Mgl +YL4HXFok2U1Alkp4SraDuE9b5i1x6CxXixL9ybDhGUugGxM/vgW36nWKJBBaCQnV7mrDxEINRXua ++/J5HhR4r7MVgFnvi3/MrPK6oczmOChQjB94B+n+fR12BthumHPuucdlX4oiPANI55woNrtcT/SL +LtOpJVBcz4ln3XOmzpLJJ95j4NUbmHDLBF/mdrZVMfCwAj/Kzo8EpuCif7g8A93+tfFJf0mIux1R +FZLcRa/ovZS776O2yQRoOe4roSLMfr2HtT/E+y2ERUii9NHUm/I1APQBI1ILDrCjzuF541wgQTwG +42AJIrBYTaabptEF19Qn+p7+4gTrljpUC3ds7QQgirH3m4vDhsliOwI4ra+E6erXhMiAQ2RJqAmJ +AqH6IqYJDSdl4ybgoGdAGLmz4Wrn3i0IG68YoFdCsz+MDHNeTZnw+l0QYUkdTLpotiOq/1lpez0V +hSFx7G/IkI9iaWNadbHzcycKuTAqR0NMIxm8qWdHoCy1H2MRsv3c7tAoMqTcWNr2skHTHotrpfyC +74rrp9Nkz/NQ+IKcqk/E1tDjyP+PtiRzvxE0NwPqfFMc05wi5HbRU0lKxlpO+05+L9HlIgHWRhDd +oGh9USiGXz4VgTMNE/wZy2g+RQz97So6v7SIJq6+RaZI8lSXfUsGRBRHsT27Lkif60BG8XLOf/cT +5zMjzozMCCp3WFi4j7+K0Mxv2hkl/XejYnO28bh7PxKiPgqW2uclj0BFknzERADpfET5GmfE1i6S +ArjSDaqMo6Qn5O9qyrxqeotT9zwbUb6ZZ6ccYhpQ+SBTcaNlMteLHnC2m6C/RgMykKIk+O/PuZxt +34NZjRvF2QBDPq+IBAxHFMj6SSUQpghVSZWE4GtWJf9neOu766sAVuzcxrNkAKTSnVBg60S0WkbY +BKmE8RFqO+e5/nfn8197mjhfoaWTXoSHApxi7nWG4OB7PEVeLAp62goYnkwiy8bTX5HiPkW0gPyw +1EbKmZhw4OfLO3lK3v2R7WPHBgT8R5DG9iOp01hHRhqc68S5+YbIgs7SiQJnIgMAWZHO6dwW1xsh +Kh0pFn9hXI4akB8acYfFE5yHSS+LNbxTrFwOKOMIx5FFDLYA+y7cp7np1vagCILFXGrI9kcHC1En +1GTclx08PCI35gtS43DNzX/eUNvxh3WDuYahuox6gTcrXuuYGxSza7kdZZFzZdiUHSbZc6FOYKGn +hjjZNwAnmgZ5XHW6mLSA9xoYQZmEDXn0R7YCRrptSiBd3KkDUXAd40N+4PB8L+mZDaTL09lbgH0b +v4n5ie7oj6bWpEO4/Z4/Sv8yyICY6UAN727/NcH1x39f72iwTPgpB/9dYCHDVqd5A/iEkJj+SBQk +duHTbfLt5Pazf/wPpsq050Vin2OvF4KPqLG6v7xkuwerANRK5Wbzv2gKmIuJTO08F2Aa4MeNrCZB +QgOTq/e7D4p+B9KxWXPYc2fWjiQ9xzMZg7N+60qAO9YndbEowkbz5IWm3uOoQ84NrmBc+fIv/vx7 +Bo1t/M/WkZ3lxyTPzhZYcpC3ezLLLJGVThlPJOwrmkA3cdVuKzxg6s/0aKHt3sh7EYpnVa+I+Gxq +BcF94j7t8iMAIA4S+A3eqLIYzFhm+xcdMW8Brc9zTEiuD3doaG65lWASJk4bj0eb7H7m52dg7e0Y +ZpO7D9UCpCoW685GXajag0wzxBl9KraluEh2i7ANFzBD4wDfeojOy5AwXMFQ5A5N7GsSK3+aAZGE +PGA8MvGwrCMgrUJyc0Lc9AhyBrXAvu/WyvY+8ASqySpWY/gSB/kf6GoX1HmVXefEIvlIKYtJShCr +Y3jl7XspOiU/yzVNXfoS1WwyCNQGalOKib+NFQXiRBNM+76gKwKh/v2qY2PPJm/cGUh4zoLhrk7a +oA/Jbs4lGG2/FbhAUAq66BX1xCQIMl7YCdNWb5ZE1yaC/9amFZhZBbjqF/WWsFovMUiCc96fu12J +B0oALledsDo/GMQxY6DJwGyoaA7vdlDdkmnm6l5fE4t7nv1KuTG7EvpZEuz/lG3B+j/2Cg0/Zbgv +IkcERzP1/VcyzTkqSQqDoXf8I7x8N79YX1bnLvow6kXMHCCu9C/n6a1xSCL3P8YxexAXCMiopkQG +jpypcfXUZJ8WS9JKLB2X49eAxvOIzCltKl+g/FD71ajCIjOGAZzal+5bl3YpvYLLc987X2+d4/4X +Jzi9xqiB+coGW5hFoqzgwN1Lm6LtKHVwRYEvOQjiGPnvg658SAkkLf8dNAX1Ve37upfmQVTgFNSl +gh3q9xWILAZn81utPsLb1IQd7DYdpCt8aXmgTo3K973w/L2azWUSiqRIwb+fE1eKT3wHbOPmw+4m +2u/lAQqxB5NiamSQUN55u+zZvRPoZZVqv3nM7ccqr7ZNyuGs2gbs8p5+AIyBefXO7+ZkMPWUxidF +DqNj0T5zPvKR2KqPv9ICeJsbVzkt7KjxJm1EqNTgYzCIHMupOJO0+iR1AJa/AW+k8H+ilrLecfKA +y0PIrBgEhG+UAdCg2fcKJKpMxI4DJRDdVCPEyrlJUzM8YZCVb16LmIiO0Gid+oCzg3aZBTlRyJoH +KyWAN6cic1Kq7FBNHg023YElaCByDvyt4AuffH0KXySe5ce/yCgc9K+EOd57hl94hWsMnaNz0/Kl +3fNqlHDInI3wh/n+fk8ooJPfx5QenSsdonXk/mcGy3R8poBAokwV63E/+A3SdUMRbRthpG2gykyk +sbFPPOqo/v9ZjwPNp1gA0nZaOnCrn69ob+2a+0dWEI+pIINaf+f/SosO5FbGlEuFHqOulslC83ss +NBe7BWgW1XJyMUuig8mtjRFfGh2Ops7YCDNRXTZEe8ztucctA02bhXp8LDtGaNdhi1QK5gAU3nQE +/dPJQXDK9JUYnkJnkUN6/uJV9Uq84/xml3xwbE0C1mrfaT8ez0LGAMRMdgXxbcVMrPsO2xDxiVUc +e/lDGx7wUsvBmleRU0Vxh1AOnJvVWuhvmMhwANp9rMW7bIkmvOsB2PLYLkg1Cxy8xjZNYEmB8QTq +10CFpahXmbmK8Eya8uEddUtNXfjhktTdDGhhfvz83647/AE8Bh0hkhaBRPhhhT+um8/4IiY0qYxV +aL+sfbKelMlzxqQ/OASBxUd0iQCZmjiyHoOk1eMTlW/UuoTXJ0WiUbhosohJWe//TI/cbrhmASRl +JOD968yvBQVrh1qfAAl2AOUzMUe8fp7CpNfvdYkEd+DPBYeOg/DhoxXAYVu/Pt9e48ZxT73ZivVU +mXaUetjLyYVXkkCnB7Bwr05MQxf1DUQ8UYD/mMHRmD/6aEHNgiim/VAR2lhgtaYSZbzTP0D9z1Qh +h5qn8voFsbamYJQzS3jjWOJexMd9TJnJQ5mV8fsFND5MLaGlysplNS2l+lsYcQnZfQqPkLHlB6HZ +8jp2fQi5kxXrOFBdyBCqezFEmXVCCTyuRabTT7pFuFDM14XE8eQQw1MzDAM3Bj3z1Ei+BSw7ccB3 +xe3+EIDlZrsmEG7ZLj8/Z/52Yl9nDOXESW+0U4jdBsUPy3P8zI7iYG1N20LdtyUEDcykrhJ4TUQ7 +UXxevB3o8xtIzSnJE81npUoYHGKoy5oXxGTzAGBKhg9Uc7zfNOT54PkYqsR456s4xE5VXYiguZZS +OGa/v2JMsIkqTdW1yOqIkuzkokL8C0RNFbJ+wfvzNqYZBaR2mOLyniDBowF9VvSyHZbXDITl4JGP +MYraXsJuf2tGh6f+KmpSOlebS4UargLWkqQsmnCZLbZN8EOKXAfBKyg6NxBnrc7+StPXzkMiEsKt +vTBRUwPtPU+9RoNR8T3R8CMJJNfnDdjTP75/EMCfOl43uRY00BmCVANETqCOixEnnyXvzT0poKDO +aZjeIgrMlj6uKziKmuT4RBX+wh38vxK3c0qFlmAGpaEaNzMoU5OrT+ewoNbQFk/OEE1QuM6IHpye +EcO3lUjgt07uAakKgRlRUkdlNQPDSdEgMoZ/BaLgVst+E2mNjMjPkh70jC/AqDa6QmOZ2fm8cg14 +ytrCZugRP4VW99QObMkInuYN9taEyGTWnnpP6z8gmUdgHIdfxKVldSIh6mhu3j3IWD56uSG64S/j +hL2CLQ4gHMFGZh5F9wvHmOWntqvNPslQu78saH6n+w3Qiwz1oJG+/QfXn7e71nNa1D2HXM7hE472 +xvnTjcKnJ4z98CZNDpKGoBLbxYGBuNbFzpTv8yEaKi+nBe6X61WHX912aIJlpQxlGgGWJrsrfu66 +YbfcWVzTdXkdcVvViqiP2xj7s77lsKoVk1lWurjrP+fi14isvV5PjJ1gkJut6DCnA2X2C2lWCbOB +BrIRXQNN7a4aeytF83l+VGlwu0wYQaV/oNGDneu7s81wcSCi0IGkrv7E55r9l1aQYAVyuZod+LhW +lsW+ax94t23VQT8Nlk4zTY54dGzRZylBykkLSZzLeKYu2FhKW2XgJnMVtC9LoTrQ77fhQeThwpj1 +7cvcjPWkKtrpIAJQbYfy1cGTOglkqmHVt0CVzaK0PwTH1QKCCCsIBe1XaXWGoHwkgdaBMBsDBIpH +lWA+w1lSC+TQcrNAll5oCxX9V84UtzGkJdLOKuRLKSnb150rG5gtdimsnz+1s8SXCjEtozr3cq7z +y2LaMuTucBAfo87KOjTbCcc9yyEnYxZPc2Nl3iGxzh0aKID8MzXJedQDSpXYqlFV91zA7k+U2ave +8xO+Ht/5ypq1odWQOdbC/t/iz4a+DF2K3u29Q8wBlHjAcWFpYmedO9ZJm2t891etqyuOrU2flHbT +QRVF/ZlCv6y7tRwVubob2CHbrowR/7rsKR7co+knE4IgFfTGnGbjv/pSpdDRLSTB+nuu3482ASD5 +9NXrivUHtAfLYKblBVoIghxTqDhxql0TukL4Y9OT0QgPhYtH1dXd1h9PBdUbFYium41qkS0R6I3c +MlAt88JteqDITUIckXlPX3l2YXjt2Nv8RVUIzBzn9K4XVojV9m0cttUvIGioi6znooTWG32BMTRy +I+Pc1LE3L5Z/IrAX1hbRY0NSUhhPm/wpSmwxc+8oMuYhiCPJ6pF3KDDC6EMRpXS1QGsIJqilALJb +NFxOSdd8z/rAISyZkp+ZgvIReu91agWu2abxlJgO6+BxC6G4ElnNlgvfz1xOgeDYreGDCc67rB69 +GVCy9Mi5vpgSVCAkHzS0mYiG+e7L0V5SFwqtPgh6a0NKQS3mdkE2AfvvXNNIpk25iDZw1+v6AmV8 +Eq8Q4GS7XkgNZZl1JXycbggxT4gZ/230n3y5tNIbEVHzSVUdnlqoJrw3mSWmdO3ZPjo83soZzBKn +KgAeoBAHPvX6EPHq8XTE5laDyfa4WVw0dpfUMe32z9vK6ftq4vWeaMqyTvuJq2M+0iN1Xe9gq/Zs +cnN0oStE5UBC1GYAZOLumfHwqG8tFK7CH8kttui7FPIcd+KLLv7tEqw38Kqq4HmaLFcUVYHvp10w +caWziAgHXBKhrkHKNjE842QTt1PGPIQo3voPnAmefRm4THhD16kOyKx51NwYs5HEqBgljEssP75K +aht2piCScnSfTqI5dc6nC8+e9GC2Lf6Buo7YeOcPf5FHbfN4qlXMQyFgUOH4zF18ip1JySCOaoot +QrymwQnKIHYu+Hjs8zqI+DNRxV2godHf1gLhNPfyhaHH5C3+gLel2WQ3OqSBiGoBaK5/h17RLlE9 +4ZzoTcwAZ5MHf8ufqhkO64VT45+wPNTP8XUq+bHA0hCPPi2Wc54Et7uNuw8oxAdRGKlIOw58ynUC +JawMJQPaSExZMx+vFDzEs/mOd2MYe3rU7kw5gyUPEOHeJFNfvO5JiTHTUA5fln6hHpeDdgpILYIJ +fNbjPwetWb5IPXuQy5kdmYql6GADhre5KOk85ctxDO3W3QVq+OlrJ7OgCh9X2SDkcvhNBBcROBGR +5fDL7xmzV1l5fI0b12VsW8G2I0PNBxduS21ZiRiVCWqhuPE84U51ub251L4TzFvYDXpI8fSuYLoz +p3yzgv2mrYKlCKZGHzSqKPHqdT6yxSE+gJJLL4KKCPePlw3g+Ru+09AwwIpr5dODmtCvUdb4fMXF +kfgWXSo5XpV4VDjemG7oWZ74/D5Af/6CTHt5eUQTTtR7Y3V/5bnvBmfUk9U9rMCF581AVJM= +`protect end_protected diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/gowin_fifo24_syn.rpt.html b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/gowin_fifo24_syn.rpt.html new file mode 100644 index 0000000..1a5160c --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/gowin_fifo24_syn.rpt.html @@ -0,0 +1,1494 @@ + + + +synthesis Report + + + +
+ +
+

Synthesis Messages

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Report TitleGowinSynthesis Report
Design FileC:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_fifo\temp\FIFO\fifo_define.v
+C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_fifo\temp\FIFO\fifo_parameter.v
+C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\edc.v
+C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo.v
+C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo_top.v
+
GowinSynthesis Constraints File---
Tool VersionV1.9.10.03 Education (64-bit)
Part NumberGW1NR-LV9QN88PC6/I5
DeviceGW1NR-9
Device VersionC
Created TimeTue Aug 19 23:16:31 2025 +
Legal AnnouncementCopyright (C)2014-2024 Gowin Semiconductor Corporation. ALL rights reserved.
+

Synthesis Details

+ + + + + + + + + + + + + +
Top Level Modulegowin_fifo24
Synthesis ProcessRunning parser:
    CPU time = 0h 0m 0.312s, Elapsed time = 0h 0m 0.354s, Peak memory usage = 30.211MB
Running netlist conversion:
    CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.001s, Peak memory usage = 30.211MB
Running device independent optimization:
    Optimizing Phase 0: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.001s, Peak memory usage = 30.211MB
    Optimizing Phase 1: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.001s, Peak memory usage = 30.211MB
    Optimizing Phase 2: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.002s, Peak memory usage = 30.211MB
Running inference:
    Inferring Phase 0: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.001s, Peak memory usage = 30.211MB
    Inferring Phase 1: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0s, Peak memory usage = 30.211MB
    Inferring Phase 2: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0s, Peak memory usage = 30.211MB
    Inferring Phase 3: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0s, Peak memory usage = 30.211MB
Running technical mapping:
    Tech-Mapping Phase 0: CPU time = 0h 0m 0.015s, Elapsed time = 0h 0m 0.002s, Peak memory usage = 30.211MB
    Tech-Mapping Phase 1: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0s, Peak memory usage = 30.211MB
    Tech-Mapping Phase 2: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0s, Peak memory usage = 30.211MB
    Tech-Mapping Phase 3: CPU time = 0h 0m 0.203s, Elapsed time = 0h 0m 0.248s, Peak memory usage = 51.316MB
    Tech-Mapping Phase 4: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.032s, Peak memory usage = 51.316MB
Generate output files:
    CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.017s, Peak memory usage = 51.316MB
Total Time and Memory UsageCPU time = 0h 0m 0.53s, Elapsed time = 0h 0m 0.659s, Peak memory usage = 51.316MB
+

Resource

+

Resource Usage Summary

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ResourceUsage
I/O Port 57
I/O Buf 57
    IBUF29
    OBUF28
Register 46
    DFFP6
    DFFC40
LUT 46
    LUT213
    LUT312
    LUT421
ALU 12
    ALU12
INV 2
    INV2
BSRAM 1
    SDPB1
+

Resource Utilization Summary

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ResourceUsageUtilization
Logic60(48 LUT, 12 ALU) / 8640<1%
Register46 / 6693<1%
  --Register as Latch0 / 66930%
  --Register as FF46 / 6693<1%
BSRAM1 / 264%
+

Timing

+

Clock Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
NO.Clock NameTypePeriodFrequency(MHz)RiseFallSourceMasterObject
1RdClkBase20.00050.00.00010.000 RdClk_ibuf/I
2WrClkBase20.00050.00.00010.000 WrClk_ibuf/I
+

Max Frequency Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
NO.Clock NameConstraintActual FmaxLogic LevelEntity
1RdClk50.000(MHz)82.025(MHz)7TOP
2WrClk50.000(MHz)81.444(MHz)7TOP
+

Detail Timing Paths Information

+

Path 1

+Path Summary:
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack7.722
Data Arrival Time12.604
Data Required Time20.326
Fromfifo_inst/Equal.wq2_rptr_3_s0
Tofifo_inst/Almost_Full_s0
Launch ClkWrClk[R]
Latch ClkWrClk[R]
+Data Arrival Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
0.0000.000 WrClk
0.0000.000tCLRR1WrClk_ibuf/I
0.0000.000tINSRR24WrClk_ibuf/O
0.7260.726tNETRR1fifo_inst/Equal.wq2_rptr_3_s0/CLK
1.1840.458tC2QRF4fifo_inst/Equal.wq2_rptr_3_s0/Q
2.1440.960tNETFF1fifo_inst/Equal.rcount_w_1_s1/I1
3.2431.099tINSFF2fifo_inst/Equal.rcount_w_1_s1/F
4.2030.960tNETFF1fifo_inst/Equal.rcount_w_0_s0/I0
5.2351.032tINSFF1fifo_inst/Equal.rcount_w_0_s0/F
6.1950.960tNETFF2fifo_inst/wcnt_sub_0_s/I1
7.2401.045tINSFF1fifo_inst/wcnt_sub_0_s/COUT
7.2400.000tNETFF2fifo_inst/wcnt_sub_1_s/CIN
7.8030.563tINSFF1fifo_inst/wcnt_sub_1_s/SUM
8.7630.960tNETFF1fifo_inst/awfull_val_s1/I2
9.5850.822tINSFF1fifo_inst/awfull_val_s1/F
10.5450.960tNETFF1fifo_inst/awfull_val_s2/I1
11.6441.099tINSFF1fifo_inst/awfull_val_s2/F
12.6040.960tNETFF1fifo_inst/Almost_Full_s0/D
+Data Required Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
20.0000.000 WrClk
20.0000.000tCLRR1WrClk_ibuf/I
20.0000.000tINSRR24WrClk_ibuf/O
20.7260.726tNETRR1fifo_inst/Almost_Full_s0/CLK
20.326-0.400tSu 1fifo_inst/Almost_Full_s0
+Path Statistics: + + + + + + + + + + + + + + + + + + + +
Clock Skew:0.000
Setup Relationship:20.000
Logic Level:7
Arrival Clock Path Delay: cell: 0.000, 0.000%; route: 0.726, 100.000%
Arrival Data Path Delay: cell: 5.660, 47.650%; route: 5.760, 48.491%; tC2Q: 0.458, 3.859%
Required Clock Path Delay: cell: 0.000, 0.000%; route: 0.726, 100.000%
+
+

Path 2

+Path Summary:
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack7.809
Data Arrival Time12.517
Data Required Time20.326
Fromfifo_inst/Equal.rq2_wptr_3_s0
Tofifo_inst/Almost_Empty_s0
Launch ClkRdClk[R]
Latch ClkRdClk[R]
+Data Arrival Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
0.0000.000 RdClk
0.0000.000tCLRR1RdClk_ibuf/I
0.0000.000tINSRR24RdClk_ibuf/O
0.7260.726tNETRR1fifo_inst/Equal.rq2_wptr_3_s0/CLK
1.1840.458tC2QRF4fifo_inst/Equal.rq2_wptr_3_s0/Q
2.1440.960tNETFF1fifo_inst/Equal.wcount_r_1_s1/I1
3.2431.099tINSFF2fifo_inst/Equal.wcount_r_1_s1/F
4.2030.960tNETFF1fifo_inst/Equal.wcount_r_0_s0/I0
5.2351.032tINSFF1fifo_inst/Equal.wcount_r_0_s0/F
6.1950.960tNETFF2fifo_inst/rcnt_sub_0_s/I0
7.1530.958tINSFF1fifo_inst/rcnt_sub_0_s/COUT
7.1530.000tNETFF2fifo_inst/rcnt_sub_1_s/CIN
7.7160.563tINSFF1fifo_inst/rcnt_sub_1_s/SUM
8.6760.960tNETFF1fifo_inst/arempty_val_s1/I2
9.4980.822tINSFF1fifo_inst/arempty_val_s1/F
10.4580.960tNETFF1fifo_inst/arempty_val_s2/I1
11.5571.099tINSFF1fifo_inst/arempty_val_s2/F
12.5170.960tNETFF1fifo_inst/Almost_Empty_s0/D
+Data Required Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
20.0000.000 RdClk
20.0000.000tCLRR1RdClk_ibuf/I
20.0000.000tINSRR24RdClk_ibuf/O
20.7260.726tNETRR1fifo_inst/Almost_Empty_s0/CLK
20.326-0.400tSu 1fifo_inst/Almost_Empty_s0
+Path Statistics: + + + + + + + + + + + + + + + + + + + +
Clock Skew:0.000
Setup Relationship:20.000
Logic Level:7
Arrival Clock Path Delay: cell: 0.000, 0.000%; route: 0.726, 100.000%
Arrival Data Path Delay: cell: 5.573, 47.264%; route: 5.760, 48.849%; tC2Q: 0.458, 3.887%
Required Clock Path Delay: cell: 0.000, 0.000%; route: 0.726, 100.000%
+
+

Path 3

+Path Summary:
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack10.317
Data Arrival Time10.009
Data Required Time20.326
Fromfifo_inst/Empty_s0
Tofifo_inst/Empty_s0
Launch ClkRdClk[R]
Latch ClkRdClk[R]
+Data Arrival Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
0.0000.000 RdClk
0.0000.000tCLRR1RdClk_ibuf/I
0.0000.000tINSRR24RdClk_ibuf/O
0.7260.726tNETRR1fifo_inst/Empty_s0/CLK
1.1840.458tC2QRF6fifo_inst/Empty_s0/Q
2.1440.960tNETFF1fifo_inst/rbin_num_next_1_s4/I0
3.1761.032tINSFF3fifo_inst/rbin_num_next_1_s4/F
4.1360.960tNETFF1fifo_inst/Equal.rgraynext_1_s0/I1
5.2351.099tINSFF2fifo_inst/Equal.rgraynext_1_s0/F
6.1950.960tNETFF2fifo_inst/n142_s0/I0
7.1530.958tINSFF1fifo_inst/n142_s0/COUT
7.1530.000tNETFF2fifo_inst/n143_s0/CIN
7.2100.057tINSFF1fifo_inst/n143_s0/COUT
7.2100.000tNETFF2fifo_inst/n144_s0/CIN
7.2670.057tINSFF1fifo_inst/n144_s0/COUT
8.2270.960tNETFF1fifo_inst/rempty_val_s1/I2
9.0490.822tINSFF1fifo_inst/rempty_val_s1/F
10.0090.960tNETFF1fifo_inst/Empty_s0/D
+Data Required Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
20.0000.000 RdClk
20.0000.000tCLRR1RdClk_ibuf/I
20.0000.000tINSRR24RdClk_ibuf/O
20.7260.726tNETRR1fifo_inst/Empty_s0/CLK
20.326-0.400tSu 1fifo_inst/Empty_s0
+Path Statistics: + + + + + + + + + + + + + + + + + + + +
Clock Skew:0.000
Setup Relationship:20.000
Logic Level:6
Arrival Clock Path Delay: cell: 0.000, 0.000%; route: 0.726, 100.000%
Arrival Data Path Delay: cell: 4.025, 43.357%; route: 4.800, 51.706%; tC2Q: 0.458, 4.937%
Required Clock Path Delay: cell: 0.000, 0.000%; route: 0.726, 100.000%
+
+

Path 4

+Path Summary:
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack12.139
Data Arrival Time8.187
Data Required Time20.326
Fromfifo_inst/Full_s0
Tofifo_inst/Full_s0
Launch ClkWrClk[R]
Latch ClkWrClk[R]
+Data Arrival Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
0.0000.000 WrClk
0.0000.000tCLRR1WrClk_ibuf/I
0.0000.000tINSRR24WrClk_ibuf/O
0.7260.726tNETRR1fifo_inst/Full_s0/CLK
1.1840.458tC2QRF6fifo_inst/Full_s0/Q
2.1440.960tNETFF1fifo_inst/Equal.wgraynext_2_s1/I0
3.1761.032tINSFF9fifo_inst/Equal.wgraynext_2_s1/F
4.1360.960tNETFF1fifo_inst/wfull_val_s2/I0
5.1681.032tINSFF1fifo_inst/wfull_val_s2/F
6.1280.960tNETFF1fifo_inst/wfull_val_s0/I1
7.2271.099tINSFF1fifo_inst/wfull_val_s0/F
8.1870.960tNETFF1fifo_inst/Full_s0/D
+Data Required Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
20.0000.000 WrClk
20.0000.000tCLRR1WrClk_ibuf/I
20.0000.000tINSRR24WrClk_ibuf/O
20.7260.726tNETRR1fifo_inst/Full_s0/CLK
20.326-0.400tSu 1fifo_inst/Full_s0
+Path Statistics: + + + + + + + + + + + + + + + + + + + +
Clock Skew:0.000
Setup Relationship:20.000
Logic Level:4
Arrival Clock Path Delay: cell: 0.000, 0.000%; route: 0.726, 100.000%
Arrival Data Path Delay: cell: 3.163, 42.392%; route: 3.840, 51.465%; tC2Q: 0.458, 6.143%
Required Clock Path Delay: cell: 0.000, 0.000%; route: 0.726, 100.000%
+
+

Path 5

+Path Summary:
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack12.612
Data Arrival Time7.714
Data Required Time20.326
Fromfifo_inst/Full_s0
Tofifo_inst/Equal.wptr_3_s0
Launch ClkWrClk[R]
Latch ClkWrClk[R]
+Data Arrival Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
0.0000.000 WrClk
0.0000.000tCLRR1WrClk_ibuf/I
0.0000.000tINSRR24WrClk_ibuf/O
0.7260.726tNETRR1fifo_inst/Full_s0/CLK
1.1840.458tC2QRF6fifo_inst/Full_s0/Q
2.1440.960tNETFF1fifo_inst/Equal.wgraynext_2_s1/I0
3.1761.032tINSFF9fifo_inst/Equal.wgraynext_2_s1/F
4.1360.960tNETFF1fifo_inst/Equal.wbinnext_4_s2/I0
5.1681.032tINSFF2fifo_inst/Equal.wbinnext_4_s2/F
6.1280.960tNETFF1fifo_inst/Equal.wgraynext_3_s1/I3
6.7540.626tINSFF1fifo_inst/Equal.wgraynext_3_s1/F
7.7140.960tNETFF1fifo_inst/Equal.wptr_3_s0/D
+Data Required Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
20.0000.000 WrClk
20.0000.000tCLRR1WrClk_ibuf/I
20.0000.000tINSRR24WrClk_ibuf/O
20.7260.726tNETRR1fifo_inst/Equal.wptr_3_s0/CLK
20.326-0.400tSu 1fifo_inst/Equal.wptr_3_s0
+Path Statistics: + + + + + + + + + + + + + + + + + + + +
Clock Skew:0.000
Setup Relationship:20.000
Logic Level:4
Arrival Clock Path Delay: cell: 0.000, 0.000%; route: 0.726, 100.000%
Arrival Data Path Delay: cell: 2.690, 38.493%; route: 3.840, 54.948%; tC2Q: 0.458, 6.559%
Required Clock Path Delay: cell: 0.000, 0.000%; route: 0.726, 100.000%
+
+
+
+ + diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/gowin_fifo24_syn_resource.html b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/gowin_fifo24_syn_resource.html new file mode 100644 index 0000000..2554e5a --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/gowin_fifo24_syn_resource.html @@ -0,0 +1,46 @@ + + + +Hierarchy Module Resource + + + +
+
+

Hierarchy Module Resource

+ + + + + + + + + + + + + + + + + + + + + +
MODULE NAMEREG NUMBERALU NUMBERLUT NUMBERDSP NUMBERBSRAM NUMBERSSRAM NUMBERROM16 NUMBER
gowin_fifo24 (C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/fifo_top.v)461248-1--
+
+
+ + diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/gowin_fifo24_syn_rsc.xml b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/gowin_fifo24_syn_rsc.xml new file mode 100644 index 0000000..cef9371 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/gowin_fifo24_syn_rsc.xml @@ -0,0 +1,2 @@ + + diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/gowin_fifo24_tmp.vhd b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/gowin_fifo24_tmp.vhd new file mode 100644 index 0000000..1d2d817 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/gowin_fifo24_tmp.vhd @@ -0,0 +1,44 @@ +--Copyright (C)2014-2024 Gowin Semiconductor Corporation. +--All rights reserved. +--File Title: Template file for instantiation +--Tool Version: V1.9.10.03 Education (64-bit) +--Part Number: GW1NR-LV9QN88PC6/I5 +--Device: GW1NR-9 +--Device Version: C +--Created Time: Tue Aug 19 23:16:31 2025 + +--Change the instance name and port connections to the signal names +----------Copy here to design-------- + +component gowin_fifo24 + port ( + Data: in std_logic_vector(23 downto 0); + Reset: in std_logic; + WrClk: in std_logic; + RdClk: in std_logic; + WrEn: in std_logic; + RdEn: in std_logic; + Almost_Empty: out std_logic; + Almost_Full: out std_logic; + Q: out std_logic_vector(23 downto 0); + Empty: out std_logic; + Full: out std_logic + ); +end component; + +your_instance_name: gowin_fifo24 + port map ( + Data => Data, + Reset => Reset, + WrClk => WrClk, + RdClk => RdClk, + WrEn => WrEn, + RdEn => RdEn, + Almost_Empty => Almost_Empty, + Almost_Full => Almost_Full, + Q => Q, + Empty => Empty, + Full => Full + ); + +----------Copy end------------------- diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/project.ini b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/project.ini new file mode 100644 index 0000000..d716b63 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/project.ini @@ -0,0 +1 @@ +RESOURCE_CHECK=false \ No newline at end of file diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_rpll/gowin_rpll_123M.ipc b/src/hdl/fpga_hypernet_dir/src/gowin_rpll/gowin_rpll_123M.ipc new file mode 100644 index 0000000..66169a4 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_rpll/gowin_rpll_123M.ipc @@ -0,0 +1,24 @@ +[General] +ipc_version=4 +file=gowin_rpll_123M +module=Gowin_rPLL_123M +target_device=gw1nr9c-004 +type=clock_rpll +version=1.0 + +[Config] +CKLOUTD3=false +CLKFB_SOURCE=0 +CLKIN_FREQ=27 +CLKOUTD=false +CLKOUTP=false +CLKOUT_BYPASS=false +CLKOUT_DIVIDE_DYN=true +CLKOUT_FREQ=123 +CLKOUT_TOLERANCE=0 +DYNAMIC=true +LANG=1 +LOCK_EN=false +MODE_GENERAL=true +PLL_PWD=false +RESET_PLL=true diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_rpll/gowin_rpll_123M.mod b/src/hdl/fpga_hypernet_dir/src/gowin_rpll/gowin_rpll_123M.mod new file mode 100644 index 0000000..df7e7d1 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_rpll/gowin_rpll_123M.mod @@ -0,0 +1,33 @@ +-series GW1NR +-device GW1NR-9 +-device_version C +-package QFN88P +-part_number GW1NR-LV9QN88PC6/I5 + + +-mod_name Gowin_rPLL_123M +-file_name gowin_rpll_123M +-path C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_rpll/ +-type PLL +-rPll true +-file_type vhdl +-dev_type GW1NR-9C +-dyn_idiv_sel false +-idiv_sel 9 +-dyn_fbdiv_sel false +-fbdiv_sel 41 +-dyn_odiv_sel false +-odiv_sel 4 +-dyn_da_en true +-rst_sig true +-rst_sig_p false +-fclkin 27 +-clkfb_sel 0 +-en_lock false +-clkout_bypass false +-clkout_ft_dir 1 +-en_clkoutp false +-clkoutp_bypass false +-en_clkoutd false +-clkoutd_bypass false +-en_clkoutd3 false \ No newline at end of file diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_rpll/gowin_rpll_123M.vhd b/src/hdl/fpga_hypernet_dir/src/gowin_rpll/gowin_rpll_123M.vhd new file mode 100644 index 0000000..3af1156 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_rpll/gowin_rpll_123M.vhd @@ -0,0 +1,133 @@ +--Copyright (C)2014-2024 Gowin Semiconductor Corporation. +--All rights reserved. +--File Title: IP file +--Tool Version: V1.9.10.03 Education (64-bit) +--Part Number: GW1NR-LV9QN88PC6/I5 +--Device: GW1NR-9 +--Device Version: C +--Created Time: Tue Aug 19 21:40:50 2025 + +library IEEE; +use IEEE.std_logic_1164.all; + +entity Gowin_rPLL_123M is + port ( + clkout: out std_logic; + reset: in std_logic; + clkin: in std_logic + ); +end Gowin_rPLL_123M; + +architecture Behavioral of Gowin_rPLL_123M is + + signal lock_o: std_logic; + signal clkoutp_o: std_logic; + signal clkoutd_o: std_logic; + signal clkoutd3_o: std_logic; + signal gw_gnd: std_logic; + signal FBDSEL_i: std_logic_vector(5 downto 0); + signal IDSEL_i: std_logic_vector(5 downto 0); + signal ODSEL_i: std_logic_vector(5 downto 0); + signal PSDA_i: std_logic_vector(3 downto 0); + signal DUTYDA_i: std_logic_vector(3 downto 0); + signal FDLY_i: std_logic_vector(3 downto 0); + + --component declaration + component rPLL + generic ( + FCLKIN: in string := "100.0"; + DEVICE: in string := "GW1N-4"; + DYN_IDIV_SEL: in string := "false"; + IDIV_SEL: in integer := 0; + DYN_FBDIV_SEL: in string := "false"; + FBDIV_SEL: in integer := 0; + DYN_ODIV_SEL: in string := "false"; + ODIV_SEL: in integer := 8; + PSDA_SEL: in string := "0000"; + DYN_DA_EN: in string := "false"; + DUTYDA_SEL: in string := "1000"; + CLKOUT_FT_DIR: in bit := '1'; + CLKOUTP_FT_DIR: in bit := '1'; + CLKOUT_DLY_STEP: in integer := 0; + CLKOUTP_DLY_STEP: in integer := 0; + CLKOUTD3_SRC: in string := "CLKOUT"; + CLKFB_SEL: in string := "internal"; + CLKOUT_BYPASS: in string := "false"; + CLKOUTP_BYPASS: in string := "false"; + CLKOUTD_BYPASS: in string := "false"; + CLKOUTD_SRC: in string := "CLKOUT"; + DYN_SDIV_SEL: in integer := 2 + ); + port ( + CLKOUT: out std_logic; + LOCK: out std_logic; + CLKOUTP: out std_logic; + CLKOUTD: out std_logic; + CLKOUTD3: out std_logic; + RESET: in std_logic; + RESET_P: in std_logic; + CLKIN: in std_logic; + CLKFB: in std_logic; + FBDSEL: in std_logic_vector(5 downto 0); + IDSEL: in std_logic_vector(5 downto 0); + ODSEL: in std_logic_vector(5 downto 0); + PSDA: in std_logic_vector(3 downto 0); + DUTYDA: in std_logic_vector(3 downto 0); + FDLY: in std_logic_vector(3 downto 0) + ); + end component; + +begin + gw_gnd <= '0'; + + FBDSEL_i <= gw_gnd & gw_gnd & gw_gnd & gw_gnd & gw_gnd & gw_gnd; + IDSEL_i <= gw_gnd & gw_gnd & gw_gnd & gw_gnd & gw_gnd & gw_gnd; + ODSEL_i <= gw_gnd & gw_gnd & gw_gnd & gw_gnd & gw_gnd & gw_gnd; + PSDA_i <= gw_gnd & gw_gnd & gw_gnd & gw_gnd; + DUTYDA_i <= gw_gnd & gw_gnd & gw_gnd & gw_gnd; + FDLY_i <= gw_gnd & gw_gnd & gw_gnd & gw_gnd; + + rpll_inst: rPLL + generic map ( + FCLKIN => "27", + DEVICE => "GW1NR-9C", + DYN_IDIV_SEL => "false", + IDIV_SEL => 8, + DYN_FBDIV_SEL => "false", + FBDIV_SEL => 40, + DYN_ODIV_SEL => "false", + ODIV_SEL => 4, + PSDA_SEL => "0000", + DYN_DA_EN => "true", + DUTYDA_SEL => "1000", + CLKOUT_FT_DIR => '1', + CLKOUTP_FT_DIR => '1', + CLKOUT_DLY_STEP => 0, + CLKOUTP_DLY_STEP => 0, + CLKFB_SEL => "internal", + CLKOUT_BYPASS => "false", + CLKOUTP_BYPASS => "false", + CLKOUTD_BYPASS => "false", + DYN_SDIV_SEL => 2, + CLKOUTD_SRC => "CLKOUT", + CLKOUTD3_SRC => "CLKOUT" + ) + port map ( + CLKOUT => clkout, + LOCK => lock_o, + CLKOUTP => clkoutp_o, + CLKOUTD => clkoutd_o, + CLKOUTD3 => clkoutd3_o, + RESET => reset, + RESET_P => gw_gnd, + CLKIN => clkin, + CLKFB => gw_gnd, + FBDSEL => FBDSEL_i, + IDSEL => IDSEL_i, + ODSEL => ODSEL_i, + PSDA => PSDA_i, + DUTYDA => DUTYDA_i, + FDLY => FDLY_i + ); + +end Behavioral; --Gowin_rPLL_123M diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_rpll/gowin_rpll_123M_tmp.vhd b/src/hdl/fpga_hypernet_dir/src/gowin_rpll/gowin_rpll_123M_tmp.vhd new file mode 100644 index 0000000..89f91b5 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_rpll/gowin_rpll_123M_tmp.vhd @@ -0,0 +1,28 @@ +--Copyright (C)2014-2024 Gowin Semiconductor Corporation. +--All rights reserved. +--File Title: Template file for instantiation +--Tool Version: V1.9.10.03 Education (64-bit) +--Part Number: GW1NR-LV9QN88PC6/I5 +--Device: GW1NR-9 +--Device Version: C +--Created Time: Tue Aug 19 21:40:50 2025 + +--Change the instance name and port connections to the signal names +----------Copy here to design-------- + +component Gowin_rPLL_123M + port ( + clkout: out std_logic; + reset: in std_logic; + clkin: in std_logic + ); +end component; + +your_instance_name: Gowin_rPLL_123M + port map ( + clkout => clkout, + reset => reset, + clkin => clkin + ); + +----------Copy end------------------- diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/doc/Readme.txt b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/doc/Readme.txt new file mode 100644 index 0000000..e66ebc6 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/doc/Readme.txt @@ -0,0 +1,13 @@ +Note: + +1. +Cmd.do: Modelsim simulation script. For reference only. + +2. +Check the path in the script before using + +3. +(1) In "Cmd.do", the "prim_sim.v" file and the path should be modified or added by the Users. +(2) "prim_sim.v" is a primitive library. Users need to add appropriate primitives according to the Device which be used. +(3) The "prim_sim.v" can be obtained from the Software installation directory. Its reference path is like "Gowin_v1.*\IDE\simlib" +(4) Users can also create simulation library files of Modelsim by themselves, and connect the work to the simulation library. \ No newline at end of file diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/gowin_spdif_tx.ipc b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/gowin_spdif_tx.ipc new file mode 100644 index 0000000..b3da2df --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/gowin_spdif_tx.ipc @@ -0,0 +1,13 @@ +[General] +ipc_version=4 +file=gowin_spdif_tx +module=Gowin_SPDIF_TX +target_device=gw1nr9c-004 +type=spdif_tx +version=1.0 + +[Config] +DISABLE_IO_INSERTION=true +LANG=1 +SPDIF_DATA_WIDTH=24 +Synthesis_tool=GowinSynthesis diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/gowin_spdif_tx.vhd b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/gowin_spdif_tx.vhd new file mode 100644 index 0000000..1e53810 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/gowin_spdif_tx.vhd @@ -0,0 +1,682 @@ +-- +--Written by GowinSynthesis +--Tool Version "V1.9.10.03 Education (64-bit)" +--Tue Aug 19 21:50:04 2025 + +--Source file index table: +--file0 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/SPDIF_TX/data/SPDIF_Transmitter_Top.v" +--file1 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/SPDIF_TX/data/spdif_tx_top.vp" +`protect begin_protected +`protect version="2.3" +`protect author="default" +`protect author_info="default" +`protect encrypt_agent="GOWIN" +`protect encrypt_agent_info="GOWIN Encrypt Version 2.3" + +`protect encoding=(enctype="base64", line_length=76, bytes=256) +`protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa" +`protect key_block +Sipzog3WsJZDpO5/LoFTASQHL3FZlTKQx1R/BdfyfgPFaqxLrCb3CcEotzf1EkdFpJxpl/RRdkeG +X3MeNKfdMdVsFOhv0c0jGY/YoYDB7ikFTir9yhzFs0Th0+5XRIg1TWQ2gLFdMnxciNrrtC04xFdo +0Bi7cOy9lUW5m/rjtZ7GV5mo9ZEMn+dBb5Of9e0T8zmWXy5G4sLQ9kctLfjpRteCtUGdDgKVmr3d +eSdiB7goQdZQ11JFG3oRhfyVAAPkqTDj1dJYR80AhwQPafFpUjvXY1m/eP9P9yyklv3Zsbp1XW/b +leCQGJG9/upETBwP1i614RfqSXM8gr5v0OgdpQ== + +`protect encoding=(enctype="base64", line_length=76, bytes=37120) +`protect data_keyowner="default-ip-vendor" +`protect data_keyname="default-ip-key" +`protect data_method="aes128-cfb" +`protect data_block +KP/MG/c8VAIEDD56Qqaylq/VuOXFwWatpdI6KPdqliDVywDURc1I7pi//o3XXXQreJGmuYU5IU3D +pKtPsFAJus37ga602L/JlO+SygNSKiybgNryZZ8uoJHs3uXE/kcDFyHsOpES56a4UalEoM7v+XQh +PJgw/e+NwZmVjtii3L6P+tcZCcGyDNN9Yoz6+IVPAbwPoQlvH3OfgrCRirLVU/vMrKvJH59718iy +nnN1YaLUv/eV6kNT6Iq61auPbgpeAUBBzDUHB95fNV7tiV4e9MjPvjTWMbMHYzhp/sHZIDlVXm8L +rtkH94kV/TBCMNOkCeVvnWCBNuqlA2Cq+SkoR5lBgtexwAPBbDvOxGnMP9F1UcI73bjPWSRKhFxO +R0w/06ZrpbYVPmYC2Io/XoeRCjiiASvtNC52veMKeIPNvIXduyF8DT49JS8qPm0oRM3XC1iH9S0l +qNsnToJe9WrzPqeqK6eiFYErdSkWmuIBkE44f1HnO8reqWyaXC4Y8b426lXKZEJaKSj9boMVynur +UiglFj5lKbRcJzMorMMSSlPIQhu2R/OVvZgL4LYe1w4wYCHGLLda8OL4J20BPnEc4rJ1HyNTGV1s +YId4txVkpkxPtWlKuzgmj4OV/bs72BjFhiC2wGUj/YcSIg1mdawEJxJgJjvVbLjvgSA1aGJpLkQA +6cin2SO4atJmaVt37yp7KFFmfOUETQOoy/bqeT8i5HrhWxNSMiyOaadpWYnLMPByphuse7oZb2Sx +f0IRTUhdNYbtthCCSFdUf698ckmgaX8b8ykIlrOyOyyOlqCDI8WslovjkeCSTjoXv3m4E4CWGpVL +1cuxH793IIiuKjsvYMmSzTS4AlAy7Oo7GeT4X3dYIVoRKOZ8mMB0cu//vsDxb1zylvkw7b60vCXF +5KSin4j6xE86JlgDFu5V9sF6aQi6pjqUc80b1+I7lSsJyQj7aG9/qILjX6DTg1jvC9QK2cEs/wP9 +nlfve2Koh1r/sgePo/MYM83lLyKQNaUZ6Zmh2nqOA21y3NPRqIZTzfUhyaaO2WtbmMWFR1YoP/i5 +Z6xgkNMZNcSYnqMfs7mDNj16mmB3bqv0T7U9bIRi2L6CQt0N+tX+zS7VCeyVL8vwBZ+O+yN9jBf5 +XKrJH+RkzD16ZxE0BvqAcUNP6aAsQvmmUZNM9ZXrCo/j8o68A+knNhrg9XMqys1ldPO1aPJ0ewxz +nI++ZwMiN/8BDXGEdQ03A3GYXw09QmlZvXgrWa1DBEYgFDa2cmNqMmCpJWBJxpcMdnRrMK2MW4/b +yhjh7psBOa+pGfkMC+QvwMgLlW436pAUcLKwgEyMNhW+EQWg7U4JTJjf8HcVo5eYKzINGqfFMNEF +IJruQk0fDtDbqueqQS3sbmLQELHdeJEcTm1Mz94VjMCPpTOs3/goriBcoY/J4ED+nHRuofN1Z1ia ++rt1DLOgwHYU1U+v6/4TyEX3C8cdl0MgeINLYD9KzRuNj1TKTh4jgbMk+H4/qcV/Z34opUQdkRX3 +j3IEXoC/57sopfV/D/0xc3km+YQgr23VuQmfRF/4Rq8bqGdnE4pNDjky76h29m5S3zaF3x0SG8ba +FEn5ITYwD3rk10N8iwrh8wHxUb/Ft6gridh+bdaJAQ2JTZXMsK8BSx3S63HrdOamij6fIAo+E0J3 +9qJtxIRekHnSv7yU8sb7nPNARdthqebNlfbwOQfJNCAV4DIvf6yryFb7UND+v30RgRUdY2rKuGc2 +YY+lzlspKTCKdoTmYZQk3WtsxnoMDHuaILb+GYftuuhtRrXMZHhw4j57FejtJmxZNRPIslgnvgh8 +TvaFoY5bx6b367OXuJy6iEJ8OVp5vQRCQyM76CQGzy++psw6Sy7bGz3qB1E35h3mjmQHiFfYsvWz +z8AyAy7Sfd2th0zJenWnqJfcEDOvIjM7ASYG7ZG0LhQdZsNqxcayCePYRKufL0Hghat1Hc4bL3kV +5SgeezoIl0iUSEACh987xWWKDpNTVTKZe0epFKk5RxdNNtlp9VKGUgEIXqo1O6hOImLIwrX8Gkws +WjfXAs/gZ6cbilM4Ugu96JoRIL8ks+199s0Io/8UCVsEbimz9gQGoD5VcgHLeSPm6BCh4svv3Ept +tMCpMWHR+ZVRN39+p0Yw6bgPOHlr53LIgjEKaWc6F4VrGevj3Tk6VOs4aFWEzaPwkA2/v6Bv4DiW +Hq/vTCwo+rWL5MmEsaE7g70uo3ZYZJ5pKGQ3GKffhgdaYlbpLv+IAqU8App1zY2cFQtlwXADQNF2 +rSAHZVSmlW+r0Psba0dItVnxWREai3wNvtCo0dFZhRGZ+kZpAmWQWi0heky6Ye9TswATwb7Gm1Kz +381nNP5kwlw9N0hFkAnJ/S19mfXjtFz49mTZBlXaTFkIBVqOZKfBH9zeSE6OWzmBCBYRhaoZ6PxW +inQQ0n4yNu2XMcevNZ4EeBEaFnn9FyxLHpIom8hUyF0To5gt72kk/58YLo08HbCIvCrpM6ahVO1N +KxEMzXP6YTCn0jUan9PaUvtGrpAEvsVU6y0uSJThlCvCNHDvgPqyb5HDsf/cdH9FUeAftWTcKGrY +4DBH2SKSz1oC+zVZW41VYUaDGvPNm4cWwN6335pinuYyPnFrXukt+JzWSxuuvrt9+jNZ/kgbIZOi +1uM0M8fWXzswMFKoaNQqJvz2B0LKF1TryV6XhwiBTGFiOJNbQatF8LkhSWb5q0xWxaX8uPNOrq87 +gHpt7/OuWbSi8WwiWtVzlKdr1iEt4eyf+eDZZMSKplYiwUng1z7a7zB+sCMH34QNZ+ygDvReegy8 +O0465XORx+TGqI0UoouM0BrNrOduC7ztHQ7TvXQrXaF0F87dBHYfaI6MLfOHPrUnrp7q21VE2d47 +mCTRcxdPTHY0qi1wEAiccYz+qM+0RXp63AACtbDNOZfUzC0J6gj/fLWozWbi2VA6GHauVGQCEzq6 +wBAukbQAlXKIi8MFq84S2xxD+J+juflHtc1jfLc/rVfeZZ5+ZpFFdk3j2MxJ1xIObPYi//aJVhwz +4c5rCTEPV41+Mz5Z356QF9kP/A2p6JiAZzdIk4fX4jX3JKtbhXszyvSZPzVGQK948uTDlrsE6RBG +ioJ9vIWa/DTPKxzCZ2dYnFvg/iVaGztI8F3Tq5iMvxM4A/yAxnHCLYF+Nk/OrBaihZQwgCNgvcTR +i1pnD4akD9QgT4tMzD2OKe7Bp793xbdW2Xt0+t7pJZPt47W72ghUzTulym8ZqkbW2+TMzTQWwFsF +vlChIcnH1dkkm1Vgl0qVXK3qg33tOXTldNACxe72WsJx/MVDqQCmOiAdPwxwAWSrmxKPMNjY4RZF +6cBIirI/MmvU3gfSy3yjIbRDRbWiLYPllwC4Lgvx4NMYOmwEF7I+4Cxp8iP/YXzZrNWJ0RIdOgA9 +jJumgnxsEfULS1fpqOI3nOOfKTsyyJD+RkmedBMV2YFUovJfJeWd6pcqkeDMm3lgDUmziuqQglT0 +c2eT0Q8TPx1Go/Hmzxu3bS8BG1hly3cEJsHIVAWvc83B+TbgJyiwNeqOQ1WiQkJCkw0iQ8sLB5Hb +B1tBxSaS4swfunizNQZYGJl2Lm7h9qIHg0coCv1Ofl0/DmyzI6FrhgGFvhgRWh8DOr0CDBTmBnYc +AqXTDwsXWWhTJjcGA9E8Ts6LIl+i7uYraJC5SDOvZdUd2MqvVToR8ONKdLlUiY1Be2+IItVIgpOF +epqHYCJdhBkm0zjrD/VnfPW6iReXiEaEhRLJm1igM/SCQIR3tz7HqyZb96zGbzW+rsqPDCoTVZtr +22zgzEPvCBwx6IGP0lDdcLcY3ix9YH0zVAdNcvZsQR0FwZDe+2HMs+KB7U+yt0AzztEQTN19shLN +2iCT1+yEWYhf15JdFaPNJO77SGLjz+g/36iKWrTne1RznCjiqJsFCn9aCrA1JoJ/fhAlAxfDpSdB +cQE2shyjEKq/Zty+p3h/HJsofBUsgl6lasaT0RfrvBISRoiDoCU36ap4pMIWvpNVeY9KmGbC5rTd +LVGs6G1moGRGAD0aP14242unUpC6c1gWEqe0u/9rqinUKAbppUo6UeNCl8RydaMQiwsf7irAhVlC +ckzzICihKOpAqbqEs5HWdOvy5swBX3RDGAWiVULreMpPq9l+8RaTfmDrHxDl4vGtnv+tQvxZIH5a +k5TEnf06Ap9pPjZj6ksb6i4cJt+FOGEc0fM2YEGN/OqPIXjYfFpBVRPA9i2AxPMaauQpEK4kZAUe +uGCOxN796V3tMxwpKNCYhYBkFTGd+cGJMQFtAMdtwLDgjeTw1FrutZOUDhOzHLZ+5kGNDbOH/0aN +fI1lI0nzx2nyYIAtG0W/Uqi1x8QAhyRoYFpPydWXlBTYLSTCQ/sbh4OK8kRGRGjAkVkb6yniQYgO +CBhL/yRzjdSB1eCQgqTtjYtVVCHISKyJr0qvVa9q1D8SUXdhEMKPoxcwPC4I6EGCMHI+cfWAqOYb +oQw7q3YpQR8CY2FcePgPkQ1IsYCm9VxkrO7Qad8lLn4/UwZHTIVNaZFEbSUb8FSfJmtkd5pYMAnr +Zmo6hAqiag6qdJdX4jmm+yQsWPx04TyZhtc9udGMGwdlcp1KqOMZJ5rWdMr9cIWKdw8IU1Ks0ZqX +ykRCVCMrLNhc+jKKFj+WJLtsWXhD+YuaUgyuXIZaKhspeIHClhnP2r5+uxk6Y6yVQo7aQj/q97lU +d83p4jgD//mJD4zesfoUwVcVbQqeXD5eH1LimGcwOA27kKreIXXYqLpNv9NiuIyvM8cXE6iT/fPl +dcQIJpWhXGW2qizxStJX9NIRExrxlLnyRRlwrMCGvvPTs2B7DCPYG3X/dRbSfWVoI1DJPA1gyKDF +8/CvH0N8Ha6ioFWaZ9M8UC2Ucyo1RPFXDFeSACl+2+vYU2h22sTFfHvrKwfV8Oaos0GpI2kaBtqm +MqRLP0CCYXRCFpLI45WhJlAWoJNryTq1c0UBXVb9pCGhnfuYYY1rvMtpM191PvmCz11whSlnuZqm +4SF2Q3FU1PrYyR20MDLYlDGxS+4cWot/dB0abqFBzs2/TayP18MDhjr0go94tpaurHouqq1L0yS0 +X9i5Vghr1+EmSwSSET8DebAfenkjaxqEaVuAvfPS+crI491PTaSRqT1mu8cP6NTVvmCSUQjQ5XBa +gJFyFwcEdhS5bvRGkRqJGHGW1A1OsHbcfNv+VZOz3rQxDy57hDFvGhxO2AhXHwB0/rr58bL+4swK +svO42iZZTnwZUIGCMdC6VDmWBrFQbLYe1NTwOteprZEA4YAPo7Ow27cd8SYbaCeeRpRyQhd7DtaS +Gnk5/z2r6SJ9+NVSa3p7A6nZw2TgyFvIyfGvE3V9Mvtgyh104oXLCVFN44GMq8uf9xq8UAxHrkQ4 +DG6agMT3LyUFgv2vq8g9OFPvJr21aEkJtMLwF5YkwJWPpYWQX615P9pFT2R4Hog34Ring/PwJraj +NKoup+gnkR3h72VPT+O+MpIQbVtqdSCaPm9/jtffQ6X6/3Wva1wQy3cqDxud9M4chdMoz2qqR+Is +3MH9VAs/hZolbrKGmhQ9mr+4SD5Q+rq/3/izkaCfyPz3CGYqWAP7v73WzpWJvOvvfdxFBJ1hP/o2 +2oUFh9lGI1Iap1fnFT4R6ZcJYsnjYLqaI9FTW7wIJx7rztDn10QA+RnzmkcEp8Fqx5BQyRCpNVPg +IWEvcMR/pZYcvhmzjLnpWzzZX37k1BUR6LNuaJFn5WDZlENPFRkYRTXjqhwAug2AAxjuCoIXmN8M +Gkf9bfmpQf+EhkX+Wwwal5jb6pRl8n8gYIg1X6v69Np8dRAkBO30/JuLYy1YzlqJI672EWukX6in +Jtg1OOTAt167eWQSdlX83v/fox1+8Gc74MzlnSH7iVgasRJPE5uKhGX9VDjEhaSuTyWHdOfnRU4U +89nOL2AQRKR35BLK0ZAQ6+zQXLkX+/P9k0snFdiF4287xMEcKw8DkJJYzhI7baKlHxHQFHSZdagJ +z6jw57APaAjL1yHjacxVPDV/dTyCjc0L3JCeBruFfpHIvoNtZC3mfGKik2Wtq57WvgHfNvs7ZjE+ +gVM8r9QgLATl+ZAUEtIerd6hD8a5kUoyL8NtAMhH3loLZNVfPEZViXJX19aRsxEneQxEioyb2yvY +/D8kXkBqT1G+7Zfu8O8qb+R0NrzS5x+Y1vMgTKITqzh9RB7QG2fEaY2Ea71kUjdofJtKmYuesJcW +bkk24SLDWDtMHqIGl3EYooT7rONqNYxyojy7vTqua756NuQ/uXt6lkolFIKId5qu1ewBu14cbnEi +jieUi4ZxfDRfbrlqr0jBnEoktBwsJnmmVnso1t7V6oGiF40Z20cCr9vsut24LK3BfIyyGX6ZLvcK +Ikhur44K/nwjkt0n6JfJD5UEP6VfH9iTHmtTVLhlyIZXJQJntm6qsHFiWA4pUaDBOBZBSoKqkHFY +7zFW3dYO6BKS6TL4+1IBJ0zDxXKQWRF9McfZkpHeR5aBPEuPNaTAJ4tTOI/gvEHl0pj7UUh48pWp +0xf9xmmpDGMgAcTGNMaj+L4SspnG2gl8RrDjVrxp1WrcG4b00va0gxc0J12DOMSmpm/43SELy7H5 +IMLm40k/9C1RRok5mQnYhz+BPCmYU4IF/1SPVXHrafiTr78VMtpEzAVnS4bFA8eE03FnXAhSoTdy +R0i4MaKSDX1CJKgppxHsiZpKKiOPsureXn15DcMh2V1zd3m7KCwyPpVN9H3ws1azSOp0L00+7aJF +WKvj08nxQyfSBn3v8TSG9SIdezEEWvKrvyRUP10l+WJTpLqpr3RJUeivqJibto6OuRrr1ppSBsbK +MHjR5en944Ppd/dc5n4IuMB1NQsnJSSYvd2XxkGMswaAiXIdsdBDaz1nr2mrIL70izQtPoItqawq +5R73Ike8IkzQ+8p+fBpUVmS7UHh8CPT75vajgIItn4dciIK8UA/K3Oi0nMqq6sl7jCO0Adrl5Y6V +lLg7EX9iKqPVq+l02vcKvnK6YqGs6lP1cNthmulyP8CzpF+LMm9FMb65Uf3xMkbViG4EKF7AZcN2 +GnwZTtqMvO9dAElKllrkbekRaQFRgg64tncjAF84CpvaBuGdgGUZqx0eqBegTPTyw3yeIinDDd7i +WIVv70ND8i4MbumNcgG+Sq7TRoWHxxL9vHT624jU9EfVn4brIZ2K4VYa9vfp6IjvNgia82PfYwPC +ITI1xdkb1dTfWfUxeAaZqYNtUh/wzqVRQjOQc/xf0VMm4WtNYpO+faOM0nu3rOmflRvzQcdH2eM6 +KwHO794E1rDn7N6aI8Lcl8vYGakT5k6+uOASjYF8p26zRRFvRNXVzXpepsLe6UvB+hs6/essdpl/ +WN701pzK3G6MvUO9C3jDxnP6kLXrhQF2nF/L7K0YUEPxrtK7i0N94OsQNf/hSghnKTwjkjGaaUi0 ++UCV/Ez+KG18Qmk56c4UlRSMMIS/X8JezNsn+rTJoeXZTm5zhpIULmfyS8y6yx7+SM7J1Ey7+m6D +C1slKygh9/Eb3Z0uDlHKuPJUZlH/q2/+YB8ba5mX6Mj0TZbTO5CpybNpSrT0O+uhoXlA5R3UB4p7 +JQ8Wdd1OZkFWFj8nI5Ss/HAqPpvmgeFEVgA012V70Us5GobM6PPpfbvMzZ9gLP3pri59zrR/UoSI +S0vaFMJn1d3NqAdMOMMrVjwYWJtI8/TvfgfTQoI76/aNlHTArmob9WgEErKQ0KgY+Vwr5tpZL1lT +htfky/Y4kMffrPAvTJi4HwWgRIWkSn/grEnN/nJY+Wz63J77d7y/BJXgmpsfzNLbCliCubQE/xoU +8fR7hZ4TdjIJ7YTlowl5a8pEzK6MvlAyH/IWobwIEma33KH1a9YWBXsDC9ZTIe1nWJpJKLt/wzyV +ptOgDi2u2OdlrQpmnxF14LvBbNSJxRAQ658ZM506JqpeTtu5T7PvvQzAuoZzPp3wvlBjmVwCmK4p +ICTS1FhM7uO+TBKm5ep/PsUme01pYnGhk4By9yKQGiKrbjFzr41Mm4mI3sezdo4wy1783OJf5Y0j +27rjJA4lYVr1vbdGWkr7aMfe1ikx/Lrdw6Q4Ntvgy9tYMXPiKIzSidoJKrwhN6KFqlNoGzgnhBL2 +Dv6uvxStXTOd5/n077RUe7KKBvUoz5T0W12Ek7L2f9suNJgnA0ggBgnoRsxApxL7QP0x+82tjwZl +Qm07zpmRwcSUkMSuBXr+DevfGWjy17rvZR1eo7TLj5UoK3qhBo1XMcWjZrh3JezU7QMWV+J3ZyI9 +xpdoHkdB3v4UGfXwsJAKXmy1nwkLizaGjUDPAI9xryIvVBzxF7nYbwof5Qd6BB7vaSDw9xxaWhwN +6BpfLqFjehSjWgMwtHBlGDY85eUX4PiI3FBDfIpA0oobzqKH8OnJWIno4pDovwvYMmrCkGd5pv5K +U32lMMP7cggJZKjtdqzl3WBR+cUQTB8TDp9LriHKaMym1iF940bt97CZMvh4v8RZEI7dEysi3G23 +BLDpuGrpS/y9miky45Y4RERyIf1PHmQILirq5+PMjp/NJj1gNx2hu5G0Qsjw0ST0iVKUZ56U0o5D +rVgoCuGWaPtR0AZZcXSy0n83Xx1fLuhllTOtm/uvqP6quvn/yYpVdv5rTaiK6lSa2oRpCYlk26+4 +W2U7qIiup2jZIKDTUeyRUyBJTBK5Ev2QCpASDTerl1f70NYWXGDSRTRbDptCY4cyWOfrrWDHaGgQ +Yxt8V3dgcu6G/odPvLQTF3YQpLpCvsDyRNm4GwaF3g/RAO5JwmJCMa/PfTa2YcPVgKdFlhqcWTOf +YuLq3M01kiJTWZhe0pvUanWW9khSwQIsOitKEPZnugbPfm5GsxdIb3gaufG44tDy8+J0hyv2leZt +QLxMiVJiE//wrEgxcxyOe5KRUT7AGAlmNG3l/CrBjPd6RmEplwOHjnURMoDxZZCTv/DziGq0ytMB +MlV5pFW6I/FwZ/4mKpb0Zb39AOnA4ZBLsYQ1q4v2wAtLQo0y9Gyrnbhn4B4pKY8g5yB4Ojyqs7Ml +DtP2OLGG5m2dgKp6G/Zm47hzK09FcRq2OU8UqGtIrygp19Hxvj1/+UoU8nCdUqUo9oOcP24LxKLk +qYFgXzFT8xbyLyvADXJpERRDQkEIRFHoFFX8OCbJyAw+EYrKRUTNIH2Xu4TSJw0RDvaROXTUxjmA +7kMjyooCFO62HbfhHuBKUfQXtjfx3AE9hr0bCmPTmDcTAz1a4+fjVFZIQzlbSBxjt1q/GSqNZHR6 +eVB4dWUKNsJrqtk68vDdf9iRcIZipi6IM2IwopdPm5k/f5VaLuMnf+bdfuGUtxkrGLFAXK4VWvfG +iAQBDeTp2ciIdZkfPTMafn0HmGKjLHgGYl/6bO2v7SU8SZwETuqZ7W99/Bn+09wcao+kii/cGLja +Q0VmgPLZh4iYGbOaNn59xcr1+Xi1FtMaAxp/XtGXCNT6Sw0JmkCcCkEPQfmbMbBlj7HG9CddF+2i +/zarAjPuzSkkti1vEsio40vvqio1DG5E+THcBktzq8Qg958ZAY+j0+yyDrnUgtRzMASF5ie3SU1H +C7NcFv1IV2RgLeb9L/pdS3nK7KafB2qL59EB5GNBf0F0mXkhn9e0KzbUxFIEX8dO9dT5cXwZibUs +uYFLFJ9LBcrrLvAkm7uFpxU3RKvWgzklydzTeJsnQyU8wIyeYR97/6RVMv0i6NOYec8nmnOqi6+w +voG/jUgIl70rib0y6jYp4UWWLYUxNroC8JpHXRaDe83my+k9U2ej6PT/n82CQPcNAImkn9Lqo2Up +2xv6nckQvyBxgqIVK4IEkNS5bDMdvCizm+ynKkffNaDBswJ55glO7fBP9T7FOLAYb90BsximHNXB +YxBtVjODJN4yXGoIxMLQyZkPpYjiKuucIfTcH2EgLxf7LLi8/Ab751bvtc5rrSRd/QqdkNjGBZm/ +kJ569qycOuccuQvZJ8iY1SlKcFMx+mj+N1CbDP/zfywWZ9toJu8yjY7T0THmrJBTFRCfjsaZW7dv +E3MNoB7/k2Y20F5bJSV1mORiaV7RdGqU0tEbDeJOFgepf/GIRANWo7isQBnOiwVbEW/jRHHIX6jN +cYJO2SEW4vNX6jZOmv6esQRfxvFBfJi05i5eOje1RsfhRFdon5cDRi0i0svtfUr6/VpGolMV/bKm +ukFFAAjZbswUYaNBgH793KVdfqznSG/d59eZ3k1ZG3IpU1FkiMZB6CKh1QlIrd0nDn+Ix/tEAF7n +xW7pa6HuuQ06GehJu2g3Grl9pUxoxdvJXWUGlMC/zgSNVMU2nEyovZxp8k8BNDpn7OI/xYSZTeZ+ +Pmg9wXuXLa0Nk55lbujt8WWHFenxuOvKWD322JuMHJ7SRUsg/rCtGraeEgOIhSFRZKDbITqOQOnz +ex5G+b3GpqaA0uvQtj1XMQZAiyUqXylGdmwO3iNb7bRHB0NpSetIwfQUtCJCcVblJbQXOiwDW5D2 +bRN2h91OgBDIyTwa7u8ndtlXeSr/LVpX6yQRPIViNKAsTeFQAanYZLtXw7ICE9koadE6VGgBFcJH +nageCuQmsxigQsyvMNX5pujLOO6SwDLFP4GIbaF1Rc0jPcXJJttZHnxMWObZUfZUJKQSDZ1HkQ+p +VVg+GvUhKikuMCH2TtsDrPeNrNZ2k4gKLUZ+gFgzYyWoWgTLiuIOXXgI+GLEvL0j8qJsB4QbExtn +l9ifp6T5fcMSjHvnuFdKhmjc66UZZcRE6cpBFEg0dI1CXhYKuVS044sDgYW1uLGpN9LtgQPYU081 +ALMwAnm+yd0UE1SCcd0T9023MVsTjZoDaWQOQBOWuy54M7Tr/Ybjj/eLMGjNIlJw8qAYADOaTkFX +iPjL1sySRjb/VhPax982J4qR2UnpNz1zODsrD8z3Q2ZORnk2GOCAmc90oi5UJUWDvOlmeS7m/eOR +Z8WHZl9+tGNsY4WaUfSqX8MjlU8UlFgudXgou6fIG2nF9/WnLyoz0HodTLrCZRsyeeW1dtUDe2j2 +CpY+34emlHb25F2YLLGo7figWlRSOKH2+OPIs694TbmPJP16W8FmnQyuiO+t3pFkJUeSPL8iBW8d +2Wv3jF5cLWO+TiOEem0WnDI9dlpinenNrevE569g4lUmUX9gHxm02tQ87TUMe0vDPKdIqah3/DIR +HTwE7ypXsC254IdOtWx3kUBTtNvlyMPFXxRu292sFjXmA7wHCOCF9vmgbiu6LERtnj3rCyUaWrMQ +/cgOkzZBc13hbBtKFuBD+WIpgZfvOy0Ept16x28d59hUKIFbtX63mkTrMUFw/E93CMsfWtlKMJ1A +41LqVMi7oHL+i7JHZZdMsrNPdqoMHgtYIEqcbEHA32gZBPPI0x5mdnSDdJaVL7tZ/Vm54E/odWVv +LQzmmwJ7h4GRO4v+iyXNdoET6RVpPIgWoXT6C0S8sJ+0931V9SspyVpNEtOoLncaWbTBJdTHXt5N +fgu9RVKk5T7MLUu781JdOcUJpqQRS1wShVsI0aHSuiAlLreN77pevM/V1QnJxkAl5RV7AyMwhRzy +TpsSx+ejbZ/9U8F+o6eKaT15buhMuqhL3QmDXhQl1VxEBTQeXRjnf7xCYKLZAUiL6Bce5gtTNtri +rjKHuxn16ipPzD5aw4lHqovh+ySfjmBZw3nU09J6wZfowxvX4WOkgFSMkA3tAgEe8IaM0LYtlJxD +KEA1GfCrntoDwh8PVjqxRUimf7dKr1x+pKAXBtJ79A4Kuscp6GnrKygCuOKKI3iQy4Fzwys700r7 +7Ph+7LPQpEMbqNnJVK0i2FOHPkVltTsaBTPcx4z2oj6qHaNcC5JqMyo8Gcm+5SoDTH6VIM0/tDn7 +ZDD04A20cOvqiqX7v4N8Y0DeO3NfFDRpXaJE+I0VLfLHk9EwKqmnT54wcCrkjp78ycCgUtE8wetz +ds+kt1P97vk1YCH0UEAZiHO5GaKtgHRFXUG3HNXpfRXk0cJetuoMINzfqVa0uH0fYtExU5xtgeky +/BBshl8c1Q/HvH75ofzMfRVA/6GPiogFQFV3s0d4C97N3IbWPsNC2bW0kLUD0iaNMhGc8HWFg9OM +bSlVhm3Fu6TpuEa211Vr8MfxmbUU9nn2P39yrMyYS8ZWfmhet0Tg1fu3IindOJDB9qb9T8AOP641 +uNYm7ZqqHiA7lr60INDV8b5xGXQs2DQ2Ojq1XT30+OTFixAHCGTaw6atCAV6eSUvjHo4gsxFCi/t +0vXs96cWSx/fs+IVo+gzovJDmzydqhFQtFne5b2OagDIF2+IuX/ckJxUSIdwQAltUS0OK9MvOgjC +4ZNoooeQdL6k2RbCzrIX57+n4vPAaIn1VFAp7GyorFHsQb4Y0Dg8vYQGL5DvioYFG9LWXJk7cHhC +KctqLVazXfSVHauSZNGNXzc3FOcDBPalonTvVT78WezTaKl5AKNKHGNQ5C1SNZP4JQgNus1W82aB +sHZKpqsr/176lI0sR0vnTEQkvDAETvl0CBb1/8s2ru3vF11VgszYHE41v1DJqgSI44ioIxsdCbG5 +i6BOtS2HQo9kikhEB5BfrC4WyLZAAWZkne/OiXQAEhz6G8rsim6oNcX72C+VhSw0lu284HFq5uj2 +g/5nYx7Cr2PMiM/ld6wIgCtuZwfbfbOyXwLnUQrNI7yhs0oPrr68+/PC/w+LKhZY6R8eTESpmNon +O5foXE85HraO1MphxaGB7i1Bw4hU0ndGTqKVF1pwhbDAQKe4zjygho0oZr4E+q3ps7eCsaVD72qv +Wt1Iklet1o/Eg3Kf8xUsXEkT15kOrrT6sVO3ya0qU7tR35TIoaHhcgNJXQQgjtx11kBrmX2feHwm +Q4eu4H0FmKLg8m0VZItkdgTeGPgipyOWPc2ESOsv2zadCBbtUtwg1ZCm3/v4TxJmvY1xJBPMHuC3 +j98QHD4NxppslZgdCMYIJGe3+GV2+bebsokh+Yvr9zUp3CnZ2VeoLzj9TrkWTQVLlqW6h6L/VPPN +R6mGiWzBOl378UXsUNTLVq4FssOzT2ThoAPpeRgbi9Q21+KEEQ7wawL+WH9NeGWC0yzh2/GQfKwn +wap9ix1ZMRp/plCxHxUxtfpcYz17uTQ6awUWoMkurtRvSNRqhIaPVQFDG4WQ/iNA42cjyp3N/rBM +utsMjhEmUN0oeTml6r/9yySA6XjrOpen22vTqXuf2p/AW/jPUJ/KaCJfHpQnXRaWhQ5oOzrzDtuk +WbMnGQrKT3HzMqZIKWe4Z5kv3M60kBUtODmzmV3bIxVmVM8ic/7KS3CmNktOJV8uqSK5aU4emaZF +nVfhydsFjXDRMVf5VAHZn93otuihob7YRd4x+bHqtJYg+KOODzMMZqh2mjLxTGylNGiL5OxS8Oao +5RxoNusDbDJJtVvcw2Riy9wbNFex94rLOxDzQu0J2SK9BeE3sCnRUEWWejry+Tpew19BFcgfbo0K +ZVv7WOgcEzKntjxnstLgPOgu9+eWqmbzcWIScS0ovkr5TtTn97Cfl0WqsDiLonz4Wz0K82guf2GO +qSt+/3y0SAnDqV1nbQrVqm49Pp245oSqiL6nzrnRKLxbms+I35FO0rkmXOwqYmHu8rWQOgnUO11I +czdgAoVsaZZFz2151XdbIbHVOw2HT11cvO1sg60jatLbLCPOHMM5zZ0nLfvMwgthe/rxS4erVm+N +X2iy1YX/JAKDQ7hAXY+xnGwL+pNRwWKIN68HKOW+FniUe18HVyHLDphsKrdJGt+rxBj2IuHtPUto +4NcpFszY2fJR1bIFszIyVNWwIhD5wvtvfJiTwIxojQpxYg24zYkuI3hmbNa7GODykswkIvjaAvRU +7XoaJ1UNZd/QTfl7YeAxr2hLTtgIXCxQFZ37/SNBA3G7GGJIwtvvF1StrEcAsVXpbtyYQlgPfJbH +582/WmgyFaXe3VShvpsOWXcjd/vvM0adpBkrqAaFQJAo2CVcp1VNTY5qr/UNaT6vWPtUiMSCVHuS +ei3EqvBL61yiWyGC7H8OQ+A9aSC4AFvmbA4Prtxd66CUIEzSYJJld8TEesXmU20jL5JF755wBM/x +F4BumSj6DYWxEgok5UCkYwpRLYt7uMdSwr0S06ybrPWn0clNUjTrU6eMetcdRU/U07aClEH/vSF3 +uamRUbjc0sOvXRdjHZz0OEqox5RdCRj93/HuUZdx2/Q/Lv39h0oOZdG6ITIOMM0HzgZdYk0XdA3U +9lgEFJoPf4cFu7fbNJEOx5DCLecY0y+ccwhvET22CrdS6Mcwtvjjg4y9r1j28c/mjzC259h1UXv8 +LlcnLqzU5n1rtRAlZG3tcYZCaE2qpX9K7pNop4tLDsfLSlJNhiEhZNQLtoY9VOD+WmTJ5RDzOjEZ +1CwjEddlY7GddhIa7oZMB9rD6R/rX5l/I0Sl70dUXUaE+87bls/MODa5g+cPAL+Wh+dcaY+0jAYh +IT5b1TNa+VqXh6lvcxdX1d1MH6nWrCuyTAIzi8YV1GofaXkiNP5dX9dUugWJqV5L2oy0u6sFNRxO +KOOo7iuM0THOIwMPxvP8OgJ4ggLs9WcRzn0GNNmLM74UphlEGPaZLeYnS9u83/mnGYDH4Hcc4IVn +BYw1aNrkGobQXAbrXVgLWBdEFGBgjDELl/nArUhLZ4o044hI+2FnuatUstW067XrY/Dj/1TWEZ1M +FhKw2blGGct3+COSQodp5H8oM4FVC8dbEbWMXITC9/y6bTvDNOnTjONoeEoPyL9KCjWu2/ZtsGxq +NR9qmUPNg8b1JJEJz87HqfDrCxXb6eedBv5gU0lCdVCe4uMAfHeCQo9uxHefTj5EWDtYjJ7oEqE2 +R0NEolBFKUPE0xh7WCZ5swfpaJpIkavatXguKjjTYJyyw1P3jShUujAT2vLluTcyEKqUXKwqDzHe +XqbPlg66EJPwtCW2/R4JCuIi7xHNMCXo/YHcuJvfzpKJlVbN8xgclaod4xwwMoPgzZyrsVvEHP1M +5vzMrW8qkB9HTkM2no4Tki8bEeg8THhDxF2WGaG1mev0ZSbGs3AZ0GchZFzLDXoylCsh6ezWKZbB +pxwAbzE0EWtdZoYC2AVC6eHp+J9PRoQkmmmIdx4B0oEcSAh4sWRjktXjMzwlmHO+7Tbt1xSvjR0T +BAtGWPZ46Mo0HgCsxd+jjK1cfujNFn5xa3lSqtliUN9InB6AS8uXc8A2/5ZYmcos3lXnGkhXWgph +8Jj9DuH2C5SZMI9ATZ8nqB5x8DRzlmWtygsF0sCjVGIVYL+dL1bIXYwnuPiIdGDZKYPUkwZk+978 +r/lhniAqIkbY/AACejMuZ+qvR6QxxPofSbFMjEx+/0yEiGpdLCsHLdjWVASxAfmH0o1IM38ssXAS +HXV31QwRF4KaSbZIgAdBtxNOrrxdXjp4kqjPztJE62NNWZWAJ45JHQ7y0SKwLQOVvVIvZSNvPtYU +7RFkmKTne0mWUJIXm0DXaqDJxQFQI4IMP2Pgl+69sJ/9c/jwvSY+PuzIPq5Me7J/FM3+AIyEaQiG +W0hTWwr/cV60qiLkesumf5gqvIJMWQ2lMrQn4woVUCnjDGYb1kMDLRaNOkupQjgKOKZpE1KlFo53 +k77kWnmXU3o8pBwjNFC9OPPdLRe+2DTUDRzrAnojFGktypx6PIG8+b8wqaxmZxzayawjfmiWHkBf ++P7P4J64cZfo1Wz4udOlXaAK1nw4fPzhOghXT9CnsASIx2T7zCADX1f0/49/olLBUcfbmp3YxmuF +qEzvsnJbJQWTeugjO0z9JCrFqhtsFhYxszthki240SNTEiB6uX33ztUNaD5yP08TsR2MDRdVpSVl +avv6FTXcLcm8rLwygUZ01nuzW56G7JcYVGGSFQZoDSLqDSoc6kxmUABGgOJ89aQnMKl/GbG/hbtE +zX8VC6dMtFuoAOnIvk3Q82ldv+7z42RkotDM+qVNDh9uEgN8Up+R7fb6pB+4eaa0Ks0FZ4ejGVi6 +36iOjH4jx2VvxVHTa7ozL0NJoK9u76NB9HeZJu671dx1hv5CvJyqIFRkFLLCBEjYqztwrzOAQdwx +uRMmZlIXAEkvMJDFZa6nNIFATROORBM9GktKOnHAxd0dgVpUnXH9CrHV2m9SoVJ99GZU+H6EH2ks +c0eZt42mC6WlJIIJl8l/X2OCmIsk8J5iKL0eEvlmluDd0tPXRacsWevQkGr5mC1dJYPv7UxJtcNR +Gcff1GjV+6WQzno+kbJ6d+meBWb+MsG7NeOCm3AMCsTdnLpojHz33usSQB9bpxp/QOb8RAi1u++2 +gnOCXU043Q1ZescW9EAVU5CSSp2UpShd2CMY4CflfKwzff+AGs4NbEo5o3SMtXSTogyUmA3wFW7b +Z/7TyUKyzlefTxTqdF/d6b8TCJh4P+PMz+e+HT4T3CxttLAuoQrbk8QNnAm3gI/0ce4FRHt2t8qq +3e62dnGaq1ApNtf8NXcT1rqI/ETy3P0zJnAMIqBVtydswqgXB77e/gvpQLCV7vd3OO3NJHr4mquy +0g0WWe1xrbOsNwl0Exg3CCr5P1LE4tdsO8Xi0NXFQsQGmP1TBVkw1ZO04Gj8ZMVUfs9Djostfr5o +2pAbqxxZxGiHnw4T0xf5TApi8zZjCGRkdPLcmAsUkB2Q45dJhYkZf/TGdmWIUFhQudY7mWLaYQ+w +b6LWHvvuJE19QGD55I/CnYdyWmDxCTdOI72l9Tssl0xaKFOtRQgiTDoLT7FGwVEhtZ2E5VX9cEUb +VMV8lqyaz7WxCaIqOf+Rdbc/03tzk7ytAA9QKjl8nOS2zvz5Vx1g0uITBlJy+u6iI5KFGl4DpyWr +6IFRmjj7kjQGwszkrZjZgsV/twNSg0ktfOuPoHfKn4R6ZxfFIjAxJYYt0rYj9yh0AoS1MaqsJ7T/ +ZAQ52ysWy08Cb025AArKsmSSDM+poZhySaS46De3OSpCvWzAy3TcnmajfA3r+UHc/44LYq1zO1m0 +XRidRbAR5pDQR9Dz9qT27hGTgtFNNtF5QMlzBQRlqg3LtKbZ1gk4mH2Mw3muwvzg/YceHNWE7+Ma +Q0+KZoK+o6IYapsyJA1yWz1hiAg678xCEDgzEkoxaCp2XaadDxAEXCUDdw1OTGVZzZMk/4+F/ZJh +0rRmkv5aOQNuBtXjKy1summYQQPLCBs3eXLkox0bJ/D+N9ww390yuhYHUcYkpGkPV+agDXjUPH50 +2YDP9VM1F5IaAslLSBQW93ATkJMNX6y0sFuzLX1CWyUyXzcb6uYmLdtuY7UvSooAiXZwoiJRKwlI +Nbun2r7oE5MsJQItNK6R5NV8XdpYCVYytHPyBjgEEwLjn69lx4NraEnl7wq/N9QoL58gX+a7kfJC +TLQtssk2vGJ+8ZXSrWPHHz4YAvXKY/ehvmjGpC0kafZzr3lZ70IfyeLI348HJKJNv0r1dkVWxCAQ +fnExRSp61w4ls+0Uk4X/B5l4aQr1PrqjUg369fOG0VshJQEV00bgjvPVRrZOdsNR/nxOyad55lD/ +nXKx1CtD4CLwsk7CvhUbt2ONZjMDFVN+++5zMtN47qQp6yRU+AjNuAykOPpm1O7cs9Lo5PsNgNX0 +FaRf+dJqKdMFl3NUjqyb9bJ8DrCiO9BgfH34dUfLuchA7gIrETAa4OPsO6HKibhwJbzS84PD5Icj +3gg0P/VKLofiWD9xJP9rWANfoPR8f7dIyLOkwx4+M/ieEZal5wpYESczHzf40N6u0wDjn4AaAsil +VHHdqQbRHND7eY1Nr+2oJPxdGEaPBNyG+3/GVEZZmxr1k61yLJ6pZW9uB0D+WbhM6CKCiErQVTW7 +0xQjn8ULnAUQbLGVs8JTklVTM4a4swgCMZ+LK5GUB+PIkuqzpVnhnLZQ/ZHaRqEkCKHW7vlmprTE +Wg4EI9EMnuUCelcphbpB+33XiQWahrTbiguxESslrTOSnZpHiZX2CTTf3pueisBR94QzE2obBSnH +8WOavikprrND9C4ROSVLTzlgD0hd8L4mzgWyMzl150JEfqPYuvRBVVNfBOUqea0oZAMZYRGM9jw7 +0Lf9/pmhVz1yC1ecl/olTHdnBR31P3ZKPTsZPuL+PDyvzJVe114OUo6YGsmcUYa4iddWsVYKR1wK +cbGwMq8xotW3uJsm5yDIvOOkS2oY1JExIP43KaZydwqHW32j74nhiH70JNDQQLumrzUApLQDsifT +1bp5H9mdX/xVcnGHn7EQ8nROnInmvaNfO7t8woDiof/i3CDBVvqfP75fIqbR/6CbzROEsR4yXsN8 +CzkqJK2nEoydA9Q+X26tI/zFyPi158cwJHJyCDcTy/CTJWIcrzHUZV8ctmu+W4Ar+AkHXqUPU6N6 +Vq8v21S9QLo1erL6olm2Vmhz6wcPn/99aoRXSDCGzy0VCNGLM61RDSA9wuEG+aexf5XRMpvYIsS1 +TyGWfnYtbiuzhCPOGYsRKr4W1nGlNrOdlY62YvwDgTpyyoGo5+H8abogkPWFG4mGgFUONuhoNfYb +DFo9mUuh/oQ1egokNIUh76eXWCzNWOsuQvmSRmHs3lixJh94uZlsKgqPzvDrjoBxjsVDSrG+jv+1 ++13xMCao89ShBG1cf5prxdwjr7RpJaCi99RS1hMHHKQ0JKjhJ4G33uGpaekkKzDqtdm9mC131z8U +A+O4r/xberEGgGKv40QPyMf/jlmv1002NPIC2iyUyZUKW4GU7Lq0sNS/0EyjbktsHGUDYymgQz4L +fdCc1P9Djr36rtpf6gAdQ9zOcRjO+HTiAMhh1lxyVrwgANn00stMlXqiPImjmkIaCkW7F9pDZ5P9 +4kxvvmD51fSmDhPdReepuXSoqJ0GzJET8UgKGTAgMxd+zD+AINl6rO/iEh6lvCe8rRaFIwudao+/ +7tm+xaZOHjiBsUS8gkeOnh2S+L2fqGnVENAMzr474bCBO0PkjaEI/OPKUDnLIHm4UNEzSyihSUSD +D0++VA+HumxaGMmAlglh0MyNxGgl0yIFbuURzWECrOfMv1ZrOCrJQ+wLxRF5TBC83mQWIgkbm9TG +NRlbOUobG/iQzLHHp6J6tuG9Fawl3VGT5AGzR8Gq4AI8MbHAnDZoM5n247At23u1CSj6c1ztO6/C +xzGHVRshfgh7GWWFktVxqTMBOVhmiQeiDfoVyN0eQPFl1xFnQQy/j5K7QsH9aGwM7Iv/yeAd22iF +IJ0S/UdeCGMm+f40gIXwU0Qtwi567temS6qFsb+0OlNBzkTBGRuTsIXjTiJQtHvPjz/FaUqti1bj +MiNRsPiZ0wHArNLQ/nnW5ZUevKdj/UUpuAY3TddL0YTF0x9+3kFOaA9fCL2H32w4MTaOOHJtElPQ +PNUfTgcNIH9R8v7Y4HP6VmCeWxrLIP87sObWFvkpzC/jG85KunJGQh3hI+0Hu6D/GMtMFGeuAsjH +6Oab1xzl3t6Yw9IMREIyFSN0i+dQx4vsN83qRa9BGiJcmaFSgL9dOZJKC42iTYP3Xolek6ajcYrx +aZSqkjN9w1nb1Q+pP4CxmBLeL1V5Hu/fwrfI2KDS9mj24Q/TSa3XMcU8vmZifoWyt+WdQmgzN0sc +B9xNRjIQYgUe6jO55HYtH1vjGzbU/BZqSW+tt/i98V8BVLRRBWugMEro2Ree/XpcDy3qwndwlVbX +vkGkto+uVM7aApcetx0NwBG7edGz7TsB5eWxdRyeL8RIvic+nsfiom6Xx1GdM4gmUR4Q7AYpUrWa +ns2KqisAzryBs9PuiP7gFOoZlCvnNdzSTWXXh9GIFFryglcOuBSLHvadZGUCCBLjXk+CrxP77COF +210XC61EU/pGh3uiHxq9SQ6ktDptPO1cZkvRNbg3yfRHhlqvLKwQL10o/MfubJepB3pNz238mjXM +lNk/Evjkk19Zc/mcMvCIH1YeEM78PvMV0Ib3FnZnjwVQSkFJUyB1ea8whuo52PggX+7T0j9nf2W7 +3P4OVvazlnOTc0a3fr2526CVai0M/ks5f8BHBD1OFkX/+4Ubhd/mkwEpqoM+g42mniIgzpPM44LB +/LDop9BobzqFne+OQlArMUk6NGOP8Ks/rbg2durz3eVJeVsVdi/1WwIHPv3VCyReIHeJnNB4Va8M +avovZuNRtCJTQFQIEz2mY0EyuNotsXnzXurhVczi36COIHqKO+CxpHH+c/te7BThWkZ8ySKA2YLs +s7uTIiednPMASg5s2M+yJVlPGprQoG0lzGEHz6hk1pHAwbq1rfqgewYV9zerbBFkfqLgP1yCxP1p +1nRizsMlTFkHA0Kb06hiTJ9QiZ1ON+NNPfqAXga9KdUdhianRtJlkenPX3+vI143LNzJKX28Oup1 +zdgpZZdwPnUmlXPo8AnN5ZLnZ1rJ5O3vGfUrKKC39SwWh2TgThtVy4F6cJgoLFapuSRQ/M+EPBbo +Zvgpr4mm8qLqDwRo/1Deo4icTxpZdCzRhsC1xnjxyMq39QMb3tWtt0XGnw+i8VSIWzxeIy+QZun1 +Cy08nSUHvZddm7461pQZ6AusL7vpDFTWmzU0382OiFw0Bp1hdpbGMELh0K83/ccEpJR4aeUE3RYt +EYnAAhcfA8jrtuukomqo7icDbSjQeP3ggB13ggdAR7A5ZgCPyVVec6X/RKY65GCKQy5P+syDc5jw +vCjiSlqP81PpUfw+eczfNNPPRzhUT1SkIbQZWPy8uFpO+xlHipfVuJP2RhV/NXWpvSNzJlfUa/4z +EffZrgazmWMsoRLz6zoDYbR4TDAiQ9M8AlXb98VqsxM3O09JdptDua0JOl64/MtAJwgCNeau/PUC +byDh572XsmuosunvYymYuVodF4oDwOP9+2yz/X5nxFVCIryCLQL2TAVl7yafBqX77uoEwvEJsnwP +bNRPLeEAlQEfKjs/8JS8PA1fpDW0IrLZVPa32P98PLnryB6mBoakLEJUXpcyLlpE2MXgnuJYdcMr +2k1Gvu8heIyiW7kApyhczsP3M+TcKiYM+XNyGmXBnzSb3vZs90UDGDd7hrxtSzvWmJJbO7TPj8eE +6iHO2Nw3pcXhW4v3uylyOEAZ4WPAQFAJjs9GQc/vkYlKwtu289yOsEst/LWdjgJuDcaJoyP0DQyd +LlV40nTH3gXyhnYC3AGdtfdjjmJDGrHa4gJoG6AIGcVkWILs7rj0r4fhR2e1zT9T2ukeZIT18IVZ +I9QZnRceg/QGVNkvisyQPUYrk4DqMzgZmQ/Uas9m9Q6n/6I/kw9D+VIe/9YtjXxo5supxsi6aP++ +spNe4yYsS7ttZBjTPJ3qeCRW58G2oCsSOHsKVyawjkz7g20pzeg/5tuK/jxG7konTJdshwLWDqSL +7FGq75M7Af2TVRDECBSfFVR8ma0hmzwGuC/PlyaYxM0mjZNKkxtWhl7Cp+iKhH/VviVji1eFZFAH +8AbQ1CqMd0WuFIxq5Bhbs1VMoKVzOW4wTYngX5iweeX41jzOd8CuDsQNUNJO84jPXyUD7LpMCmMr +T674S95+8U5zM647vpLUgrhwy7FNZuzfO6BUeLrYi5nRslVSzjKBn9XpbX930A0lgsQ7lLBB8DLX +5Cz/7p08Z0EEK0gFVG9Uo3bEIok+BW/QV4VIcX91ed4906kxfE0nwq7cc1LHb3bQ70ysaDp7RRJg +NDlTnfa3N2L0h0FZS+hbozfhaVmFpwQsm+aBMThjAS7zigDMYGPJntIlVXFFWIQl+ENGBVlT1QLz +cOpv1P9Bkve8yeDh2HcqhijAC5HHqfRWJlb/yUr0zhT7Jb+MpdVGkl693SYjy3w6KyrP3+qILbyG ++f0cVrW4RfZEBwcGncNnx8uR5LXn1WKNkUXVgFaMdR9yV4XSBkcPf0Se6L5EVQaCZo9rrk6q7uqJ +Jn8AHosXpzuOTjiaMTSx5AxpZ+MpGm9TSXAzkdS/5AtFHB1XlMz3tmu4FGiFg1VckPBvf9qnPtJb +t05ZP+wD3fTlpwcoOzGuSy7rwW7/GloFCBkH8arJxxrtZ+cP7uwM6zwBb1r2AXdclVMuIb+jhI/S ++bh9+WmQY2nve+UQl6TzWy0DouHFtgpHTHeNodWVS6XboI9F79jGoz/vxB38fpvwHxY4tqa2KmDp +5L+e9V+L9iBWGhQdshDfaAp+JTEDxRo6UHhWQb6qbe7sojwqPQArZgAMVeOu8kZb8ICSa489x3w5 +ZarNbC/1qyzxjF1QnrnMRXLxFMMJwUPeZuGuH+xXZjP2FqzVMvEGqnc0ipMjTfMHihxM2yhLmZDK +Ssik66YbkN2CHx9SmNt8HgG9WgJ4HOUhs4Ig9i6zq70YhNK7GXs/20g/3qZC24Yy1L2F0IxCVV5g +72lBpLrbR8RWAmmg9BDVnKT/5cjwJI+3/ZIp4DBDmXvtP7MLIuWGcSzr2kvA5D1wIMQWkaaq4MEA +snm9V4jHodFllPyh3nfUEu/HpbIOaEoOseJH/T6v/jBo4DrDUkNPU2lcFGPKIfpH81yI+Znz0eRB +9woKFQO5kPtzjPPcN86iletSdCwQCJK+99tlV4GS2rPPhvDotWu6sATQmvAgYWuQkOWxtBw3LKWE +zZ9fftDU7xk9G+tpXW5HIsj4QGeF9zOt9oA4ifkBS7PjnUhLfQaC7pNSZoXEcAHObwX8pZnlXPG+ +EcYfk5RiSo98VU1hY8IL0FguUSUHQSERmIr02Ma0xhJfS+0RddJYlj3ZIXACiaccmsyAv0pb2Ho0 +eQxGJLxOwL2/zfpz0Zg+jwGRLPEuAMUn42PExBzUsZPegX0AIvyNqa51/wR02OQ76RojsA2e1a/0 +8LuESosrEvcdTdijNv0mxAFmzMRSIspQfD47TWBJ0k4lcM4cSB1rNgoIgyYqBJQJXu3LADTWYEsI +w22jpBnt6S2j5nFDG+t0c0bvK+TAKI32G2hiM5Dhg1AiGxivMFyzBAxzhfAAEiZM5seyIxluMQHS +/8OBORt7qINAKCs4Qkfn4pS/E5rSkmz3nVa8+UYJyi9szDOtUpYz0VKTviPeYKOrYvkvA1xs97k7 +IElayZ+PTDKmfhKCzwd/PvwXxSrl7kgZHLrfaTGXEtD7pmCoYINzp2fRKS+xZl1A1oGLs7Xk/DDg +IRFQ/icqEE3r9Vp76RqbU1yAMdHORvG3pkYUn/RXRIwXp8W3IMMSzREav1a/JssKYJY9ctTElFvB +YcZH3Yn1sXhB1ok8L76OSawzAM9I4ejiIqo+rqo2V8PLbE9rmcQqJdQXkxRGNF8e77/5Rx94ZhU4 +fr2hDfzhhRQNutdl1IFXitO1kJ0vpIXKa4qEorZKjTkxmRZ0V0h7w29uYvHhXv6lCq3TRXQMdWbc +GK5WjmWursRnE8TTDkrO+gLIldcls5zm3pWE2MxDS13lk0Me1+v4AsUzR1bVPZe9W+FydCzRIclq +APolLCB6SO97wz9Ry4TB8wSIPZgvxKyFOhtOWN1GGdGGoYV3KojbtbkF7Hy/KWhNgAfDu5wq/5Sn +8bR1ZVs9ziRYogyRpKIdEMDJ4w1vhmDp+7dLl+o/emHsWooaRaef2TYnLv8pTHjbBfJdlU7Ijo0I +QZYdv2QlDQsN4wLod3nw46a2lLc01sdrpmdk8r9eR6X7+9og9EbRf9cEB/Z7oXWyeVRvF0LgpOoJ +4dpKSyS3P5WNUlUVZ5gt+TR5SXo9inqdxlgDvO0JI3jhc0qw6rwXn87SHIVLwAz4nyy/GP9gJ4eG +flivPeWsIpXyZvqsY4QRCm/qA8mfJQua067vQ8larJlOviuR1AJ194GSM1KFnc0FGLc4IgS6K5ud +NLNVLTc+BRusbDyCxFXcyepB8dk9QQw/kZfJMmht6F29me6MW6k5JxhjIRaXvQRnIpNt+ZQxqg/l +Vfx6TjGl9NDenDHdv9tP0uB64Yfy2f4XHfx4ZENo7oAqpu0SJdtISx5EQmQZzHzsgNBAGJQm/nbm +ZUNL8FPkxI+WTYVawu2pohzABa8EmPfGb4Yaw2eawMN4jWBNT7OCqDnoK80Oo/dvcKOOBXxZUXfj +/jSRgV+HTxsMGKmJQEhJOpkrguUuX6relkcFiR87xplFRtCYQUEESafy+RQogRbvM/TvMN9GxB0g +jMt59GJYDgHDLH0LcIHyZfmT1cAdzlhFmhtFDBrKyZkTgeAHi1VKLLcuHbC89WZckpMoDk4H9D3l +K1JDbZfMum05uk7dNi0iGkapOhA3hul9SyeeixnViZZpC3payxFiZWCU8zaaFKdcvtS0shGmcLnE +rQKJdmjsp0sN18l1/jBMYaDbV3W6Y8fKQjy96RLjv9yypOrgT89HEJ4s12jPC+Gajf6A3y6EpFsB +rIfRhxm6va/t5e4ggY44HZeJy6p/5UcgQV9fJ+YT16FRVole20tkd6CHrbpKCjqRywLEN4gl4WPe +PvXC0q0rDZcF9Hzgjqi5NgA5G6tZn+vv2HzMgRIXm5Qr/WoCU6tFFUVxyAYJrft+ssk0RJPdZXPc +me71oT7zTEaZ3WGb3kvko5bOLVrCXg7C4umfUQlN4XLq1/Ujo5y+sECeDrJ6lg5jdaZOlF27TvAH +Tt9azvDjtYlJzBjvgZYwyRp2Rg+VIr7n5sf+kYsKGwI7GI0IDwFk1erv5DcfSFKDIkPpDXkC33F8 +SM4S63anD2QOlheX5osUBLZg7ITBdH/O0udeOgVTDUGdaJirI/i6n6M8rJJKkrPBn/Q5tcIfDy2V +sZAKOnVDk4FCDloOn4Qkyofag2bxatZEqhqym3rgCSoNBKpnBoyMRBywd6vpId3BP/Uhg4qcDdEm +d+Zpl9ZHaKth80IOILalwOX5LSMEHpeUig/rvpKya7EwRUh8v4AgedaNYIWITIZ1t4KJXni1ByfQ +5iOD/ktb8DO648Mcw/J9RrxcPzX2QMYd78Qia9tWRahABrw5smPsf3km9Me6fVJ8eB2MydG8we+8 +BmEISp/b+PTo0odEGLKkIGw+hS0Tv57pUqn4IDTdqe21CJ5QUrtjt0ht5BtObQoaMjOCZ3vNPrlZ +pToj+wNJQj1RuhmW5HZJ/S5f/4gBE0JyuQZ+x5VWKG/yBqn00Hld45hHwUMDPN1+Cs/9znDZKtBQ +tKTPbZyCd8tOPj+ElgqFXfsidNjGa8RFDazdlHYX8J95tMHkKs8j+pE8ClPJoFqCyp0pLSzHa/uv +IjpumoIWPl1nd6lOcoRaelC4wTsT1QIAq7D0LA/10J0a9Q6sN3O3ftzXOHyUaBkaSCeNx8+pIx7m +tuTWVCsEoDqCls2T0oLjGfZHUnpUj7dVyG026sLFufXfQ5HXuHnG5L8J+xwcRJt5blwcH4vmQvD0 +r4F6dnWpmYYT2cy2Lk8AEBK9ojDNGXCN+1tkU/lardNXxRufP0gsbxn2gyGUhZvwLHi9zygrdxeb +wIoyTolR2KFuLfaosbn2S4qMM2fXFRALxlNlpUdnXuihMgQBtj9Llg8JUIagdXgP+ubiPgA2Q3LW +NRLCQI7AwSWpxqwyHThTJ4b3bS1KywQoxglXN7YSDPE1BlbiblNM+E8y4E5BTuV/DYbqTjqoA0D5 +thTHmr0ufl2TJeVwS9+Z1c4bcsfL+uWyd/4mo+lE5SXacya50i1mUIsdyKjgnvdkvd2hreW8/iTl +8xEwNRnE4xpd46zQ62xhF+UyJ8jUu8jlVZjRt/c3cgLdJSH1+MgYm/DdbAe2/VpMnQB9QgdQg9ih +lHenCvJYtCZSoRFV+j7259HgGj4pzeF5NMY++SugqUXM38M5OqFHCRiXNPmJ8hxyVT7knsDaEEah +yM/n66JBn6NVemeDWBbJuJjGFBkLhz5hvQL5XW/r0d7Gzig8VjdcJkiJI+BKYs61Wuu3Pr/htT/k +VuUB893YLEErVSjsbq608mtJVh2xLw5PPOu0hbNq4M6jBytXhYBWhx/+BwxEauVlWv/Ei2efT89a +uoemSu6eEUhFs0GRfvN7GjHUPf3fa4xE8gTArW8dRjnBzsxS41SOZXmR3oMrfpIba/pFfyUvOx2L +/ZDKuf0LayKFOfA6CtYHcQmsUYt9t/5C9RztveZDIjo13N/dT4hA12cS7mN5/HvTHEGPg4bu6woi +jQgCURK5fJqhef5Ul8paNw8f+EE/rWo3wTRdtzYpRrzGgJMOGpB1zk8wkjQnIeC/N6XE/J52+Rw5 +SKzmjvc9kFMUQSg9PSRvU/4dk0j0xGQ1kVcHVO3/yUws4j7h8TrQZ1v9hdPcTOLSTfVyzDcCWdqt +atcijig9rW8DKgnhgc2afO4M+2EaX0IcSUwYQmhnrqWTDi3cyyKpDz7/fgO4P2O1Dsdc9FDLVTus +A14EvS5Ke1DZyCZVC0em8qQr3KI0De5t/60NB8VnOABZM9tVBMG0bl5SHThsIniaOwK/BCikhuIp +pxYtUncK0jjOJrYo/VMErpF8BYT18fl/Aa++rHpjRF2uBploJIUV2YVmdXxJHPHY2Y5HsuwYLZ21 +1caiW3sxG0EadrziJLMCvBmDKq+sBQQO8cSt9NuF82Yxf9mgYokWd5nR+ScAUVl1+nHSwlzrvfRT +LDCM4Us3PvUqLX1BURMVqObcHKIhzyJchRi2luOE8LezX9fsPCiUcGSaldoIWqm9/CVmx8oohyNy +SUB5ZEMnELGPklH4VpJ0CypgRlbOBUnzP+lqDDNPdcWMccw+UbwwfTOb5XQ/MOHPom0dgIsG0my2 +zvf/7MmP/C/FQGxmb8H77R/X0xrdCFFrxEooLdd30sWQhzGYnZxRd2plAsMhMmXL3GsLf96KjiC/ +pPChuMqduIpk+88CXMrVQrPl+SDVnPjg+e98aIBpeP+RQ3BK+PV5fzpU7SgXyAS5Co+BJzkzu3LQ +lhjgDwxtkhcdX83Cq0TDnwrEzfhPhp6ennd7bq3IvDMlTuSdlipgV4x3gjqMb56gevvD647DXMZP +lVjYwaoRlNG3JPMIzNWcc5hF0KNTJSO7zgknxqCnycEGvTUmiqFGJD8AvC+UeJPLU0OhGJFrYVxC +xZADgeFoGxbapp96edXyMuDxG1h6Z2tJrXrAot/sHhp7ph0/bTwVtfjgXB8AH9n5IBCcnbCx1NSE +5q7Wefu353W+tMLWtL7XhQAW9i8tubDHNDzZ9lT2Z/QnTwwd539ArJkZeo4HHfGfeld7yglTbIP1 +XG2FIk+0E87J3VILQ+HIuKhCQHRuNlZRLkcg95gPZVfwOTEDk0M/yJmhGkn0HuIsLKxMzts0VeRs +RhOj4Vh/qwhNCXC86wg55NfxaqwCA06oI5JNt6COJMndl6paGZZD7u15qMCFJM63Ni+7FVhMHg25 +IsDbXJo/u8/Li/Cf7l1WJTHHd64upXJI8+zBM+oGoAP9SFzbuvb3S9zs0w6MDLmxC4nU8xShpPdO +ZmDEO/yOhqewIqyUumWyONlGBZpE83heJDD4Cnv8fxnYYUy3uybP8axh8c/p60ov4X+B01zD79wi +ePDqp1hv9zaVFBgUl8kYlgzrAXxR+ix9Pkfn1pxCztOBvgZOACUepCE+6AmYaHYQsTmJtUvo4QUC +XMlGIJ/ZmxVt9xn5jM+kggp6pOM3w4bBt1gdRJPz5W41Pu8/BpvwHywgLz18jatTs2nA0JLLwfJ8 +dl7fs5ggqF/YxDhZkfeQ1pL5IFiNC68oIIu2XXaW3B7htWssDkyLKq7ecwVqoZvKQtFDH1asJhN7 +BqvO4u4JlQQKD/bUdlLPwP86qUkcz7Vnx/6p3ayWSYBdi9o1iibiqcdM2ooLqnWvMNBpYUZYY8lN +vcAgeyk+2GujyWga8UajD78KMvnJ8BOfUf6wj08XjjK9HdOhW1Q9VCcbKLvzI+6qCnffibr5oH+U +fwSZTExedqEVXcpC2GKlM1ukZRXeHgBOosjeOFAtzfcKGfYH2DcnTEFqxRELuP5HIzxS7yTR6tJR +lNHYpSm0lENk8rYfQasgMjLXK/klRiJbAXUGho5DNjkv+0gThJ7DVsEfniGKWXMM55pUzWlouSNk +u0sTwYoTmjEoz+rUbPnbatWiJ75hIBRgaz8AFLfI85Xuwhrnq3RB85Zq6XjhT7LJbZOfteuGCR6w +TsM7xbbEhtu5lIN5c5Fr4pMwdsEm3Mi3cTTg6+JQ+3yHj3v2dH3Lpe5St0Lbh+WKubpuEZABmhR+ +Q2sttqwsSyyanqE67XS0WJiZxVTSNN+Me02h5fRpNktD5i8MEyrEfCa54GtDBLZtaqW8hx6W6ARO +4M87FhvDteQxVKKgGvmN0rU3wYH9KMEjdZshIR4lEiKRN/eqH2owCl/zZ9OHBQPnUwyqukz7MlbE +gYbFyOq202zBfOiSiMX6LDwqgsQ8xUltovYWoiLl7dzCvkt8bcvYoF9BxwEFLE1GSWPvMD2qtnaO +ekcRZGxR2ivzSEYny4KLOrDgpEugOxhf9CpLuxBGd022oVyFHY8gJ1F8fYAJ7A6nHP5gMoC/6+2E +Z94tgwhrCSMLbZZx39k2y3LkyEMV0i3GEvMFctiKJf6khVvP5Nn8hosz61eGWmVi6varbGwJsqGZ +y4glF+vQJr9h8ZM1fpBLPbrdMwIWiVdggLaIKKFJ7nm1Yc+1/4Kx8cwMBvpTL1nPIfwOoNd/3DNf +yw6/yCNJ5v/ssFngB/T9hQaRVGUxKGqIk1AYYQ33wHqqxAd91lTC+ztqbe5QKmTtyDJguCn0g2MZ +HKZwUlRjnTMhGqNpQnKhD2O+tD5dsQnwZ1toqLovRDtaOKEk3/QU7BOTNEp91OFqcmh4I5tbvsor +3n3Ny/j4DghYlPS7lEJEE2QeW23YY/SMqYkhlrLMghAsHemaPA4vjDkm6MhTctRXZ1lXiJ0kXzMl +dC9eIl0XEmfn/TvJzoW8rxQHHKXwl6kJvtF+CUwwgJiPahKNN6zbbQ94F1+K1CBmU3Ld2wdUd2sA +jWXEG/zW4va8U4cYR2i0lNduHNGP1VnP0xUTClVG4wjINgt48ETxh5bFInGL1KhqZi7DpbzbXpv4 +k/GlkwI6yNAKnJs6OJs+X+D/FZaGdI86S2juLmJkvHaQjjsLVKtXfK/pO6nGEsvQEajJN1nVX3qR +0OEQoStaz3rb5fcbHP6nXp214v2vmJJuNvedlLMPHFOC78ZEguggt6UuosLOdORkGvweCjkiybgy +yOOAnarHOgO01EnOwJDslBX7FekNBXeXrcDgoQBvfqfLnUcPQFvNxMEN20InVDsjrKy1RRe+nVe5 +N5xhp7g3ZzO0Mc9SlbbduoCR06WcImzxLKoCWmvgboE9U3Gctfmpn5r6akRKUIXbDs3imNSvvRUd +hl0XG+KohcTQ9cUOTQhDWkIOfuL3rmKe+4DEohCWenKPceZ9TsGVrCToN6WT6jh2agC65pyWQg+f +wyQg8yiNCmrodXy+0EVjm0s5mU2EiobxsWfqPOeOUsoQr4zjX+dmsz6bRaXxNjBWW8ea+AL2XIq1 +F7IFSYndLJDHNTbSp7ayrWvlktmKPCn3ZYT/cKgw4qs6DU8PYAsKCaYTNb6HQS8vZiVwhhlCulzG +iOI8/rpbgDTG6JeXD3+2tZizao361xGIWIEvCTamEzEa5AkgTdwZUmwNsmPYXTpcfUS8/r3tL6MP +EBA3H/UW4KJEBPv6joPF9+6AdgSORdY4dy3z6GK1cI6L8v5ZrdmCsnqH/Qg/Vp7ASFKFnfYEB966 +T2n1XZkwk7ay6McED614zg/VCKlI8ZqUcIgM6PhllTM/q9OGyedhb8ye5Usa8AEREAkdthsvu/jQ +0TKO7gKzczRujbrTQPIj+WS9GX5d1jV+ZgPlrRlSvH32YnH8zQWnCrVpo/3lrQrbxjYwVqrqmfEQ +tSS8GuMycFA7Ds/HfSw+YIro/VlUdtJi2777N55J/d/8PXvkfhTrwzwo1Ub85yGmzrdGr4glGFDS +XQOi6CSMqYMrTG3KgsjZEL5o8Ybo4LWkYcACdx4o8mbvHE7vNTlKymnJ2Fro46t5n7mYzJ+YXKe+ +onl8y/jHKH5R4oDzuPWDEeYI4IbpPfHl+9nLZ+FrCGtdMNtmpTMELfqCx0E5mJwHzVSVYhHyAfao +CjMBp7P6Xt4E/zGNxPvXzg8UX5QIfzMJd83a0+OujOhxZHXL3xmZfmJewJmUbeGeB8qifa3e70Vh +4Fc0AMBaiuV2IXSEwvqePqocceF6tRRc4jCkoNles8CsVRlnxdC9TAMw41eZouMkQdREy4IND6gT +jWKBpfA/Xe2f8BfChjubVI+tcwkfcjhY2pMAxN3cTy1O6nrWvHSsn+TIwOvzNpQ+K2CPj1X3D4Yv +YwmWoGVW3wapFOidLZ7j8cBs2VF7HaCHxUCGJ3j25HS6nQOUzq+eyCFY5Pr+/EUvWNVVTo/R9bMT +9p02jVMJhiYo3Zz0oX8xvy/q8D1CbqSNEg4K6Jbu5sIoCsp166fa5kagQrP5JmUSZxtghZDssvOx +CnBwL5+WBtfPxkVrij51GYQ2Yypnr2OEPYpaFPagfA/G3V++8dFn37+92e42yQFbaoWHXyWvMED2 +TEOAAu5AcZWRGkeKlxvn/mAxkuOpjtQgtvmX43soBtceUeJeK4PO8kcf9Cku9xctacGB0ojTLYB8 +RkKtOpLJn6iiTyaSbsJTUzWkM1ohNUdQ0lTeWus4dbzisnLSdjl8f3JMj+k3G1GBHYt/AouZMIOq +QQX6f9pg/aPiISpD8nwQhpYdk6UCU3kkhNCHf9sF3xWU7uxh2J3wGfkjwMUazEEADGck4yDctqZE +7Dlh7jehZ0IYEEV0HDbn4jvgrvcuDjIpr7IU2oow5Vip53wTu2OTSOKfXqLUL8LhPY2ns7XpMpC8 +CE6tfucHy+K7DS4GDNiQMCbTqPoNqr9AZeihwf5FU25USwjzmueI+lM6im9mZAnhuGjveo2MgzoF +eGJiuUZhUVOiWjuVNTurkYkt4FXFuu7mX+JhzwusBVX19jEy4NBuKGzu28Q/6TFhaiYv4zSr5MCg +U+2x3wBRTifiyefnsfFUwiKYS25HMqxINkR+wuPkNJ6kw5ZueyCMHN0C87tpco2FLJYdwmIk5nRO +0tc9923GVVTQbKxyhTbUA4c5rj/0vsVUv1RyCJzk1jPfbWAkOadXGiBTZ45YN1CxmOYcL0Mit9rq +OIHGESKcsYEho5jQ+1g9FNIrm7r1KVdzJSv4qgR0rpCl2v/sVDJpKS/mG2HfyMXQVjFCr769wE20 +CV/Rv7EnPFmGRaCDpbMUdk5Jjlkl1wCXX3fR/M4mt64bSOi29V5q5IxD/h0cGjxJalJo4iltjelu +L7HXZb9gt4j2oACLczgLL1d/+HhzEjM4f42zeOl+Iq6ixkcHhZD91HuJSjY7X26kPuhZSBtAn7PL +TpVVZLzR8KnlOvOg+SW/zuWHjUER0evVchcAj3QkK2tvm13aV4FClK8FfrBpSkbFNxo2Vy2DBjZW +I6iykiXPaFSf2txLpE3MZAQZ1gBjKF2gY7ba7uFLYse/rFBlo7jgMhr2NlmaSW/g+XenYOCWK00G +7iKkLOXHa8w+lfmTyCqPoKAuT1q+GRx8P9Yz4vUBsS9sXmm8E4IbZDz5Lufl4d7eCIn2IB4Xdi1v +O+VS7Mo/vvageNQdGNgeo/YtAJ4xYLDFnvr1ayQAmBSfg1If7vEfyah4trUh952o1d8fX76fURaY +uVdvi5E3SllSAyzcIdSEu1etuvVSjJWwh5NrtPpMckX6QjZkQxLo6bevJF0X0YE1IErAs4mDVEb4 +d6pa+1OdYhonq1RP4FugOFu4q+b4RLET7IwHs9xO12TN6RzlY0mSN9I7W/bruygV0uuuDXHSVmTw +swMWfwOP42eS+ajqREIhFwDcE6Y/2r3wYMUkUaTGhO9+MVxs7g4sqhr4uf+Gft0OamJugthN5Uux +GGr/5lZvCRMRg6ZmYuSIylNcvWWGoQvNRebkyu4svF8qtGBgiQ8/NLFeIy6Zx4+2VdCVfXOD7fTU +4TCoISBdQBkeYFxS5ioEWNN1xxnGYCe1suaYAB30gCZWi/Ll/kEmqr+atEo0xykONOC2ajUbZ4CG +qGqKxBDhTx7xkMOpdC6QMyut7VU1tUlTcK7NwN4rIMuI63aXpjlsfn3ksCCA30iy36a3FNbVbSll +E5Q4gzzUZfVgERGWkTeei7KL5+zghq0LiQUBVkCsuOveBc0TeqiZprv4NM1DQDH1JRGCvLhIRiwV +jSkYhx2mYv8575ge3Lr7nkXzlxwUhM+D1Gu7NRIoGkiR83maqv7lfPUbm9B0U+qfGyqtC4e5XTDQ +I1nMQTSUTsDcO/CuATI4IX5hRgySMA8+gFJsexxQTeJG0OY3ghn34ZtoLCHAvgM1R2Z8/1y82x9e +3xOY9MyszQce4j+HW6Zc6/dfFb8DBuof52IZ0p7T/hamuG1D9IaY8F33+vJJALEbrI6SLQ6KAwCo +WE/NxhqSTrhQ9LXElqohljNOSYJvuRBgtERcy6rS+nl9ffiqo+SJFHVzn5V1i/Wj6R0UeqFd2SCb +lc3H22mB2VUs+pujxQq54rlfk3CGqv7/65gzucgvbTs5m5aZCO1BIwM6uODFacQPrFSTa7zKAG3X +S2OJuP3UYQ3VxE3FgwgvFAh4lE9XDPGT/YRogl53jSgOnK2YKqUbDia96GrwUpsjkd6ZgysALC5Y +f10Fc7rC9atL+zIWoh6oegTj7eat2Kv5TL67KAiNoLqPVdoXMqBMWKK/+hWkwt6wllCVBbTEqqsC +VBwo0Vt8d6CT0VHLjRhrx8VNg3OFlg1wU2ZOBSW+DsTB6P3Fw3lxpjrk/zvHZ4++zJ0n3TAso6of +ggP7ufl1yr5+/z0tcUIv55pOyuSm0cPdqkEWE5FdJEq1HCAIVhDJPI2i3i+3/Z9eY3T0N9u/eKsT +EOTTJB3V6Ahr/H4td+JIhKYfF7iiICvtvsuAle0GbFC77mqv1o98CFfbVlxPy3NrRbE1kQeAS+oG +An6Pm9Gwm5Nhu0AIPzV5pGede1oiJUWOsZfpgHUy1czUhQwkLXFRahbtnNMPT+7+NV2t5xlCdqQb +8P/DJE6M03gdPIZRGqSEan21qTZ6C4bC0RSZhFYvEoAM5XEa1GxHd2DwvNsCEkNr1zQnE04A/YRG +XYh2JZJNO7bb5ZoQ/9sTCu3JtASR39hZbj5pAsRYWfomgSoOQXdREPGiftG0Mk7pEN5wMJRwNRGz +fywDQMcDgdGIZpj3RB6iCqhOSEe2Vf2IPhmJV90L+2z8n19VvUDd5euvpyFu47uAfAxqR+gDWG1j +9lxiC/IuCskTyr/0PnpTDX7TUhltQk35NHcCN5zF/LLu2K3MZFxCb3VgusV/qq97eeopeS+KDp6w +3z86oVFddROFZq3POxM8DmWC045vwTY3KSscQxC4XoQJFPG5MztsfuWMGWe/1qiffVJZeV6VaQIt +yyUhbnHMMK7noq8zgiDQ6iXmqCWSHDTu/bypj0+kuusire76I6Eq0t/gbE8ichDLTgGgSt0oMJNK +nOaxlwPOqs/C+ari+Zk22tnXCnie4/88EJLk1BoeKvD+Ilc9efJNN9nzZYFHjwMRD3yISQiC5kcf +TaeQKskwfArak3CYKbD/o5iIgU80GEeCAyQVqH3hMQU6a27ug6lONN5y7VrsGlqgpSmAuL8mY6hg +UAoIVqFCsUb6O/uial8V0yzJHD1cqUMbzEXGc2BOotp5au4f7P3boYyr3Zx0XgmwgIakZ1XQgHoC +hbe+O3wvVEoCJgL/Sq05uH0g7ESzpdGRFpKOBh2RhwC+zyRyu6x/67A2VaUgctH37J5xYQBpY3/D +yXzmkTlNIfxn0zzAC9Htg4hSIEJUoL2L2JnZwgkuIUIZMSylvtw6nnOrlfedCOki5vnB2guge8+W +lZMZH2+CnuJfN1o3hRhoeWeS7ue4IzEubk7HwroKkfrkMgapiomUrTAUqdtpjd6jkc6Dw91qfcRp +rvOxSX1WXF6dOBxX4BOXe4W1fqWKBhWA2ofYXPWtxhAjdMpSbEB0wiaJ4YnhoahHOaaGUL6NQQSY +PUN6HqWwp8tUEdN7hOvtonh2UNrjWWG8VkViYB+4oW4Q9OPdm4xQ2a70s5koM2y4ZEKa5kek0McK +3BwYaUU1y2u7c0u1nAvCS/zILoWR03XVH0RmXLttlpquAmc3QQZ2nkK32BPzsRNsDEHNB5QEE88U +F5CT93vO4zeJf4GyVSauwR2AOuXyQciXEVxkWBDAElraCyEptL9rEnwHRfO3L4n8A6osCvJ8vQxq +2hIhOo6rtNgrJxiSlJbZji79jmR0WcLxe5wmOpVG3WLfURBXEUqaW6R6dgmeSucQ1qtBQv0W2n+0 +ok80P6LVgkhbu81/qJk31dGtmGK4xplrBlCrpyAlEIestBL5BBPCDd95YeUFKXtW+PBQ0qMTpBGF +BQzVg6G3fgZ+wUJ/wGl/enw6cIIiZMTvtr24tf8+NlpaxTWNYqnPzGKANexYzUlrvC2s1RVWefFF +OSmbgE+E+M17KUZP3pKmCHjGM45DzCTypGy3w42umf9BsIrfv0p8ItaIx6XUyUCb6VoxIE37+HZh +ZX3n2w0X1IdBOw/F+F5ulf3RSq9tX7ZD/J+5dWfS4nQMeK9qsdnUZqAVSF/uHCCN4lMwZUEGArcN +u7B0tIJ8YQ3eTHj0ytQpKOHOcBSK1L9MfPnOQB75eCBb4M8hjdzm94kRGTT61Iw7+cnWgy3athuJ +gAoaMlcg3IsyiT9YObEbkiZBTW/Ag5Sz1SEo5LpqpIVnb2xGXyJV17F1b6shUvYVpzALgD21WUC2 +a3RCzK2+jGeci7qZQg1Qld2ja5pKUkjRSRA4rec3h+ggyMlGtXfob+yTsArY6dpq8iqqUhRy1/da +JId2+Q0cSwqj6AidSDizOPEMQVFCwiQlio82H6BoghbfcPMJSV00bHbfyJQYffT760TzjY269eTq +zYt224ofk/ZQSlaK0DnbXBBEhJ+t2wa/ZsW+1n7Ks6hTKF8QJEhQTqfM6HBKAaJzPlBw3V9DsfwE +EPBOOL1X0mHiffw7zhe5LlScpL18l4ZJKJ1Ui+1kUXOOmV5UG6tVTm67gpKmhmx0SEbU6t+BB8xK +VufjviC4QnqfJsMAJLLi1ze4Q/sfDXcGB5K3Sg1sA3GVF1u2jZNeYRVITgqorLkAGknTDGW42zVv +SlFuZGjBDqEUuFbY9y0WE/Obj9uYJYooso2MhQCXcS3WQVQMmsx0ru+QvcZ3bcASZrsB8BeaAwZ/ +DdUGio0ax0GuZLdfPzzGLIv7ECuIs7lPsNSwhOdQIumKbBBzglQTBsYirUzZbLWLzP4fAV2SQJcz +47Qn8Y2n3E767Qelrb+fBW/tEMkLNXUJDZ/rwQj9vWLsgqsUaMTpBN3CkcMol39hIW723qnpbFdT +jcfssMK0tNT6XKAnk3GqV88pnLQ0ACxthQrhVPz76ohnbVFGAwGqLKjM8R3wbLThSgOFKOp2UbI/ +q7DQnhgJAfixDyIf0UhJfkYPDWs/CiHSxwMDr6R/7TLf8pYH+n4hi25JsUNgQNlaSEUJjw1bgU2D +VaOw+Z0ntZifuPyOE5KCbREMlfdDw9fg8OjR4Z/yVKL6hywIsv5OxUjYfAzvQhs+Und4AGyUhEki +mjUOxyAmD2Rtob77Wgl86LSVSJmd+MWGBl/nXEFBsQ+8JYFma/255MpDCCWlkQj5YSMyg/i3o0JY +DMcAX20k9EzsS/Um/BpCcjakzdBBOMRjKhCsAi17OEsdvkvjomyaL5Z0F+DIdi4CCq0g6MQ6QRWn +fAhMT8dQBvklhjVN1Q1FeD8L9LesibydZmo+UqwoF2kWIkO9pRl1uHdKY5XjNMrqjc3yTZ0uBKwy +Sn2rcjJAeIJHf16dMAn44Vk1nU8krBQaiLFrTWgB8pJCT/I+lSpkpogTy8nZvXaI/cejVblwjrxK +3aTCgFYUpOk3mjuPcXC0zPyqGIFKQm4oBqdhdg8/f6uE7xc4NYd+mK5KSO09MdisdsEqgUdCDiMh +bzN2Glq7Bcx7xubbtmhQy2z4PEGHha79mERpZ0pw9KEpD1u9xBmI/YC28SUB5b+BhFjdh3EBPrGA +/qzNEEADnBjyELpizMIBB+39EHB5xicrvkOF+kmAtrpGeYWk9782KEGOIL5jmhLvoDxaHvepaAO3 +d86HkTMhT8jzSyEfwiWz5ymqPyN7L1cWIBNz4bXx2a1nufv20K3Em55AtIiGIQZjUGieNz9M9cvX +d82erDNUCEbpD+bKB6E87c1hhKN7p03FdPORDWDd+FLhHZ2ZahrozM38agoUg+o7ZRKupMIrAkNl +lJEz0mDyenYsEBLit7Q813t2IymoafM72h5xsi3Af9z/6+cgxLx1k9ycz6qwAGIMcprTybWESicK +XispJNP9O0+cFWNepaaL9D9frwpbWKPEtaRoIQ5XCHixzDjAs39ewoUJvSoDbfefww1+ulWzwdDV +8DBfFKuvZqB2U+yrzszYjXUDP7r9+ZPv0DPLTg8nkgx1JreRMCOL6Wjg/Co59l9vNDnrmiZFmnrh +rx6r3nSrIHZeNkrGRoACwv9j+ODpS1ULsSkbNP+/DSfy2hfEBftIv0C2Vi2TUjxL7aI72mNPoGmw +krxvorLVAT0j0XRMsJmDoliXmn/9Oi2YV0M+1sHVuqVNMw/JA3N10y6JYrSbZzMAm6EdXUR4q9wb +ZuHRWe2deCZ/2hO/O8qurve6kDZKKhfksJIEz+S2fEP7/i5cagi/fQOf2juRtciFeoiOOTtSy67v +RpOlHjlbSo3VimtsTd5W2RMVjYytX4RK8Cn4XRKcTe3ZvioI7j9ECTENfFZgtzhbZCbmFPSISd6D +XTciRkU/lV+zqVfMBbz7xF6ntJ7FFnjUoW01ZHjTmAhnSbUO7EUsGOEIbFCCtTkwnA50qriC1Enf +X3NRW0vBbYwK/YEcZYTkyFrVwSmiRMYhNBTqosCxm3M0GFSkb+qCuQ2b5sX1RE007KGW87CX7Lor +EDXW0XVzZWgIB0HGZg9QBDFQPQKAi8RQj3g7DP896fsU0k4MYcCZIyNYmMgTRH+n0jOlOTtVGaQg +jJ2Od0FuC5VMm6c8YRRadUs3exMJB7KH3dmpMnMpfTwAAXUPL3d4pV2L3RoaMtGUNuwQNpu1G6e6 +ZkfOtrjlPvxOYRpPv5o/+O2/cIvvF3Qf4/b8EN8QW5QR/5925J84YfJmOb23mDdUXLiyE4l3GISp +csFmr6PlnZKAqFYajxg0Xw5k93irEr8CApuDh2qV/hrUMpVnmDXEIZyIPmWo9N+faXNTYGpriWTP +06i5s64t6BQ5+qDxlIHSsHmJJaMO7n7bgpy7dgkkyOANEVr2xiY035qNxd4kdyNky9wRO+yAXPmj +Eog9BEdeG11xazJrgOEQLdf3xL7dH03B+kepAAOW1FkBDp2qHhjyJFS6tFEGfzdHKEG9QiQht8qH +LgdtS7rTFZWYgw76+G/UlkeBoy2jO/jl5OVFEaW2qf1NE2nOOybYZOj7IcioZQZIRBOehaFlGEkk +I1ZxyzkODs8hQvtU/Vae5jbGCC2olElzP4FOQ12erx+XSCR8Uk3A742ulxYf6rAjjPagSCQ2+b5Z +kZyzRzIwZm3F/EQ1LqVQUZX4CbuYgzkXFq9i9YLBZm95LRvijPJmVL0b2tzDBgUzaeG4P4Rf0yxX +lJsk93ler4H7wVBSHUTgTyHVSUIm2CofL/u6MyL/SL4oxSiCbwWGQm/17sPSIH6zye9vLKynmZgi +DYz/Lr2WovdOy+ZuiykejzgRQM8n7/fj4awVJ9izGgnZUa1HnloXqJDnOe81AxQXTweuTOxdf8JB +CFA3GsbuEa9d1eRUPRv39G+f+eA/SViEe8DQZn89FWKXYukfSc4cF+/YjHRjYXr5/KgyOym+siEi +dGHd71XF54hZKLnv4Jd3WsNsGwYAbYD2mCdEYnHReptmwAPrkqQ4jDzKTtYzONdtu0txclV7xj37 +ags3XeffL13yu/PNNVdDOTZ9jduuazc0Nr3WxPblw8XudqyUyZna6CNYUad+UfjznxvAwSxbBKWZ +9X1+1MgxK3yH0hcTMfaJj9IJXpaO6CM/EacwG7OH8Wz/Dr5JuXnZFXR8Ma1H/eDQaCMS5qD13/wz +gmEYqHOkIVOARmpX3f5NqGH32J9XjBj91rX5GFDK2u0mMFsuAiNfwS/x70GNNkuOkL1HBROrqS3j +MvS0cnQ5ZryIMlYk2duEu9NW7lsxSQ9/iRijy0NJlKDH4s84PzlYfI4Bszqqf3yVqleEZfFzNtdD +n/fks2mui8y3ItNeLBBxUaIdejU65I4ea+3I/+eUaQ2u60SK6fgki0CAswS7E2q91Ncfm/f/rzv4 +MwEAbEsOreGcdk/Iv5zoy8j4WxdBoLxWNyT2+wJwxCraL9x+KVUBdHAMpWL9dVIOgBMpULbNolMT +YHUPLmjLtcn4LdtBm5KoO2vLsfEqkMIKV82APzkb/9e3CBmpffo5nlvwA49CJVLvKoKuhJn6V54w +ha1t364erqwfTVeclx4Jlu6EZl2pxQVPVd3ihmN4TcKg9gqs8PDa9sQRBmfqcjsE6IBRQGz/gphx +1pG4oMc4I68UBosP43nSDSNF/nxGiWOaX+1cQsU0e7fFrrsPo/YmUOGM6NSxcWi2ZShL3/rVjVhl +zuxzogWvCZsiLCbfM3Ak8YXet4In8bfl4pYJffBnDTW8aBPkXDaw6PTuv0ivRH5Im5s6NDo+UP87 +96CVtbRV8P+dXIBOSIG3SLrpHbhC/tXs8P7KWoTtzQwRer+7pOd7B9ve2RFCcVga7N4u+PnesQsh +AUsGm5zGVKIqe8l6EPznfkFJURhRDIf2DbzN+2YPq0bAIm1pYhjDzcj3mXrNC9gEJG8AM7xE7BuM +kYbVwZlLM+hHQenQkjD4ePdSsfXvmTTPV+K3jJBCcp0Topp0S9Lywsqb2xFBZM02Uvv9NkRDCxUu +wgUjPToWhMPtLrCUm0gALVhGR1uSbkb7aHzqb6ZqVJqbt7qXcdow7fJadixQQ3eC7rzFsiJrBHAE +MjGtVA+zw+cRNtYC49o31SP3RtoFent8S8EyHVWSRwQgRr9179tXdAQjhHbeLM/5XnN4FuILqZz+ +Dw6BIc+796EDtImUYIdSrE0YAZkDyNExDjr00Hwf/KQhDL6WI9Z9sUW4+B6UFyoSuPZGa4sSa2no +esGIkMHhxAMLrGb0FURWhRaGIKBbTjF7/XnERbvW4r2lpN5HKw9Fry3p6XGhqdDuba4p8HXoJlGS +4aUPHI0ZtFm84doR/nPwdDadkHqxov8c+xv6zfCNS4SaWLn+H/FdWX4gmHrMYiD3yQf7xjGAU3vU +lelFreFeDfhZUoPDq7V8h5PnttBcIrPnixqHGhucbilfteyn5fxYdv9HSNH7jWM2ytNGxJ57LB/S +YpGp+ln1u/qQtPg60SITQH6UHjXmqxZqJhB7uR0TbWJx7uEivD0RkC8n5X4D676H1NxrPtugQIyO +lEz3wa9o6uJiCaKiz74KtwDS2ItbzRjiC5U50hZ7+SxMrOE/MCONL8WwDRCXFsAfHrXa1o68EIVv +vPYrnlKRb4H3Su55m5k9/hVfuRHFZCHcDExiS1j8aFsFfNF15Hqj4UpxnqTulQHXNvZefLXl589P ++8xZSfZ0Ru7xHPpOdNBv/37Nz0VYDb2U+lf6XyKLshwwe6IpgS31P0n3bDaiddxrsYLEAufhTC9e +zWYPLfV+P5pFvDElSd4Xp9O3Hrye8vstL5a4ByTQv84XkTD3uLtv9e4Wr1q3bq5e7f/6JQJVaQ+m +LSytxjsCqN5XasgqZRUqiN+YZnPT9lngLP6a83VdWUN73psp6ojYHTfm8v+C3Z4w9vyfQg84sbLv +pvusa2uBR9UAxdN8qBbYmft+HqIVXZTLsRWziwIq4ViWUyCerDgPeoUflocJ7q7NqepHlvUP85ix +tDpM3HF6KJwxJPF4IEZZTWLP4x7vIs53d5ZfBGj0jUXNBnNQ52bguFBHnThp84MSpLwZveAuJ1h2 +eTshAViqLpw4dOaqj5zl0IHOO67QztWCo4sSntaEtxByPJXh1OsisM83ZUbU9RI7a/YEHAjhC65r +LHCEzSMo8RGtTsGeJNxd09Pg35EllgK1eDb3jtmCWsob561brDUWI/GsjmHKgvIwrvV52f7xW40l +t7KZ1vjyyKngm56Pd1oDXzlr5KllaCAxh5Fg3iNuPCcnrZAvrgqBP4FPRJ9cy736B09nkHBOPQ+8 +VylkC2l6rYhub5XCS5NCaWSeuV53TwPg92HxZEwUtGTx7C1sFLogSdNPYt8KCtonq2Z4Q1dt3OWD +qTB0/oI9KPnCNHv1em8UUgeuFeyPmlT6/V4C0a3jEqkWe3bULI9LOBmgkQnYvx+mAN9KZHsXHQTG +LZ85os04OYeFLajTdhJvCIXTKYzNmv6Zylww6ubEq1JIgF2Sk+G6QyNtlqWbnNj+XDgjHZAER2C2 +4dSdrlu9EEbs53mjX1WlT+hW0Ky7LN3BGeF9kDaQFRo26dqETCXzvXXpsHqfEoOaL2yI2u1dIPG3 +VPrIMibqWu8cxnq1JduxjWH9I8XLyk1YbIGs5ofScStMQg3/kpoFBz9Ne5JiLB6NF99KPfo4XWe8 +xVxYwDa6breyYZTePSizv6vSglZJY39Q1GmTinUXlWPdP2GCUJSFEaj/gOaVt3cDwGWTBTmnqEcU +oYwm3pQMl9hj7K9j5lcEo4VHfi434bOREkkORyj/I9GwYmT+9bchU215uFutKoOA2GdIsuTivoAn +2DHvY4yU1mk9M2f5aADxzgNftye4dIH2LB1cMsAfqiuVYUiTUE6NmAHK9EGC+OnllYN6iHULUJ5J +zk+fd2Q/76QJBsFlNbcUHXgzuDckYSK014nEuwDfPh1VHEGDdKOsQc0ObkaIVKaNKOlaT2NoaBRS +Cj0/Pzn/PHVqvPDBIql5AoQeBLebNZbP34iqx8SWDk5Xt5fJdOj9ejVSYaMQdFM7R9xLJcW9FPnY +X0Y+1Rfr/C228rSsIDPnVtFi//+Sanbl+3kui9snlQSw0/NSHOCgAAR9deBTGvpKAV9a16bCIxcg +041Fl3h8hMnQN7XC4ycBQxy43xe0Xzui3sKKvySWjGnvSrB6423mOUYSQkRSQMCGRKbcunQ0WO7O +sfty308Gr+XrNkeS9bppFJiVGAm/QSDjglQP9GO1ajN0PJdWg5Rc7lffgDyBPr6V2vqzed7crGb0 +NlfT4P5m89ZGDh8EpgYE6pmzVH85vEt2oeI9csjERxF+yjQp+MEGUbE3PB2S/8CYyX0vG1fTxHsD +qbd/TSbEscp8DbTmQ7YLWucD84KGl8RMqTHrV0Y5nIqHT12dCpOBKwqC6J9xXsLi6+KNcEpS0Q+a +juLWkKJ+vDSxQOruxMotQGhSadRhd8A0F4iDsxg0XfF2E/rp+pfenXIZ3ZlZQHxOfZ+iRDAbwGi8 +119P9bruMJQ8LNINhvVuZp4aRCyEKbEdeQ/J7GkHMHT7NLGaN/2j+sgGY91LTHsngiGfGN+3JrL5 +88aXoKqpQxgT5i5ikx2UPpoyMRB7odld3Vrur8FYLvtdq0Ad4L0Ll3yvLfMwP1PSqmRTL0yQXDn1 +1qAGLZKWCaS1WFew5LYFDRB0VYZg/pjlDiMrqufkXsdjxKnCasc4XZheMTatQknhsTaEpZBxaWmM +Z325JopNVSW+Msr3rOvDWRMixakkNwnLi3/KwdpFR3ubywF3c46sPZpwQ6+ZOnSmQrAzZmDmFjTJ +16aQk83i3XX2VPJIIHGx6iZj8bLMOk2PeOwP1Tlg+30uXNE9sawoOy+H1jazhSCmva1xmZvxNO+2 ++EnJuQ4XSZgldp6t3uhAHM9zUh2o/IbtZz8Euo/ustS673iJOjcmdn/0pVnC8fNbNS1Lkya2Oxw1 +caUdSXX7TeAAqSUlxY9zKQzpDsYiSPKrliDVISSH10+awPJP8xeDGxEeO7IU2/5srJqZD75IjxYR +XUuOF1J4Fh4TCj2solmT2OmzjGb5m5+GyUolIUu85TFdNm2ZFmrHL/L/KiVSm1+kGvU1m6dVDJTJ +/aemyD3DQJeZo1RezzCoCw94FU0eAJ3Xhq59Xycr6K6KnLgfrlfy67WwUC5NxVAuE/ILkfYCRBTe +0E4QbngaTH+0xUHR/1akJnTHl4X9Umq4UTr/+uhDl2D3xaPdo6oia5xyPGJlWfA2IW3RHggQ/+pb +tFXnemrMLw3ZbUXzUTnuNMvAuOlV0oWbbocwN++3mOS5WP6Nl1cQ7sGYufwA+BRitIf3AGbFRAnH ++BXbBU27FLqNANHqQiSrKowkaVc41joGKV+kLUDQfLzjUzz5X4dDZAxPSolelWHPOH47rZ+KtpBn ++5xP2tmvfa3XO+yuSrEDOsMlTGhyW41ufFCS35NfpLQQ2cy8Tdzyk6OLDW8ORuQx7fuOausGFvp+ +1SVL5o85SMIXsrWsHMOG6v8btqbeSC3gsTNfopglsDaAJp5nKuWMvK8OEeLdmLzRmn+5xMK21M38 +fL5eTghMiKQf3TOukolJVwliRBScFVLmVOOZYOcEgcMdK0nTwvxekaFS5uq+IJaGXPtKv5lf49vm +o+5vlDl91NE8iiY/k0OT6fqipMThIFurIlT4P1BVNOdj58iBBr7U/uI7hcC21cr7fHgaFsD5rw9a +nYL8Zp8J19d+1vxrulJOirSf0iq1wPvtxZPZtrIhivKkGr25xBk/rI6ZegsRBo5usHg7WDzLi6E9 +Lfb+zj6ndKlc4s5dnd5YI5c07yuqKb/K1nDGWBXBVHwnsz97xPXwu7xjJc1j6O9qeYslyptz68fu +mosTz241vWvyLi6V4IeUmUeRSOQt0C5kcujFAQAxJySWswqfsMJ8WQbbLN4+WUa6qIxioQ8BpzJ7 +MEsBOYmjY6ZU7O53GH4yedD1FWUjiKEE70JNAsOtrI3eUsr8AvH4zAANLl53CPWi5vS8DjJQBVO7 +7fOxovhI5vmMfnVZUWrSEaBTI7D2InumKGcd1V3IJi48HneDzk12AZBABRPfvtQ7rqkGo6xwiA2Q +v0png4RGkQ+Hikh71LG7z3B6eTMx4/U4xUC/r+fF2kOMHTrxs8X2MAJoraa0z8cXakc/p5V5XBSn ++nZIHsSPQVxYZDr1L9/H+CIHdvih5AdBRnAUFLWmdn5a2H9kjdh93mzS0oGiZiyrzoFMBZsAf915 +wbJPTwdfzSWoFHF+jTGgb4g0XQy9+rpvsE1asQogCqLzimi+n3yinlVUvScq0tWKbDf4bG6yjpSp +OHWeLLvaJLqNhcqIjeWC1AqaeeRpEH0elA1sTPfDrHCdC1QqpSrXfkm1yVU4xkarOZqRCfho2yTa +wfBKspT4s/y0ZCXTKRVFrALyXRT4k5Q64lnYRpfoKzCguLJGbdSEGZDJjoNRgFEnoh+8sKlBn2Te +tUp1pSnWVT0kjCQkto0i2bw1OCOu0+toatzrvNoK1CBD19jY5sIz0J0ghFZLuou/PkVs1ZyNHoIV +IIr/ZrRcqwsPtchgd/nhZeSMpjS2bHmR+N8+KZY6PTTDZvgNAQmJozzDg8FnOM82KesHrKwvqgC3 +8T9Kc9WVh7jevPGZwV1n7ZZ1lWKHErIKe1kCd16lNKbL7g+2c1hi9LCSOJGmEJif8UZz2TxZgHwg +efDXl9oo1q8xExmwkrgerQ42+iURGwUAB3qR/eHHJJ2hEEpOl48CYu2ytHhVJzu9g/IYHNuNPK73 +g9nfQRwGgxzYguvq2lzL4Nqp+VMu+tgJOq/QA983/yhsG5mlTYYUrKpGq6DSL/cWFVINnMuYFq2T +8qgaC/e1uivHowGxzfInhCMV7tQnjtpJ722HdMsrLikYu9TVnBt/52jhDhUaSpfUHQqShlTzLvfT +PzKTbjhutuf5QqW6zoCptIKGf9/Mx458BuPllNWtSrvi0IRRplzbrAFMDWDvYCz+3fMPt3kSp3CJ +i/buKsrUp+tHFx1ZU5KtBPSo79E04sSz9iZdMgEGHaJPeGGFsesdOTucIBBdR4KCWjlJ+FAl0Mfd +TIJ577W5kgSEDUbdADD21FicfGwKLCM3Qewr3DuQI9kpcsVcFUdMN2kQiMNr4CdzVmf32iFOtgMe +M1RruqlGqgnksboOWtpQ8KH5ylhKHpd9dKk9ZUIrFVkVfwBvoC89VW4gP4tZPq7LULkJP01dqxv3 +2RZHCtZDGeZ63UKxSUrsU6FoDAO05Ms8nFxHjMHgzhcjYvbqKPgBy0jgYA/MJnjX6Gxym9woVmIw +cmlxIo1PYO1BJ1JO/ykWzWCw7emyxggVIF/RU5SrXWZqYXIyqFLrfNk+dnEPfKkM5U27xbWS34J/ +kDEF0AzXgC6n06jylD+G/1mmn6kdw7frJQHHTOq1M8PazTrYS+M7ofBUqM2MHCkRQxnC3YgAStNo +lRvNbZ02u/xUlQWb6sGyARguJBR+GoXsvFqGO81Gg04ZhlAi9TIy27qOLeW2RqK6fCDngO2jOUXp +bI0cMDV36EwBw1qY+rme/l6zM3HF06Fe7wCZML9TapuvCdqAGP3MjYLPDtMcNUZanxTht5c9LKLw +O+eheWRf4/CuAllQsX2EF06hnfbFqkcrXvI4rlCVZPsVt/hrQGv2tvBbCbDi9T0Wu6NWA2k6tsfs +ps5UwbV+QwrCY/DKtzqVDmn2M1gmAFDJdOTkF9OFgBRP2IkZomide1opcUx8CrTfPGps2JrID7S4 +/DjPncXLFOAfZV/aLaHVpIs5mCDAJMGs6Qp6rKMUPnPebsTAf27rCdTzD1LG6logKajqsCGEXTm7 +gijZgGmffpHGy9BlYxIRs7SmdxmepVqC8UzNDY7VcwEcxksx1Ts3sDQS2tfxXZEhWi07gWzxc2yw +foW31fhIw+iXb7c1+Z8IuQ0nadroLGEM2nr6Lo5+S7R1jPQUmp9PLEjCZjYVJ0GUjWSrlux8FuST +xrNE1SupKc7mKSDs4uoQT0YQckttHzzZq6RgpE6+Y1Cg5KuN09D3mRRXpujP0/RobtMtbyymIeFm +l2zZZmNjhPctgvIkojemixtVQm9iizc9m9D2c0WoSH3H3iq5dDL8lBWjoNE2zUQi10vK9nMYNc24 +LfRLd5SJTgIT6IM+iYMDXV7jr84SfOcvqE1xPH+I8V6e8PHsVHLeRKdLNEfe/AevZWlo2HzimDT4 +T5gZSiK/smV5C2YWe+Hyrib5unzQcTebTg1lXPtXhCfmnCDpo0wLcPYB5/hvq3hbEMcZSjlEt6at +ZbwfUDkJi1JHXqMogf0IIVuLhJplvGpf237+o6JUEGvueM+v7vrxg1BnVXFfMfHd7WbrjQBADFP0 +M43hTX0Ik7Cn7ctr5QXrnHfreNfuU7wEOQRPTsX19oLB512K5LsQZrQVM0qYtWaALxPAHhgEKyGS +k1c5tD4MWAIrogCS9VbpjjSg900hpASNpkmCLaROJrJwMbYx71APXuCqwr/W3aePmMHw07iPFApg +EHuKTrx49Wv+69k6JP3CzZ0oLlhVACyJnctBz0Ah61medJXNJLKFYkJ7bXeX3Mp0MR4SA5GQxA3Z +jxaNtoIh7GWSi3UuptdfUalJVw/IDrMLiTuqUXZTbYXB0HkOOXpcq7PEuIVFlfnj6hUtvOT8jZHj +vHUwZSoJ73QIGBZYk4BTD11U7mIeKF/+ZNgXDO/2dWhAycKkh92tuuvQYUxtE776uw4Z/qWHW8vu +jEabrW/aq9bJ95sewtKwrYw+huEhBzEKa2B7zWI4DsVgUASCIyc0oK+bcCk/9+LuZ1/CaKaXv8Ev +zvoZebo8txqbZdxmTgpaKZj3XC90ZRvJA/HhRTLHrnPpqfjBAxxZlbKvopiTfBz6ZBp7y8GbOozA +qSiM3/TsdaNfZ6m0j2AnoE/J4Fr7dSAZkwQMP8tMn0uLEd7QhSt6azBxyPRTGDnfa8V11T2bRYij +sHSLdpKNsF90HCNu1xgU8SNW4OMetTsVFmnbkvbFEiIpobMYEEt26/fSXItkaQlWJX+LFsiHCqfb +DY4X/SLYWKQtNuMPwjwXrqMys9k/+4YNfvQxaVz018sFXTkukJk7rOsCVqBGY93ofWcYMDkuLpDH +YeoTfqGP6Vqals8HtQc6WGPJ9VkXIOd7Ysojeve0ptU1NgZw5lVMJkgWVdYGA19uoX4v+1R6DKou +f/OHHe3HcQamSAUPYzlfATkYI9H93uG4ih2oE4G0qEznctV5KOdHBUNF3sgtoB1AS48xGuE8JnfD +++ZeQDGLVA5bwV2Q4wOOshWfwq/nxTL9gYrm0tzMh2bGlxd2iDxxoxgoWgWArIACfQddUqsusQCc +5gGFhJ3peeU2r4gGzx7fEVWJN2nOQ5dnFe9Ugd9vxbUdxAtNT8H6MK9rY5sdFXiMk780/48C3BHx +eGgtvzC3UQ1zSIevsWg2+cT7IuREa2q/zx+wFm4qg5KtvRqsgbcLpeirIPe+rvxPAE81mcQ632sU +7lml74mYoHZkSxq2kKUfqeDF6Mcror0O/d49HWWc80pLvdH/CwNDkUNno0QWVdo4Ht0zYOLhJXeK +qXQWmVfcl23CMjZeijf6HY9UPrKY/pLcuu5E7o9pyHil1WGBIFsXjA/TmI/cSHU+8XHZihVVjoQZ +XXDjDQB7M6RTXcIWLR4+dBcSqvGvh03n4RTr/D7WiBdpUzeTLHL5MTD5iKQSz7p53J8aELYXNcmx +TWNhSZmUAr6iEtQED1hBqsqGStZsY6hCaBoREmw5p1Es/OZgYsXZv69/l3LDtKrEwiean1/sOGzV +yaaJLj3dlTudlTif2yLCndqQefEA8sX3dnm22Xref11x0w9ub9Pwc6VGg5vsQI9J4FwqK57q6wfC +eEMevOf1PVJ4n4Q4kxq1ywr5v32ffhMII/0bdI22zA57zKNyIa1VAfKLfyjMYLeJw3FC2Ymll1bx +kDKWGhwLWgP7xb+mXG8XRAa0of1GvnR8y52VkYPrHY7vgMwj/yC4g1wiTKsQqzzgC3KkDrkOV6A7 +7z1scTAjGVUpk8RoUaAitZK2RmJNDmhILY88Guz1Pwl8bMyPT1zMtLm51bWGq6SfTPCMgYfERHCH +PcJEMLxgjOHQx81490H80NuAktMff4l+y9T/Z22j3lCmWtwdOSzN1h+wJ4f9nXOPB8q+PFEqNwaO +5EwVZw3Q47AlciH/5SatXY4l8X/oivLT5eUZvjPAl5/iKk9Tmp84/THUTr6dtNHmL6qWmfYHc9ot +G2GmOKoCv0UHeamDQDzT8STUdSDzdlFDA7/kJJlHqlo/LDE9IC1aBVgVVcfTbINuW7Xcf6IPHFqv +fEoyXs9iGM61cuiGpwbYIG1C8Wu4sCOya9dLZMHtcZdveiLKCLGQbiRHRyY6jeA+iwbYjaE5D52I ++tUM3Bd4NvBiEccdr1yGVps1LFfQHH2zuEwUO+6oUL3pqKnHFv5ito6FJeKv6D1XPyXlabd7RXhm +N3/AlftAYDRoZpUfp/5Vei8WRh03qR9FkVajNoaPSUYaEM43iAmu8g4obMV51BFrAHGLmyaEwqZG +6Tl3VEHtNOrHBMLzNWLs9k+XSaQ+6QKXLV6fX90BU5Lr49YPVCoDklMVsUR0Qg8YEJccvrlX7u4N +0dT+AR7eafKhVWYVS7EqIG8fDdQd7nEE3uU0qyuk7MUwT0P9clgkG9ToyO/FcBNgalP0EHUwT7Xj +ymOKhwVBlHuRI3PUint7VSmQ3Qt62+VFmLODaBZjTRghGOmJuebr8U6WtFW7tqlKv/nVWqix6S9T +wlz8rm26tVq16xeorIqrTQxgs8zerUWHBOhOsFpQEzgqls/HbrnWO1w8jUYR6IEsyWF3YXIcL2Pp +VYiFP+N6e5Wm2i8ztGFQgELdrPXyiLWCOe0aqo7bHSM56x62eR/9CIP9qIIxGhrjbKL/Ste40WD/ +TTprtY9nm81tXV+2nbbprfJQzni4A0Yg6YIvw3C028VoxJE7i9SuCujessPzTU4FDNdMizR4+/sM +0VoKd+LpwWcqo7brY0+IEhzjOHB2e3aGgAAdU/kq78UlnPfyTyIb3nkaDH6XpQh4Y7+0omf7wMuX +GAi5jcerj3OgdSQj0HnYF5MxOpJSwvIvZixYKbsz3Mg5GAMbbyrYgRDNghf9yyVk/glnXSuBuWgT +j//8IVr/8+D/nGvzZ1MopiYmkE1hepwgzwCK/+zp355l35NU/+BoUeKIRPh/WsgtzWSvtcY22Cwd +DCwtctqawjM9u7lNy8hoB1nV4R7fbvZ+kzRHrToFY+KSWVWKpV5OhMlyhwTHEdrI5UWbKoUAPVE8 +dsFABj7rYzB0gmli2VfQreSuurtvv1ndezsbTPQvvMj4lB3sGMMQFDZRgayhYBatUNbJ61HpiktG +gzB6y1rB1XyENTo8zQA12Y+F6ugHc84yXJhrrJUcAfbjocTyQyQO5o7ikdOEvhuXbwYlzFRqbhMA +0bEUtwHomnMaYqCn8ZEwQEBoHqiF2Wr8k/430nHA0Z+0XnIaOBGMHVCeeZIlZKvpxz91TAcCrN0x +f5W/BXpuTMhUESGQw4ShZerbDhGH2iAX8GimAQGUICQcRjplPfYat6KoQHeV8pKq4oe/EVsweBIl +VUaiHcJDOZqWPRAJLwYE/sRcYFwIOsEDdj/mop73PbnYuaVn89nQnKRywn3136Is0yBYkyWBqZOm +lJJmmePodhAXdcJjoOXqQzlihn01o54MU+tfi+1Tnjn0zVtrecvhDEBk1Xge8LI70uT6OI3POZ92 +mH2Cu2b+CVKs7B8uhj4wm7QL9y7oLmWjTVSK0I0fiN6zQWfLTCd8VPwy/CcW+RA3IXajPXMUKNm+ +yhtghIb26+t70o6Mci5DXmGMfnMIcGBOGUa6aUEIHossc08Ernj32d0e6MeEhQZ9ynbD1VmJXo89 +jnGc93O+IyrrXpWxUHIG3VKN4CZQzZc+7f6YhCtpCQb4Qh9gU6W5o/Fvnrl119Y8zOCdDuObWF8P +RlS/pCKjZi9mrba3XYcxwVOQRySO+QfIYfbS363SBSLA4kUmYSxeZRVdJcOIkad+HegJV2edbbl9 +5ZEoY2UiT7XkO8ab1XgYYriZcqJpdMTPrh+vg2bh1hmp7HTHCNwgq3FtRsZ6Od0ss/PEDtkAA9Yh +S5FEftLFjSY1Wpx2RzDQpfk3CrjhsmBTqz7vHO8pHKDhCgV0Ga8D5AScULIQip9fxJFlbHbagmsY +yPDgC2sergWiOgaMKg4PsHyDA18UCitPeWf5DTAizPMiMpW63i3vQS9wbpAdaw2SvzrADFPdl8YB +4D3GMoQ91lvz08ordWaiKdl89sgmPfkk6bZ3T0UGjFJoDUVGjGfJM75aXfzEPLdslYCjMOeWykVG ++CnQqHUhLjPrUn2+1HWqXKgn58HmXrFIZHW0Ir5sqnX0OQEzj7MO1v/AhuBGedZidC77GmUHxYNz +Ywob/pR3EzbydCxA5fRZB4/WHh5X/IT5bUIW4WoSMcF7HmXZAE3jyqrzMN7wCHY42WzMwDVmobk0 +lub7dRCJfODlLyUMOD6G+IIwsV8jGBuRkYO24uPuUIhft6T/OKNGT92yRUHtnbaMJd+BJ7j2GrxS +/IvSAV6b2tHSRNBbCQ== +`protect end_protected diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/gowin_spdif_tx.vho b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/gowin_spdif_tx.vho new file mode 100644 index 0000000..639d6ea --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/gowin_spdif_tx.vho @@ -0,0 +1,1118 @@ +-- +--Written by GowinSynthesis +--Tool Version "V1.9.10.03 Education (64-bit)" +--Tue Aug 19 21:50:04 2025 + +--Source file index table: +--file0 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/SPDIF_TX/data/SPDIF_Transmitter_Top.v" +--file1 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/SPDIF_TX/data/spdif_tx_top.vp" +`protect begin_protected +`protect version="2.3" +`protect author="default" +`protect author_info="default" +`protect encrypt_agent="GOWIN" +`protect encrypt_agent_info="GOWIN Encrypt Version 2.3" + +`protect encoding=(enctype="base64", line_length=76, bytes=256) +`protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa" +`protect key_block +L0doZ7OVOZWp68n6iS+xYa3cscsibqECJ7xgXL9DoWNyjDPo5RcVmXHUpI6BZfaV0mTvyQcjlj8w +LDveQ+y+iNPr7D2UQwbZijtRdPwUOrZxjF23p4Wra0t0ssFyOhX1okz47CC+OjLt/+LDitzBxDsr +VAS3Hj81Q4IMPBNzTQE5ITC+J1WKNbcsuUrJuQe4sARajTeaNBXHnnPuWXZNcfkxT4Xs1DxY6wcH +dMqm4Z/nBei1cVWVn8Ozlmza3KaOfBNXjDYQUqEtOGTeRbNi2Ln6Baa/PMPzrazi2emVbQr9eoWg +1YJkJZ1Q2IqXVwMvwDIJLHqaNgXbhpJD3ml/0w== + +`protect encoding=(enctype="base64", line_length=76, bytes=61984) +`protect data_keyowner="default-ip-vendor" +`protect data_keyname="default-ip-key" +`protect data_method="aes128-cfb" +`protect data_block +KP/MG/c8VAIEDD56Qqaylq/VuOXFwWatpdI6KPdqliDVywDURc1I7pi//o3XXXQreJGmuYU5IU3D +pKtPsFAJus37ga602L/JlO+SygNSKiybgNryZZ8uoJHs3uXE/kcDFyHsOpES56a4UalEoM7v+XQh +PJgw/e+NwZmVjtii3L5W/sUaRmCRPPwwLuQvd9QTOHBGoMQP3nO4Tv/DiAhLcjismIFXn9DHGenM +aWxGSqwicdMkozjX6HV7UtomKHdVvnEFPy2QUAaJXl7OFRz+GD39hKP+5FDxd/S+OGRsrGqw9OwZ +jAkzcXPTbF8fr44Zz5A6maJ8mc3d+GJPnKEUPba9HL8y/Cp8TAqwiSuMLVB4mfcPVjogz5CbMo7V +o02JHf6qahi+H89RGgDPh86atjqGA/l0msK3WFXzNpE41deXO/VHs+skapd4ZrYWWwQJVpKvfqt7 +1jcOzXAjz9PdZzRJUL60T72O0qkmp7Oh94Gny1mPD3oZYpZLDO+06ubpaF1vURMLzVoWElNhtSMT +aU7oLaBoc4jUvDxeurFlPPlLCJwSkKpJTKy/Oyx/BOwKMrEq/k7HLu68muTU97cWH4GEc+ErlY/R +x2FWPQ6Zvn9VrW+8lfnrEkRr0n9aghA38ffh8UuJZHiISa17neqf1vCw3bfe+fvTe26zMKI0tD6u +ZKkrQkFTpxOoOAfXGtlPGbmQtcRacnhXM4Ypez/z6p0gclX/5x+2ysM2F/KIKgWrYt7PPOL8x8Mf +Pvwsa7BpCExRi4buxpMQTzw/cIoM3isrwOv+UgQwFY0rBevD/j6fh1Q5umAAav8695ryDQ8Z1rQc +GVWqFHMBqwJmkAmoU+K8XZ+aPL7bTOzYO5B/rt2+E2DZ+7NOUoHWf7z7ZNL3juKRE5arFtimJ1zL +GBsmXtJc+aN5BSdqWI3DF90QNVRI+1At0UTsBWXc2ZH7dQojB8cpVrrL7F4iJXZFvNyTzm4mVnqT +63IxBtjEWyN6NzFtjiWlXDg3S55jl+0zzUqRXW7UT9nHfLFr+XOCZJ5gpwGcriRrBvM+OvltxRZ4 +ELA8ziVG5A6t5bDfTO+fjkAgbrlKWy7i+8a7lmRVTZqp8fk4MprIubk5PYIN+YDqUlFkCihqjMOP +EfOQWph/1pY3/gfyS9tQ1sfpxoefXcfzf/FXsrSE8K4VIUL4tDt1/wVDPzoiFWma4BZM9+GUxilh +Oz39Y1htgLQZnFJ6R0OwvO/h4MHpAm+FOrbWUp9KIyQ2wzO0mLPfe54t0NgR7eeO7EJTJK0IgLs1 +myfMAjhhvivahuhA+SiZw4E8DkqBMzO7PlJWNHDTkatOD/r2BbF0EZskwuB/iDgyvZlA6qo8gvfF +feKRVmJYHBwVBtnRb5qaAI81Y4PFcCau8nyC0xwdBsSY3VZ2MfrJg8JxLmOP/azKvLVP42VWg7XU +yPDMTabGAWFQGa1Fx2hvYCZoeiT+BZJMctDGC9qB6UkWn47rAsgDtXV8OgHEdlRXWWcXPLnbGzd1 +x5fnAoPPk5H8ky4sJLhEOaxOTW9+zAWFpz8VGfvWZ+9FDAIoAJv4e5zhKxeH7zxBa3fOQqu5cB2g +gmiUYeapV/F0HXGXIJ/WO5y843/uhCB/ATlwTFYzMN9yBQ89g48Mqmxatf1HHRUNiqGzXAsMYwFX +XA6b+M+UNXbeWHyY9e6S+Tme9cOBwcq8QYpxnCzIkB+QOVyWJMv0sO8JC+OHW20xvzCWyJMqooeA +FCgceqOnxvbmv0FAAURAo1UrJ1Y7sXq6X8YXkD8UxowPP1n5EBx7zosqJkujB5HKs/WbwHvkEhA2 +RaORm20Vo9LfR4Vmw/wVWkoHabaI8BUmrvCbnpMR+HG5LI0IfEh8/+ZQS7UqY/hzKGDgHvnICSr3 +1B4N75q2buJGGw3BmTIbdgFBWHj/CJs6Mpm2Azs/1GY7KfH33qUIIduZkVENT9Nj9bAb/yf9aqj8 +5xYIk67ScbQkv2z4n/DrROO5nEgc70mRxMDfMtcjMXvnSMpLfKO12e25P3A/uJ6o0k3XnEDhmS0s +ANzl6fBsm5mh+A4kN/wrEA5/zUzZDe+7k6tOdZ7gznAbDEEvVJRqM0lK513zT3MLGT2eMuN7Z2W5 +I+QY5969ZKXl+OH4XoMZTqQeHydmJU9ni/29oWWbyKULD+voLZrTuBfRwCLLJ4LYCs2JnGn8SeZj +p9ViH3zyVcLsKfF9dH2z6xTyhWUQXjoEL9J0T+ufiCZmT+bnCkYLQ678F7sBgMiUJ+T+dWlm5VLP +rF/KOA7Bn/cLJECqXBCRTl/zbLbpstHRLPzjPcDCWHRuvuaMbGlDlpX+TLizibHwIvDMZuZzGZu5 +mg2TMFlDd6jpXY9knDRhaxqC0vyV4ABdR+mTL63j1lDRP65Z9ZACNGLRuJH5+OnC5kVnDOtfmLXc +SjQ2Pc97CPb39PvY005e3HWUJPor1Q7TUx54g9zUerCjLFBf1etZVDMVvDbS+mJ+OQ+zMKTtyUwp +Tuh2ta6N4Q88dUDNsuk+Tcexokp6hlt0beKcWr85C3qL76Ot7bc9SD3egxeISTTo+ySIgd6khCwg +U/7QmKx0dxIOWEpvZD+xWLOHXwEWrscv22Qvaf9lvs6XOjVYSKIgkcBEB8Z2kJD1sPMj/tHHD1jD +ljvJwmMrb3JN4Uo9Nj35K7pZzoT/f5ft+1Pi2pthMKC/Uzhly26VxBNWbCAKwqMH8Jl4f2CJJkYj +ERlWwLRQ+b/JAVnvhB9fSVq3EXbWbgitePMjJvwAUKLtFzhmq5AXHbBJxCECAMsGsnlqthwxFgJV +rw17V/DAblXXGTO64vLood6pawFQ057yS86sfEDnD0aVkc/yacFxGY12XAg9N8sTwycYhqY45DCW +DhM45gbn4manugzLPHw3kbC5tSvhuCeELlnreM5vvjECn1L7kcYyyve7Zq49ZytGpfFDY8238402 +gwdXk0z805lecNrkxa49LE8lvGjO2OPFiuGw6TO7bIrzF9HeN/wQG0t/8ADC7jJ3/Ililjz0rbCj +8O6YbLbgeNZlkLS4AKDN8xaXhStdMhqsmA29F8j9Jia7dnpa35PNF1bcNPjL8cToogmOWjKAJx74 +OLHgY0FX0p62yxzDN5c3LHrS2zmQB/NO7KSj4zZLxDSBuBDB5HpEQjsNwDnNYP0zJO8VsXuTZNL2 +QlQrztl6HZtXGj9kWZ1LuC7zqH62xq5DYLsN6oPAPvsB0kZdoServii+ESZ19paTMUfxcv3vjRkM +DMgimCKU3bAxSy/ycapH+nDhuAs73bb2NNbqOnCwRJ7vm8jQW3ppSSWajmMc/z6agoV/OpHpNsTb +cZOeGLEMDpatzzEtJtMiONMtI0DPWLF98L60jUB0vW1jNls4v3dHLVrvTPZd9zVss8Gb3vttaGq2 +AbXHY4eu4LXPGr+RdN7rqFfMiwmbzR1mUUfjmSU4jZIPHwm66QtnUr4VwBrYIY89ZV7UXAmLfuuv +fIsvGeq/aZQ/HDi6E2Hws803/AWPNeMpwvl9MGoWwcOPAweTXX7kPlKxlclVMOajlo+Th5A5Mb0/ +MsfGTp3sljS21YtTt4Xk+rLqgiv0Wb3Bd8yORc1+tzICKGCrJjhzlAq2sHXQHOcOI+goTpV771SV +/l9gFi8n6huL/4DsNz0sEw4RCJeZ8LWjJFMtD1HAX5WIlFEzZwXXzabqAQoILD6bU5bdUw8a07gW +iBQkzFpIvzngqIqy/Ndny1W0nBfpBDtNMf3UA/7Fcc+CrLrs1RKnfA9j+WOGRwybmzcIi2LXla06 +VwH+kTW1mgvVm27pbjixbwZi8IWiCWd8VDQYx3W6u59PHy4NQkN9wKmJiPHOCV7f+0LVzvP1Jxqf +Hegj1MrYWGPrBv275dMiyh+JQjp6iehzWP7uJp5fV0o4Aj/kiMbxezvl03zJ0Eb8IQXIyTZer3KI +wkXgj7ZNlt+3ucXQYCKquiJC86lGlii3mlpILvWO7Dt/iSK5vgvukeatQlmoWRrc3SWzc6ukCvkp +N5Wj2lmQMddcHdJknqKKirCyvNyOW/7f1mYYlebhmKyRN9/QUtAJ++v8bam2+Vp0Lb1zAVxGpEv2 +fOSAiEV7zIenMCelYgEMmFiHBLJ20pzv2NzpPzb/GaPrnSK1Z5HzYcwjt6UQLnAsQ9h7Oh34TXs6 +HQMjDqt3S2lZBfi1At1wqw7wmp6g21FyoUwwEBStgBqZgm9dQOrw3cu9EhtbafqwR/Sd5W/o5a2i +JkQwe/9ZeqmwGlG2z4gzGR2XGDeE9Yz6JqEBVvlGh/yj+AVctijezPJ8PqitbuAVQe34DRkm1uTl +p86fn/8W9A2QtL0wmlxFt88DvrF8BDvoxRJKD0vg8/IKxuTY82xu3Gy6TSm/ZOxhhzEghqJfSwfc +5oi91b+G8DTTYB+/OHl06P+vKuj+JSSWS1Hazq/LVPk2S+inVaPV8ToDy1Ju/knYkEp63UZwLq6/ +tq0QhdqkmFWrYa3FVKHyz8mo+kCE8T3XJwmx3gNQqIfUtSeHev9EsY4+9MX2yqz/+Cgxkaq4gaaf +nIg5xFacBWownEikgFTvENN822ELkoulpVe6XYtvGilZ810Ddj7cX1XV5IyxJgGo5324fz/8mfSm +vAkS/u8wXXdYl0iOpo7VJqhB7QK9p+uk9Fg/3OSGf8vKzKbm/Y2p4Cgb4Ql75ks3e+UTboSVWt3D +2v2pTMw7LW9PNSL5al2GhCkUx5KS+Y792smsbUz1sT4d7I0gVBFc69Gf05yHsT+OQxukLx15r4mQ +eXCbLRENt2Qx9pzFYcXiSDR+/r8PYnQ8kafL0mfdvIPctJS2xRfnr9HuekmrKbghA0zx2zpHQGrQ +TOeo5mYTezrTGpNGVRSTJzVcNl5AnpiShWLiNNEmB/R8NWeA9fmlkkqhNMEK9gm9wR4Lzsfb9tIT +P9oS8263yhjf5kQOocPFoxLHgN4g+jCOeOkiIa0U/vBDZikEpzGnlrM0DSmKACgXRDQU4qHZFpL7 +BkI/yCYYWGr11eaa6FOWr8ph5gyc9qQOI4EmZ/ui/DSTqppJhx23286pwMUJtOgzM5ZtDLABMtdB +vm8eqX5mG3HDMofZOPeOMQDLKi2PQ/LdP4C6r++eua/g+7Zax4ftx3bm4KU3fRGpmBGRWhlz4sO4 +YeX1kAVZ+K9fH3Hpw78Cl+wJF+g/FvwAbCVL6ZjpFha1V5Fg3CU6K00+5/G7iS/wzg5V/6SJ7ges +027r/eWLTd84ky4VciR376+iCOjdK9QzoDL1oYetJW1B5Dv3328MhJcTSDwNe/76y6HIKC74SrsG +mkfZ0z+fkyKk6vRD/hgODHybj/7vCS9jH6rX5mlkqm1/YdT5PuRP1Joa4c+nhM4PeSNwydkXVBlR +ZAjL0HPl1cBjWqan+X2ub5f7yPxFj5KQpfN9ZksGWkOV3mutWZUCXo8e7/ZcpWh8mZ21sV5hkCG2 +YOfXXVTtkLwAWJaup09mG4q5HOxNNPD2twsqLl3mjt5D+oZtUC9ZegS1Pwisz8VE+fNJ9AxLufOG +IxGmgSpa4TV8jPPW/6gfRP1BGtNNklpA5fAF2KnT4VBplVfnl55id42zhelnDTCWhxmnebj0AG6e +MML1+1KJLc8r5KdGwTUzrLCVSQZEaOTBh6QytKKRiLpfU9vQ3VSTuZjQY5lytO8abLMtnxsvRPf2 +2Ves485+9GesEWIRBKx78tc2qAdE4D8WOow8MikeadCwRAh/kb06XOWRWhtOsKAbbGYpzF8d007d +8/t03gqYJdoYHGa7ryby+RHH/9wYY+AwXrkzaP9w7yodmkzZM213i60m5c9ldDo4S4nxa+yBhNJS +QssMdURlE7rDlxez8E1lrqYWq0qL+X2urRx9XKX5Y/yw27wNGqs9idplHI6SIvl+0Jrv92tHCneS +Oyv9wqZxjjObnFqX7mnUoNuw9sxQNuGqHAWdEpjO1DGnkwNzmPxHnN+gjbTzXUFYuZkXHdX9dFqY +awGy7biptq07K573vv5gK0rZn5fI0COuX4Um+/GcSzTG7Pqs70iJ8k0x04XMTnPyilFEBTD8kMOT +NAJ5oW9pQhYlSWX6Ahy796KfH/s5Asi+BQ3GNlYqkWTUe3x+J4/yaKcSTIUdlfsxmFyJG1BCfWkJ +SMs1tNMENP3MaHXB71JW5rp9p/1y6jIDw1CPkq7PdG3B6atWEokACg90oYjZFKipQEbXlf2lBCDh +KmTI45aaTKrcKIMoQ4cnA0uZn7GDnEWA3Ra9RnpgXGvvq9AI20Sb8X7BdybwKjEyb9LU+EE2lSfc +O1kr3ZJcypML6UsIl3hIpPGoa1gLuTtmCliQDLjWUq7lOp59LwGtDdwCOnmji3809K0dPyAC3ZCb +87rXWhJGfDP5j4VXgBF5BU0SeLRBakg8A7c/zT9z3r/tF/KTeywwUn5T0avWvpj3VgXHiMgSmMvr +9MkB5Bo+LpIIQZ16fqugpox+g1kABuLg2tNBLpABDyblpoKg01+5f3qAuJJT/ufdGDzYpVJImNEJ +v4Bpt5VCbYPnWYy7z36PRy5vxmn3D3KJb9oT4oH2gDiksfZ9cYxV0H24uG2cDQGa+Huz9NcvcPnP +9C8RcZc50Tma/e1z/1VlobflQgaUB7GAj9UGA4WAFxqbDRl8WGdcQz99dD222epW0+RV7aU3nvsN +zDQTrhLoZm57/1O0kgN1kpTFK7JBePPFf8dCku/hPPVEDYp1brqQCl0bNO1pY+RZjSfe1VB84s+y +LaQwxXhw5/ErB2AS0EY35Zog7w8w8mRCrVu9CyikOOsHWHYJLJxFDi8lHAeibU/HTS/2k3WgAMUW +n6wLfe0cqoBTlATK5Qsjd0bx6HP/l/FwPWnpwNVhOouZSgHSszVInrSbuIYPDdKdRzTw87eII4c3 +ZQT0OUtMZUo19jf902upaDhlrn2gni09B3Q+3/2KvGL3t+Y8sNqnUkQsuMTJUSpXHJzoVKwebNiu +7+2BqFwYmtWLOEbQ1eg3wZIGiAXIf8Aoi84Rj+UFnOCSbaeXKHnINT1IxeWHPwgxaQUfLHTm0JZN +Bq0Ui1kvzS3zgkplUqTpnXSczwAcFLxK7a+bMWsWv7fJ6mZ4SM6qRmbAkkpGuWaL+qD4ndtChnRG +pd/zLdVFPzQU3XIMSxZOtDl1FOFpMVJgTygK8y30Ba+PBW9SpVwzt66CpO7F9ETnrfcWCjkz7wj+ +RsMTahnYNZeONI6U0nU6kgKCnlxJqpccou3exxeKq3M+A6B7olDqdJJ5VwMCOQtf92vXJT3o8hzl +I2NDLaL2yu9N4ejcAq/fYDn9ydQqA+1J5mSlyaVt7urY1YjBH5TUTFRveUrr1XAV5Rkuv6UStNGF +fNDhqY6ZTuyV5viBrC2v4wWx0ZTWAX5vZgzm2fBFY0mo34J+A90OFqHqx7n1VjY/yZ5X0gkFUPBX +Pt/4K+l3ZI+UnG6W+MiOWDkn0kl4VwPKvX26ADtFcItTHL8P40HOOzDRYM71Ebb87jYiY0L48maP +wYn3SgGQDzNYc8g65C08f4r5lfBE9AgAPfCyyBSPj7pMrTD/SgcUJt376ZdA10ORrPgHtgx1kvBY +1UatXvDUGlV8jXx1O2m/Dw+gkIzOfRTfu12UI7508J7Ryg+cL/+Xi5CjTpWxszUZ/GwgQzRb1vXj +zoBw+dahJURh8I98ie0QHyh7KxjGQjnVNFcfPE31QIaD+xJInNKBXz+kQ/CiPVaCVM0VBKs3C/w+ +51y72IgJeK9A+tbLsgW96WPJzTjzGdY6Pxglr4HWrxCrhrGJ3tdwKUUe6XhcFq6C6QMdldc0gLKa +0nrMxuMtIreGA/rXKA1HBFIexp3LbTvzcd+PeYeZ7ajyvwbjJNCskEbnvtXjg51HYERjBmYJgVy6 +1MKgoYnaA7xr1uCVNmJoSIAp2CkWOyWlPXDi6zYbNV2enalKAKqQM5askvEHebAAYC2wWfi6hg5f +KFTiZ5tcynp42uQbA0oI8T++8n6HwDCVAuNI+qYhyRH2y16Qm9GpKprEp2cZFqZLjJaQZ2ijkfP9 +r4+zk87iSNqw4yING+dFuulFodvUd8KN8xp+SeCCSgItwTbEq4oCINasT3may9n3A2j6GshF2MSn +BXz7kXy3Rb+OwePa7YHk+X51htzdqolmVGL7peuUUvSYo9K4wrhNRm/0meM0Dh0JCJL28Xn9+3XD +RxE5cJpPrRsYaKR0by97JemoUlulMSgC0NnkQXMtg0GG8ulKO7TMf6GTRPjP7XtcW9hCS1eBTjco +HmQndCcNvWp0bMAW1FyrfgPy9V39G9ehy6E07kGtm/QWE/FHaf0RTxy/dpNXi+V4Q3r0I1MAsylf +lw1jK9nLB590aJoV1muDZxmwiEAc+Qv/mpZKmNVgNZsJ/Iu9bYClzsOH/rtNawhNxwe9kJvgYXpC +QLL4siYVNy8Lz+aSRGbXoI5cmPRuNRk/574L8cct9jNVXA/O6P6BsP9TN1WlNkqYqWA45iir/LsG +2CTnvjp2zQdszjo2tPMDMsgXtyoJuKwQfZ2ZkebnIo1Na0IhMUdbTpyKBk1vVyCPLmQlpcG52O+1 +mo/rReK6p11Kf9Q/y/uzDQ3arEXuRGg7dvbR1hboWk4q7KtjokSZDQlwRovZPB8m9Kf6MTf3TsLf +o/aF0BgdAA6L4xGogV7Bmok56k/2Kq/ctw834PU6b/32Ci3WgFTYQ+AUtLZtjf4V3mAxo28VDyS1 +cEXHoiz+NsP6rdb6UUCuGpGIL/BHofkff/ovd04Pnq8J8n5i+DuIP89CCYXa+Zo3uG49Vuj+usak +HblpRRc7+fNsBoxDiOUoNYSkhaXNmmKJEmKauRZavvBHzsNHk3wyoyf4breMjeZ/1zgpaXGQ5Tz5 +0ZAomC6RzbHeWT6+77D3UE+s8o16MT9f0b4MeRkA5aBemJefZNim+XqfmvkKJCf4YIzrH2wB93nP +ohvuB1wIKtpDQ/vsuCLzqRnOPGK0MHcC6ECz8eeuzmofxacjziwn2LztcHjY1YfeiZVInf4k6uVY +7gndWWx027W/RJqh5VkIa8IxHhDWAQ6KUjVMiO6A6qwbDDhF0pf9eLD2uE4PETY5Qam0q2rC5tjv +38XmIbwOHgWu+OQWiJxOuZpEjF4nY+fuirsALCFbZIBobVBHKEsUADJbaqwXAgMOEO5MfnrT/OQF +tmziP3nSytM+kDj4wfYwykiS0U1Gi6Z3MJF9ZtaOVMZh5Z6opBlYvnIKOGBTgPhitoIM4+RggK0A +HsgwRTKP+Mjq8UphAkZqL6jlSEnRpELo7lpOniheeJhPK+1J/uqC+OYJx+UfzFrh06ZzWm92HlZb +V6F6YSvuDbVGFwKC1fmmI+BGbqXLXQ8tGtDaEE09WexO+eVlPHOnjSqVsTOm00+UqIdss61yzDOD +Av/yALKTDCfyncpg5h8nYQKNpHfqBIfcljav0DVdO4Kjnfz9fI4y6A28EQ7CkL98jHS/aaQCB2tI +gsoz6r+fGC2Fb4hmejKWaI8FyKTxEhViyKd6rxSnApI3/7OOI68+w278nuBl5s8SE4tco9ZiACvv +Rgj0jfcTqa3hjwSzX0n69nMLiktQgnQiSJWDLSIvYmdhPUv3zgkdQ9+87sryBFJO+AJ0w4rjtxPu +ukE5LbyCYFHlBCmd9KEIiJAiIsgKpgx76UBoRG4FsfxTsUF7y1ruFr3TUp3jCpHCJ1c5Pg4Cq4Wk +mqXwKERcqrbEALuHdPB5JRtYkrczlWJmxrDJT//TLKR4V1+oORBQgzEmNLT2QoEaKvuuDItiJC3s +sW9Z2zzeTg4j/93xVjyv4ot9UvoQXq/jr0ot5UDMgOK8WI0Yg4v5FtrN3Rqh6s3cOTibzm3bKaMr +tpZnCEi+s5g7VDzjyifyMZ5ZupLG9C5yCvs8V3JcmqyV32T5b10jjJ9pir+oWBDBpLEJyhdbCQPN +4/IS8fJlXLq26yQ3WkvRziZvzjLLgBipZwLN3TyUmykqMEwvO9mYBgx78ACD5xo+lpP7IOagLLld +qWFsLJaRGX967MjFJ60oGVyyePhm4V+YvNOc3MS0lvBtn1sdzv8EnwRpq67HheQzQU9Trqtvu/oB +fVvWINXUJ2EgjamkQ0SF85wIVpf0W88k4QuLo8OK/ApS19ZTPt8YA0hyzL1G2eZeJ5rOkfIPJPIe +wj9PZIaO5Mj/1NG05MmyJnTsEujM9Fz3BgzqzZm0fI9LBgRmVK/pxhw3xN8HcOMwi8MNc+HLIq59 +KNphKweYYVBpYwTkeq9eaZUvT/XwlyibtdrqfotYTF8MLyjLqSJNEKLUDYjoAvalTlE7xw/WlFD+ +nPKU+wsULCus2OXOniIxhkDODp/4oMXLS+X9XRDQeZDygDryOjtX2O5AJ7CBtz9t85jN1v3ov4V5 +TAgX73W6iedkV6fsMIxXhf8u3vqMaiEBmrBjbC+wIeXV9QR3ekd+VzMoTJDVV7qnZALKb82BgRGo +V6qAvvsv2CTupCDMZxv5IYkGe49tGmLVy9+Wqz77BrRHW8qIE18OgX0W0GhcJNJE8l041Kz0Jueg +IpROt8yLhRlST82yGdxPSWDyxW8wdcNUqnpRfuMOfZYxxM6kRaplvd5CgCPRS0Yy1CEZ7E+z7uZq +ZgNoGb8NjEC70LzdtESshHd9K2EXeqSu8pqYqRbx2T8TDkb9Q22t/ZNSaZImpPMQizcEGkU8lJN1 +o3tdiMKWhMUY2cHeGkENy2FJbRmIl9go6TZkfb1nXtKfuNohYaIdn7uJq+j+jBQ2VA5ecJ4wARn6 +2umvMS9WV1R7MtXFYiqwvhMyu2Z1hts9r/ChrPM873tubu5zxY4gISFPokmm0NpSF/ZiwmrYKtes +djP7zZ5Py3fqmetRsCGUJk/aaQPXLjeDeK6WIYM1Z/NGyPfOpPkvUgJh+DsNnJ2nufQHD01LCQuA +VE9QRVPkNTJ7NHSuru/HZ2BZmkCEo/Zefqk1J9aFyxHVT8KDnp8tgajRkeCLqntmQc+B2uN+/dyP +hcmtuJGU7X4HYa6O/zokUc3bNgOOs02f4VSFR+wnw6vTDfFOXjRDoQcDie5p3iLA48/q+Wk+vYiE +E7dQKwixnjcovXdTVJm1nRq0RZdQ9UbMVVPmCCG64w5ajezlL54awzu4d7EiGvC9aZld0vJCuhSW +9++UDpibK2J2u90RrI6FmYkCQR89HGBKESfW5MlIptEBYDquVaTuUhDzHytX7tDCx8znh/dJEomR +vUW9Z0lngtlvpp5xFxrHOzjhGoQQ2YsnvEIiCOXIR6PD00JMBExvOsdqjAyTlarC/kUWusyru2pM +GxUGSWA79vLXXqfcNmoAgBWA8xDfpSXPn114hexvO5jg4P99zhA6P/UmpJvrJjlneqCMaaBBk1mQ +5z5iW3c1pEKig4rs4DxBT+Lvmc7x/xEaJN4005El3kSCxVYhcqeDVNx2cCR8PEMdb9OvtVnMC/jO +9z5e7M7hPaahxP4Oz1GlMDjvgI1R0ga81q2anFfHlVyegeaPFsjrZxX+0pT297lrafNJGwgFV3Yk +LR9BJAq2oH8ooJSzPdMDDfd5P98P75UPNQZTPkPncn/VL3+3e4HW//nt0H2wqT10493L3pPHYvYm +yfjWsvh2hOYsCJ2sOtNf2OsNZRVJTk22c7/YcVBkWUONUO7sjYqkCbkSFB0pJblAx6VO2hCTfrHj +cANg0VslVErymAs+SNWTJw8lYzM2kNPRNg3CvSHJgbKnwqy7qJZLEh+0vTrnh7sNjIWme9Kzbk7E +B6UY50hHWLeULrn/qmT5Admm2zkcRTHgFvB6xZ1JWZX/8yBNDCSatfKpvQQPlebE1sdezhSixT4l +ATilBqf1GkrQ1YNp234a8M5/Mnnw7O9sus+z28zUcWQXo7qwNWe+W2asEWrXk5mPk3uAPp/U/OKR +8tNDJLqZjfs9aX/+3bM+OO9x2RhIlSX5FXvVgWRCBth2wG6kIprM7sYt+zrFAj3ff38uRXaOpTM1 +bz1/s9ds1P9kGAYWbkcILG67PEoJTKkYdvsJQ4qTCqBZLXP+pnQ491XUA1WMYc1QYfnMOLb91p2t +3C0rY+CmWblBJBSKyIlLawx7L9Xd43klCG7qIr/SL4KRZx0hVxs27XLTGQ2zr7ojieI6nitJl75b +8WK7r/CUJO4jHcJbes5kNylRKuXSReLhEp8le8tGyLgDyEU+kxDPS6cFHa7agiSZ78pdBC8GqRM6 +I3LMf1mxwGQWCKw7D3vU52/PrX+fA6d/5scLLr/Xkru/sSWLJS9I71ssAIe6YTG98SzcLA/lYeqt ++Xv+yA5772eUnbiCxn4D9nDWSlip+o7ll2lBLtXIrMFbwlH99LU3tMsTY031tSlKLHetKlvUqThR +OKAgv/ArxjeINOckkyLbzuSUnowsS0jmU31JZlRETolFdtUwx8vhwN2JTcOXHGqPC65DsjkXklm2 +avWxrWrZDGAWGmcYIZ/5jyNjSKk+eSFTgrb9tB009ekBQXKIvib4Vs4bF9TvCgJ0Jtmp3NqAkgOZ +NukUJAKljVDgpOu463h5qRS8RH+sokSjP92FjWvE9mhzWnMNI6i4BT8mDuLUhZ127UNnniW8f9+x +4a7Ekh2ZCAr6eq2kCCEXTalwNrm25kSiioyjnnbGocITzATuLmiPrTMDlCrUnsrSOUvWTxAfp9Az +8Y3/wKMKcUBm7TepHeu39/PS/0Wuos1vXuurFBR5uTsAfyb0By4c5Pl9tH/0UUX+q5tqNyBREwAV +7+oRcgysk0GjM+K33LhnliIjOd76O8DKroyVLL5aQR/sfME9KztK//qTlyO3COQgNbndGEC6904x +NBjeltVaWAlSlKpv1X3m1e2mJtcgvZw9nIYDFoxRsHKDl96Z2ZF6uV6MT7sz96przBuV+L0ohfZ/ +jmsvtiwCdQwCLphNZKL5ru0+J7Xro37AMPWOlaRcR7E/PeeW8gyMFj1VVlbpPw7UwfAWl1Z4r+7q +9f8ruSHjpSd6lzFP6XdjiSAeQLOY17vGmg9OywPkTaFJQqt/kIWhZtYLUpD/e+PdUUmpcBmY1/US +RlNNANcpuYcMH3fcVKlEvJ7c2n0Sjoq8+V8TFhMEni2B5B+lTFrvYQF9twFGE13wxU1Yo196LmGu +XSeRT+sCYjhomr27Yv8myVgdMdN2ELYhEa6+hlwyVf4il4XCXuerE0jTFUbRQgLMhoTa+ZC9QKcd +mhSZk/bSP5oYECxaEtwJPkKkbJOcR45IaXgJB9RJDswaI9K/2KklEfsgTAsnyOSB4t7fk9s8DxKv +AjE+7Nvi4w23uqiOTKhMwyJGrg13ySdt7W/3cgwum6JeCyqtHuc+XTHsdFHCLHQdJhTg6G5F1xV7 +VrXadLnAlpwmQdzeYz7a9aq25bAUZpRQIjDRJymzTkFqogLV4795Ap3PIramK22P4mx8ZBHvb392 +mh6U2/ZvyR/mKj3iTck1E7LnpbMrDSx13gM0vzxauevhE+E4CAh785cVe3jqXQ0mJaxBhBzccvwY +3qVWZLbxWj/tjoXfHWSWCw+kuBi33KLy3Kezajh6OxxsgXUvaugU9pEdM4taT3Wr70jW0SeF+7kj +rAHbd3IGOx79DzBGmJwNu5bMdaaRx1F5pcII1woqpGD2ZJzVB5huzakp0vSrM7J1wzCY1Ji6tm7l +FSweItxgqrqS1SZS36ivNQUUiNAd1NhOmB5eatXF7tIdmD3I8Eb509l9TUwI90oKLEq8LGR7+tNI +1NQgEu5lNw1EV+/L/W5O4wHmAA4iXAFB0qzQmum3v1QElFKBs4hxExhXqplQKwxI/z1e6SRJwAPR +kgNRQL+UQeXrTVmg13oC0aFmdFUyOCkO4MILsXLxPgzWEXbWhf61afleevtz975q+wx+kFhDPtbo +6tajn1q11k4Qg72UbzQFnTMEY3ieP3P3pdC/mYhRnOScHCwJGIQBf0FfZDm6P5ogjVPznJRx8leL +MPp7SyJ0RAm7siLuTPLF1KC1TQtRuiceWPJ1n7c+vlPFXF/8MqQ3X2d4bgsmo0NIH2igZaO0FiC0 ++S9erTxka8lWz4e/UOSf1SSUNLHB/cGlUrkbDXeMlyzWBcSOefF8/jFeWDhgSWJpGplHoRUa2jLa +jGUCnptmLpGMW7PZr+PU34XeJxYK1ffexbu4pf8znae5n3JB/10DxJa9kXg14t0yokvI1w2iIeLs +fTn4Zh4aBVEYRstD47T+SiFaikkFJX9eCBVYWFag5qnTjm40TrjvpXpqLLJVZwTrrAmfNyXsHaLw +ykeElwqEuK2dzs4fOIlv2oXsiqa/8M3dN6Zkffv21Po62gTmEyew4qishG7inWnEQfI7WslKbtUn +M7KBynF7Qx+QiYN2VfoFl2wqi1iUhAU52pMJ/OFY2OdNDFugXsTgt1qQRNyOmt/Z1+1f3fY4LXmu +5whsw3nUp0K3gpK0FlhLaei5f8eK88GG7o3zCZoRboqLJnDGB7r+eBnUa6XuVP8dLfGrueosBtYx +QsCAQYuodSVfUjGxyCtOfFISq1/rgbUlGRGIsD/MCXwveB+9jCLbPKt1BT0OyinVMHL+ynEXNiL8 +dEc2aNb70FTSGdIZ3ojao5pCFpj1CUMdX9o5vo3Mls5Ye82GmZ3L9qV7po4epVS20YTo1wRfgZx4 +/Pz3ceooaedpm+dsiUnKHsJ2H4Z26s+ravp2otxN2mBQVZ2igcT4zZMpe3K4aijl2z9pM1kp+ZUy +QCa4YffdCOMxVP2CUQZMTpPzqbymrcL24N4CJ56c48Jwzj/3V2g6cP2VvQKi7OXd4m+SB4CZhvFC +OT1keGGiy2k2fKyvID+5b7keeWU0H4nyr3awnlJZvnXAuIbAFxnJudFja/1V0jLvogiytNKZCDYC +2a5XFYgx8vA7bPTSq5ByjSILCAnu402SP0S7mwLPval+PJbnX7gO0UkkMmQbFcCFrbaBN6pgiNpY +4sWrrVRqMaEMtdJmjMf+3RGHlP+C18GxiYnWuEP6y08bEDfJFUEeoEQuRk7azoZY4QOllj8D7pcw +UNH2+T4+bPOlFyFXdc21LPKCYtNtYOdwNWi+Hl1U163FOnhX79LWaXJ2D1vOs0wh+hpnw4t1E0Bg +8BSybV8AjfXLaJOSYrAkpW+ocQ5FlUaSGbQhBoiDS/ZxpeVm2uf52kn0ip60nIKRAFtCfnxFKNTT ++iE9VFHHFF20VsiWeqBz+nwBI7ibBCICUIlNAYHeFLkQwtv3DaLhRD4C4gsLy7aZQfaSjspTd9an +wbNiC8EImBTAJxu7mKL9xghvBbP9MsAWuTmNAKqcRZIrNrMCwb4FV3S5vi9zb5XtJEugai0maQjJ +cn9NxWYLz858Zn00PZ3plxWYwed7to8adrtUonTWk0+3TGEEK38DP6VEaB3a/ObZt0EFghBd34ZB +fpzZToqH12bfQYGwVs0xRh8vWqniDkN4X8b3gB2U1iBUfEa2ALgysHrV5LQz31ATXjRJF6VD4Tw6 +z2Hea0/m3F5izXblaKspgqvlnDlekWn5HzcKC3p0Hpaa2GWlWnALlOsAs2a/3vviRAWJQFQPSC8g +I9ElqMQJd+CbXPGvqTQ65hCmwaZs2FgQGa3CmIOqlFFBR61sVULlojFhZ9ZGrILZ8BWmc4OYruMh +hCDbFZIt1goqHl74le2Y2eFBJIavWn9Mn+qvVnGDBOscLr4Zi50rhkdtEZDIK6uQiCUTWfGOEIcl +2eqWGionjbOjNwmB3Yji5EY40b4KM4s0vNp6/tmkGPGUnXawD7ziV8Ooe7Izibynf5U4o04mfl74 +3CVp733dKzk/grVgmWJ6V/ITt0HJd2ze7p0K2kYhp8MJE4EX9NWkAaAdYgYlfb7ymOe4t6wUDW36 +xfJ/oCXJEWiwANU+aVPfw9lrmYEX3vK+cmmZxRNwtAIE+y5c3XLaKkImiWTemikVgQBNpJqBnMTb +me29lZa7z6T5FyPozuWYgOKQ8hqaROkpTxu1Ve0k+1kh1EZUwC579RJ/919PPDJgYbnxE/HLZZoa +v6YPTOnCwryunLXLYjoy2H1oA8bF8bESvx85G4jIv9yqSKn/epJWGX+Td65SJtYtAW05b41v/EZ1 +POHSgrEv71mJJHBAiOPWXCuRD+CGd20Y/mNMzlt76KQT+dn5hrNOA3Ss5+F8iE0T+K5jkZHI6joW +S7ECn7EFLrsopKJRnqOcsuzUJSi0GvscMnQmlEe1riOQ+wi2u2gr1cfmAAKqv8v3V0A4H+1Mkhuh +qSObZpG5qs4n195yuxfRk7Cr9QHzNkMruz+QeqkijpYdYGidZiNqA+LYWMWyev2oTtQVsN3udkhf +/iL8bGjrV8+tQhw21bJ/G/3lnxwR8dNUL97gwm5az/gO2jVmFA1zgRtaz1OG53IyCYP242AGpn3B +WUxEDz2ddWiFOkkzRCEV7V9gKy9oVwcT+o4DgLy/jTNkKwFWjjPMXgcOhwnjoKo1xhk7QP/UB67j +iJid56UsgLju0bDHgxcajbArhhxDWpohepwSk4H+O1Pya3iqBoO4Xc+cMyfPbCh81LAlxFs1dJJA +Jo4XVbN+LdCZx0Kjpr6WdS/TI3sdNhFKYeoIOwRGOOuKdqUfZNDISbg+kBtNGAGQpoCXmDKQxpol +4B64VYmt8CCrJr8u5kOJkPsTivh6V2pki2KyKPaQENXa00HfWE+9D/9ybqx3CZ0OT5pfjYegg42W +T5Ldyuuf6NpOvqg9dhULaezNG7dGVwl6I9KBkCK5HYY7fkcawkSBmxvf4eUOLNuy1+ra/83ciUnr +EYs5lrffw13rMCfzrPiYHj80gEuo83FlaR+LCSD1vjuEC2P3Gnvpm0eVQe+Yh9lTBOWVTAkZV2Xv +sJtlmItWrULM5w6h9GdtoHW8cbPUfPrpHkuotIvgnOZCbvvSkubQUheKZSO2eHijpP2ePNihuWPF +nPk7aGlNsVhVkaDTBEJDJxidzgG1xsJc0RWeYTjtOd5JXNyjxXOfpDkEWFjgyZob/Rdtj3PjeZ5k +6GyWSUAXjCofOp2qL3SWm/1z3dtBFojDCpMCTIxH4RpktLyyic6YWwBHzZ+F+F7eUb4OQdS4wKin +sWmXYTEK5bxnZiUxoxqbJgK4uPx4tFtsU142Sf+iuHZaYTsnzvrlwwQ04Y7R7KkDQsjGpMh2Igt9 +cBYwkGRPiUl97nYwZEmPhpbtc7OK/ma5f04dDDJUNJw/G8ueDhsB/q1+O+gDDnnFX/t2L1j4ho+1 +SWc5bO+2p/Q3M8kLOQGHYp31zFgqNY6F+3xjJU9wtWsBNQuvydUy1w8sm1ETADlsmZ6IWsQOEkrT +euCtPcISxgnhgoB8aHB6EhwmMRJjBmoJaALh50Gg8Q9go0ZiiANnkhLOHrL9yT2n5qWuOGaXK3TC +LJYtOtjDWaLpu8jfIttHACOu7zsNeleY/EAQpm3SDG47BP+qglIAwze4C+VGCaO+13yZKoEVSuSP +1LytRlTBWrkKN3B7RTXLP3BZDYvTYjC0m9WgTtPxvudSAnYyShb18ClsLTah7QDjwSeJiI8ypMqD +ixWE2zwYE/bSr4w0qm+gkEX17S+imb602ZjuLWJbkDH7P98CPyTahRjNsuyGdpWo7wl/bXw3UlIu +btwaBn4FpFhOmCaocp/bSVnTVmLgKZBUsIJrqAZ/ZuFTw/OELVjpfzJk7d87hYfSrnYnMOX4PVM0 +jslHPeXMWga//vFCsZACbXUUFG1MRZjWCyMtuNho9TPTFR4lVNTAAF/i3FSIpFzfxZq/ARL1KMqV +i5IcdIp44HA5udBnSNmJlgVATvMjPeNhG5lVFSepoMooad7iY912eXWlf25/w4RLVdXCN/650SqE +kyU5Sc5+8s1Nzf0bNwYNimF8Vr8ujyiUO1YKfoXrBZNbpJVM9izcwG4D2TQ5eKlvQaP45f+W4H1y +h1RLN3SYYYN3x1FBFOJ95yMXaSlWIZji84ZqoMvuclBsbc40Co0dp89NBY6Df/2BaAvFD3+uXfOv +Iw9FK1ec8WNRKpwyHXWLxAtkXajQTuBPyPVR878CV3WspFGwoKHAw2OnRzq2Tt9D2V5x6eMAtfnF +RQOe2LX/bbD3x+5V+kpp4NMzrcc9nMQ7SiTLBNoyK0cLoqjbe/IbvUnVA6D2HV9VhhfVVcEH6iHt +1Phx2giCWXa1OAg5dlsM9EZvwvqoW2Q+90wlsk8FtN0fecHTZyI7pHzcVGYHJfSYtPdm29PUbz1R +1KBtEv6Rwdxukr8R4EGyZY7IhKkdNEEOB5SZfeWXHnlNv3KMg1T1/0oHV4BP5Mn+WVEkbTv3RBD3 +M6Ntoy/6gf1z+gyYLs+biIm7OD2T/TgFiuCNTQbWfKrxKyz/vUUyJvzerVwr9a2w7MLR4f1T1fqG +MN6XHmsFfwho1BlMurw+ZfxISoZulU/B+aekmfVvFgKnLJSdqDZE/aIamhC6yHcYQ/xjqANBfIDk +QdsjHiqI9szMnn8I6+fJzc1DVTmrGOSydDxLzCN+0bsIx7PQIW/sO3pO+3ydwL9Xlw6Ld7mR03R2 +fHtIxSWjT5tF5UlD5e/qJUx4Zx0ilDmAFyIpMpR8tqL4mjoMpyxFlvy3+XN03OUy74sYM0Nt22Og +1fpgVz1PWt5zIazo1TyXIJqHHItMYjH+Dd9TDfyVK7AH2hlznIPhu9fEkdklsBb9J2uU57jCzCKh +/ZxTL/Pn0m3OzAQoU5l/wpcAdXs5jarLBMcqhEq0hrRaIPh7Gib2IpLXPHloL7FsBblQsDT4YyIg +96Ev8KX0hFZLrsjFPOj7QOYS+u9OtkdnWB0hwEzHv1rfB1rqyNM2o6wSkCMOVsOik0EKy5ztySmD +UGnOoE6GajHRbFlJHCOGl6WAuGbVdBEEN2CHr1ggnp56UnfaLMAVbyv9bemPxz+DD6kSvsAPiSuE +Gz/j2NuFezfjRPOoR7qm8mPFlYK7gIInoBQuywAZig+6p48XlXNPyWF21XtSnUnC8vPQef4+a62Q +Fgkn6yEHY+uVtDbnfpvB29LXVZ971CWqW4qyQjyp/tKIpGZjJOkDEstn5JcYtfDEGFzLuIZv8gsY +KUtNSZt41MEOEZlvPTRtDt/lld/vKDxw8vjec8qJ1h/L9kExdRfQI8CR5U+4/IbIalnQQ1Jnx3Rw +YMXrVt7IU7ZYR6HmMbvFOZZ9jZwIZjMbUZOYyWdY6r20c42sHUwfdfCQBoMv9q4Ttc2YZI5bOtyN +xNNhke8xxzaa0eXQxOqGA5RE4DJjcO+QJzjPtjNpIYXbbmGM+1T52PTd+nGrF75WWR1v3hBX5YpO +sPUFlXzVZjKHYfxH5/gkKQjlFfF1xxkCd+bzp7GAcB07+GlwzYX+y+xmpzmgazvsgqLE7DE3goVr +g20uQKlV936mBgD1zwLLiOXZdgVazYfpOGm1MRd2n9V4kTrdheLMMCwinjOt6jXKGzCOnBLv4quZ +/4w05X2N/+8Z7gb77AsqloEjySxBClXN+1zw8xauhrtaDUcMFSaPuQ3274PMFDriUx9AjwAwFBWw +0Askpko1njwGjFjrQnqx3kIeOXbDn7Ryvqogc/f25rBnpHtDgkgjyNqcWJ7DPb3lVjfvMnvNPR5W +EYe8QWi/ScaVZWUYTbwJLtpJiTEFHzOSNrLnBL838AZB7UN36EYVREqE1h8phRq3qgKDLX1fKJ8W +CSZzjIwn8nOS/hyE4byMvsT//U8aaWHCf4b/xdZ1GCW7MhIk9Zt3+SuUtA6zZIr6si1rpu7ewcBG +mCs8M8fT1wCE+7xN+akFFSokhi51rtWqlAZoiO1JUTC7h1ugbrqO/+ZD6LmpFmR860QXbx8kDA43 +Q+QLtv7qXdp/s5jXTqNQ8e9TCN8rH1eVBqfDFq9wOH0bKUC+f3NoUMFOblxOmRSBstEWuCHlLD/O +WxRKBsM4xueGZKb1n/vsaOpyEehMwXrJ7Rhnht/z2ZCWms48rDcSKvKNRybb1Cu8SEBtHQwg4RFO +J/KLIABbz+pn+77vCt1nMyNPqse8WYDAekews6mHdcAntLBmnFg5RsS5B0dkT8HNsQ/rxZwxxbpm +CRcz5hWOWbfsQ+PW+BE/3ZyBM5+CBRxWFtN05sBCQ9E5bUM+tp3n3BcmH9UD1cB3xb3OXYWWTmQO +2rE31S/XjKcp5aHuSQjA6bBvKmcPp8KbhAGd0iVjvI5VN2wZ+jA/B701GASCgH6jJV5P4wjQZXlo +3vVvOopAvro2ukBjClZ7OH9B46avJDZ2/9yGUBdGZ8ZCPNXMRBPm7VlorQfaOZJWQft5RhfhRAwi +6Fcp0DeELvg+FH5zTbyOQLu0yy+Jij0yfBHq4nIfKSh6NP13E4gChVMr7gZOuiZ1sCpT9SdgUmSp +Ge3FCymMXUCR8XajCXnjEog2Q13tAOLHpnd+aiNi2waAwLctF2JqlY8TWNEFyYJJ7V6k/jzY4gou +jb570MWmwPo1H9fw0/talWBkpDFb5nPwY792QM8z18vdWkzRYST4bmI8FN54MPYYM93wGV9O2tMb +bMNS6S2mjlCWoyAJglApnti3lwolJ6CqfN/n8AMzHd9OcSEo9lx5EVsUIMSPmZgrqJdqf1WR6bhe +OWG7HrxoFrzK03lVF956Xbs+0AHoAzruugUZx1jDdhK4X9jz/7cKbAFeKdo0yV5wzHGcDehu8Agv +WJo2UhybMzqzBcGy+yDi4BnbCEGT9kJIoVldZPuJp0Qcsq2lWNI75DW7S6Do53cTgI1m6vBUErPS +2VpEOzR+Ng4Qmq0YvO4W5DBAcSbRnbTezKu33i+YPUpZvkgFCZHSRov/yHduE44MHEvApHhEI4RP +DWP7vPwBu/ZBoOVLo/D2q0OEEDd2C9aUxQVkaAngA23gGhV+tzXevP5Dvg9Lid9F31kLldGhfDW6 +Glr7sAUAXwOUUHNwWBR3/HbPSAB38Jio+ruqmb4rWcPDJP4CM1dzOUP+phIVzAzYzBZioKQObkXa +vjiS76xxyrOBri9XuD8gVc0TSTqU3t9WfLAYlW4GlQRtp0054ty1BW+9QwrhN6Kn3wUQTlLy7oHu +GS/IijF7f3Cz16Rh8ADc1qLo0BWJIxGuHXHK6tSeqjTkh3UJaz4Qj/1s3y51/c6FRhc6KbfZnD3R +GgQzR6fxJfccq+BRDltBiuB/VMN3KKXVRNbHHdwoyxFxuiConEF7C0xgV3qR5nD9TT1/eY8BsqCi +ptwzEwG3wSR5sLBn1hhN9hCjeu/2Snz7JAV59qvM/GWPJ0KZhLgVe5tXFgbsJ/pD8iqNzxpOLvN8 +NpjG+T3H7dKTfl7OaUilIIKo0cRUqdoxOXYBNtX1Ae9HWL+wkd/LUWUwxZD8a24Ijhd/Z8ehXe6k +zEENO0gNuX425vx3hZld4OIKDIZZc6f+JZt5sY+vQ1jDAMqnQnnwelfzSNhp6nmkPtG+ec3cPe3T +JYOftXEi693dFxztDt0/y9+Rvqip5c8FPeINo4eVQXd8eHQAMwq26v+44pjBpHGccKSyaf4ual/l +9HSBG4uI8yBrAx5QKLpFP3RlQ+0e3DOwpGyJEAGo/45Ne6jpz7ifDroMFQlK54YT1XYqdPwVpD1C +Fp34KX2uAHteQ2Mi5k1xhdEhaQyRLtSU0aC10AcqF4Wm5clXBt7ysTEyUBFvEX+vLEaSuFltyOrp +SjJ8XHznpWypaeydDDq0osOyNlVOYxpfZ+VDj5W5bccFZba1sQnPDXafOtnwaCMQ7IN7WUYdClvQ +Mj1Nsb9sIWeePoxsm6yFo2CYsZDU5RVNfFhf7QSfgNnqW3BqsaIchyXm1KG1kpJ+hpqIzNKOHGp2 +yT8rJ/YSG9w1RJq3KmMUQkfFSuskZ1w4EYIzf4t8A9qqh9I5ZaYeHYZWNexpxSgjlW36SWhYdzA3 +RNnbmu0dbjBHua8RCAOqnqioSeGnP+sBFVgGJhxLmRLyyb0PL34ETy/JkObo6+Nzt3BHqEov2xrO +UbAH9cqpIJRLNvpVlnIU0kgXQ+PZ3JM1Jv+S1//jle6orOdh+0pA8wrMDqw7R/KoCcpeGZUcwJTb +txJWOTOIjfzG3PDaMnZx4oyTLpcn9uLQNX1w4nAyppIBfQOqM/OkH4ivN69QrBIg/I2cCMxeSXYt +Xshh20rrOahVVkASXS9m+D1hchDOO7mfVjPbkkafAn8D2v3WSa/3PhfOXJTQuNl1Tw5LpNN83x9a +B33N7Wcn/RZuxQgWo6oe4SB/xmu2ThQToZ6ap9vcw1f7Vc+pBEzsQr7FQtJLzWVXj+juocqDAN+h +7qZx9YJ6oMX7O1/2ZIJc49uGK174NCq52clqYgPIUMKVqtYbwYCQNJeQqaQdF4O1BqGt/8mVxq5A +zioWMvIQNGIgAeBAfP2epHYX4y7/N5qSoJJEY+rm9FxzUZbKR8atUBPaZBPvOjqcB0g4wg0dLUi0 ++TCSLqcG5qT/g6PfQCCXCQADqKlTOEbl1bClPrSUn5y/uqoTWqtGi3mep/v8C5FwSTAl6jx0vW6D +E4D3ON19TfhrBBdeNXznZPOApeZXfPT1X0OI7WyE/kMBauW99HbXm6mhNsrF+9D2JMGTO5PWzTEp +V39R7l3wm5UEbY/RQ9tzbrOHw6PCqW4RX77qC9lEBEMhKKJobDekZQlGdcNMLhuTi739fs/snyPg +CTw5ls+2ImVtP6JbUYfG568uan2fDuEveGudVBktL8Zh0TsXrCAsQtbdLBhJf3p3OkA5d7ZaX+TD +H3rjclS+XfhgOYeFWG419kKjQDYRdznuO19B8gz5ilnP93VW4C7aoXln33trzgGMU7xypELu+RzP +VZntGWupZkHixdedqRlAK3qfCZuiS986xnwALml0pb0gzsQq4Sgg2/rIExhACCGIj7OfFY+cO/F5 +4oRBmJmYj8o5flRZQRV43qpYh+3EUUWpjTpRaTSYKZe2nFysy45TfVK38Yko8w+GvlJ8V7HMn3Z4 +vw85pDOrqz6lmuucxUH+SQ9xGUiRy9cPDBNLzd5uFmNQYbm29XdDMiisBr/A5cvpX4Vh4Hqcj+ro +bqPpxnSeiXtsPRppiXGHIX/4tF7HJQ1g5CfuA/enpUzE502dslriDl5IGU+7qqnblfsM8f4snqCq +g3Hk+P7aCF321bl24hYzL6NO6Kmi0DLIqk1Dri0ODAlvUljoxVojPZcA8W7qO6zP8D6qYi0ET8+d +ILzm/vZR9A7U/57Xgql7JNDQ0kxpT068pzP7b1ud2oN9v7PVjlKfii/3DLySN6bm/e9Mc8c6d0Jn +UtjNn3TrfSqL2SZOvupD4OjpCj6f+wbNGboED7K0gsS1Icz98RVYJnss3GyDnAlPWSt+Ra2k2xNh +vIBt5EHceDJPC2eYub0BUah3IA9aDM+XFfXriFKHquJCWRDJrETOj+i8EH1qElFw3z+VIVzeW6t+ +mJHEE+R+gN84j/ASmFWFU+EGTWLwT6oZeDzQjqfgWkh1IGPpk79lnrv7qF6+Q6lr1iq64FBtCu9J +3aYkpQFGcukY7Aw8Gyuovm6oKGegv/wAkcVMPuMtay/sZrntkYlNLELkw3Xfwud5Oqedo0OXswVP +L/Z3LJEs5/aLjSm0oEznkP9f1L33PAA9OYqzIsKtXKiH/xK6JNOhupgSf0S0Xe/37Oef266BT+Yj +wBNEfoR+ywtbHq71nSWlsqLBqSgzXfmQemtYm1dGaigfsMRIqCL3gApgatlcFpTfACvT7KlJ6dq6 +SYeMR+qBEEdEYCieI0aXqI0439yHWaB92si5UTtfD55JAYc2ONDU3OAGMF8FX8otew12+bH2a/Hn +n0K0iZhCnMTexokXe0gn9MH3S1oc78ofYkNTSRLPDVqauy3dOGP95FAAknXWysdVIh6aa3tpRKV1 +UwHQJDm5SQYj4Z6yk3kItxfKEjaq1nd7o1Qywaggna7zI8zssi617+jGga84nYSOLm9ot2g7Q5Lv +ivqb8fQLLZ49T4v5S7VQM7p741whBjlP5FRwUKVvSCUpIBr+dyLqmJRai7X2KFGNCwCoSBecZasx +4boi3Qc4zAQZW421GXMXbVLORgzNxbU9iLAKN6GW0eKa3P6Vj54V1btQQYYZYHmmetpJfRT2rlzU +OfLc/+wTPD9LOqDTEMl+pesdQ5YcybheoZBEu1R06wRlSvFVF7eiElIGV2cFpv+mHEkquFvO64Ie +g3iBjoS3YZTG2/VigWtAsRqqDEAhprK21PfLbR4iWQFnkVkmx2PaSgg46nqvnCa2KrrzbWksslRj +DtJbhzo4nnTHnix+QVymoJTmTohhf2W5d2buI9cuHLBGvU6YjbxDJssyExYO2VABf3ObFT3um4YN +BH/f17iNxvUZNSQ1xAK2eMXk1NzQzJX7RtXNFUtooAqC3KuOVsYIgEvI3IvD4XyS6xN1GAY4u7JO +TodlkKQQlWllMtVtEsOf9q3SljF5H3w2P0xVNr6Kvi33cIEm+eLfhsXhsQIxwxjwsjaROATRQYH7 +B06QPRgvZ/SvvRr6A2XHHBGNGRoK6PiozPeO3u0mBPyFLbVP11/aZgODF9lchCYnzP/6V5M6S9Cy +iysckth7paiWATKiLxVo7lgmueDG6UCWoJI08GgIwSX6UPrSu72bHlFUp55q1H5GlckGcuduh3J2 +nI6HvGySFgYKWtLE073u0FxDTd47snc7NiN6dwdyDa/dgujmYhvbeFRFlMmEnujf5X0aBpqu/kMj +6KcK0818zOJSjy54Vz8Oedwltlv9XPq6YKS9jW55fdgWeMv+J8NmEsFni702++A9W28AoHD1z6P3 +lQGNN/+x44lBcAQRWrcZ7xrbWut0tCgTLV9hrF0xEn6FXbUXh3dbbmY0ikHlFct5HLxUm3DRrPj/ +JGLa4y27gvuzHTML1+pVijpbLLABPr24NXyFHVOCsTULrCxtRGh9UwNI8aKkSvy1iya+a+Q0zeIZ +9LjLzlDiKQwGZLb3B974a+QGc28ZMgJPCu6+MO1Ejxx4hLw6CRTlGvmEqsTX7wSLTKHCEYPX1dnE +DC8+p4ykmFOyKSXZA3/Xq3l5N0nXc8orWo5IkxeMk/f062co47fO0pu60qAnML+JXr48a21STy2X +afPLevmc4c8XITbYL8LJE6SMUyPLVcJbCFMT3LpDG7I0Da7JAtqkg1uIw7rA22gcjE/8hismvqwE +tqCY2PMf/78mLBTyLP15tfBUsSpEJ96yqKuHKNPQMae/VS8iQUN8MQxw6NEnvWbqE7j93HAxihTe +S1n2aP4LiPU4V2nvoXbN1TR4nqaoVQ83xrytjvfgYLH7DQfpQKf/WBm4c9NvRXKGS+hXzuFk+c1P +KV+iPHG0Pvh8zMrS/Ia3j5VvV1B6WFRUD3ScHkYwIzTYPhcyUkTnHitsrCxzx4dUuJthEWS9cnaS +3G70l4LzgJwFHRDiFyMU70nKZg8oZ14SR8yp54RlJB7zTRGYXonuwk7SamOt8MaK/thYGFLNakz9 +0+OIJZCE+4Hepl40ppB25oUJJ2QIshANYy3le9GUb7JYQK93Q17Fh+TJyK30w1yA8GpBN975xf9A +anWv9bROlCGkLWrn9lSwB1LUDnvyrA+/52zUWOsKFG1R1RbtTz9FNpA6q1cxEsP0M5MmMYD4bUil +kl+EOVP7/szkx++FXw0rWEekqvoTYmYUXEoqXKdVC0EnVjpsHMsVL/pX65KdCqppV0hSbvaoYPkz +JLcoT/Q0O3rSxeIGtC+Rhl8WyAgqW18qO+4E9MrKhRUUM8aVtno4Aw6BhpKnUWRudFaMWMyfozJt +QR7uYPcRIjBrGXm+CjXgm+Fdc6Jlex11FKuDY/Z8Gn/6dvPP3NsVM7HjyA/jlVsvsbkT6x7K4CH1 +sQg48UEevsMCG4MNcm9D5qIclUyjmSSdLtevi5sfheB0fjBa7nFtJ1Va0uFe2HNl1RbH2RZgoud6 +DzCMqSBu+bnF7OKFFuLB7RvE4ipMvo0gw95K47a3osFIDYJZd8CoHRRiKaxfr0jcUmZDPAv0dSwU +9HRPwP9TTNYVmpqXJNi4yYJYikL9amB2o7D3/WP7UaV2inXWY6aDRHXAxqKpsFoJgr2VGyMy88gw +iV9gK8fq6R0nEZyqb8dTDp2a7MRXXfcQh6mrmG4INvS+ybBi3uFHKAA4Etey2VEeh5lK0yHwaiNp +bQMMDga4WrwwSs51UaGHZWvknEWNxATk62tv5A8MGbyF7sqqrJv8B2ZCp3nzjQNepPWmEhtZrcw+ +vo46omsQ99x8+v5ccLzyhPsG7fSvjyZp7Gi+olY0Ki+kKuFoN19fDBJHCrJEDiholSnxjIggBSFW +ZJBPh8zkzHBQ9Hb5MoIW3woajrrPHBU06my3qPZqj0UJa88t63fEsyKpwli5kA3sSKGagkbLg0oA +839xdPY45BxmRYKSlAcldvnDaHUbQlRJoGQvyIQ+qe2e+dOhiN6wf68twJ6NKIubAWMK5G145cjg +HPRIaJHIjNm1cua+2U+Yd8S/PwLkbfgl3+qWV8YBdvnwoJBeNOnbIM8cxrgMejVaSx/tOAlfFz7q +iUJ2EgSBhjXvWJyd/Qq6j9kHxWD+cdMww17xBXmpZSlyP85dsOS6iPlM47RnF/lhgPYqUVaxO3sz +bWlTc+DUAUQfb3yS33lY6E6eC6FTNuCdveg+sXKlX/hd240DhX7jXY3psIQEuedk8Jwy4qdX5Pvs +CCKByClVajXi1+QZHXmaOZT2/l4JtsioAlJG3Gj4cBEIBZTP+P+6ALTvtOjTIq/6pOYKybOiEa5V +sUq48tw5avRB7GIsOfLuCUDfFWxnfI69sHxcq8RVHvUoWe3mFWYhREnp2cCCZ4jbCGE2VimhyQaL +hVde3e3qk512xTQBfdtZc2ztfPwKagozHjxyI19FyUauoRd+g4LPWf/KEk3zNzvtSItOnJOWjl4U +fF8Rxf5LAVdwCQbSv5u3+7VMrTB6La11swXryDctx3DDcLFc8QQkmURJFuTjL2TRCLB2V8y2MyPi +OawDHQqRo39YLFHFQeHTXEcAl58QA9NLyxM6zSJy7e0Mnp7Lr6e2GHR+l+91IxGYjT0JWYKnxRCH +GUTaAEn8Ko7gMXvT6SNFWpAA7cOfga1fAele/ZRkyjR50iIutcmzKPy3WeRyXP3hx9CRtQPQCu+7 +APLnjIpr4+aPxNXNzvHK+1g2G0lM//yMHU3mK92N8/1BlAEssptNpc/D8SKmP2XaLpNb0L94qI3W +38EoO3VtH4DTlTzVZpGdwCQupzF6AawA7eampeXb5g2nkd1sEz4vSFrGOJr+nygwDd1fDESDFWNr +cqePPFWNIfER0Xfw569IQSmbs0f3mvOkafvYeDnGjyeMZmKGUc1XwM+cSXqiZU66c6IzlCTLZJWa +xWBQU1QxJV4d55iGeeIj6P+Oip5EuGdWzBOX2Shxw28LIZvX1l9JNQWioUkPhCHRBqt0SWeqmHPX +OK4YkFXw86ShtoPMjRZkm0Zb3DB+OWLB2j3BhHoyq0tqEUc/eipRWG0Ll+54sgEDNRuTQaTNtU4I +A63fd/L0d2DNrtkRTpoU9IdFIHOcDyjKxZAhrYGGBpPQN/QZHQy1bBfU9u1jFKtxUvTQMMDeIUv7 +Cwp+rFkr9SEFHH4nf0kgTwwM2dRYq5kBvW54TF/LrzB4iDmsBTCo4eCoS/SnHpQ+iLm+1fvyM1Q+ +t+GfBfLUbB5BHuw1mnq1fEVw/g/JDrrIgbruZc7nnLmbvVWq94i/5bEejaZAZUyu5LgzohN39GnB +xg0bT7lB76sX5G9ioygqWJNtYnRLPvGvKpmFSyTUZH5M/xvHQiUO1v08APkvvYaJIe0xxtFaV4Zl +/Hi/SHDKao8kgEWobdPPdnNN7PGH1XpSmgQMhK6o4ksgb8aXYqOhRC3WqadVPefhvsy0X42gATrK +HNAgbtkQrsRWYE3+jwTejFzk6EG2g+sR8T/eDfI9U+iqQ9YrJpBONSKVS2Jfey52BE7eE8znm5ro ++WuLMldzJEpoLPuEOPxhGzmwp4S0qNUOgHOhySa0ZYljOJD/0e25YO3U+hIPyIkkDTZ0MckfUW6O +ELAyIhHqGJxYT87RRqXOAOu1wnvfT9TauzDiDjXXvG2+x9t73UIIyc3w3FqyaDTeVzVaUfRm15Hz +6t3CzXC0UWUWzGPf9yaFJj/s/cRrp5cz9a5C4C/putJetUqa7NsGwQ9IGl0FtO9VsCay9D/B7v26 +Tuvk71ZSSou5p1I46gLwb3QjX1d5guQQhLv94KbbHr8RGJRlaq81mwQjqLh2HS1uQwBAV3L+0u7B +EFNSCUR+W62DErfhrhjjAEl2LXpecNDGaylVJe7ylH6CCOsh2TPV5TMhZ9c9QCK5sg0Djrq7fxVo +rQx1i/bWUf8ON038zRNbX8t16QK0N29rcXYaWv0CRvBu+7h6cbAjbxwAKgotJKKyK3rllyiVJTuT +atKaGS5ZqG72QfBDIhap5ptBhmJbofy5Be1mf7VI4SGioChxwg3uOWsDZJ+K9kXa02nlQk9bESuE +dH3GOtF7gEGFAWExIQElhJ/dCpAWwW56zkMr6LdQHAZdhbdjURQjWbJZkdo1wslHKL9N3cVpcBTU +bieGeA8VQWy+PliXwPqwKjVtkUgS2PDLfq/9v4qsuwV0EJlsjWoJPmHnKP4nncGE6qEbmJbs2Isi +mlkTiNvJ9yWFRMet6H4bMz8BUqXtcla2ui+qQAUZxKoEQ+IPFCJTtiZ/fpFlWvdWsDX4dswo/KDP +abfhedHqevNxESaC22e0n58007DYc3LOj8thQRf5NLLwFb2xOwraL1c27RRKwwZnbSLRRqItYwiS +uGFt+A7XXaGD1VM/9ZfAauLdt+07CIxeGke7Bj0d7faqwMNDzEBp0Q9fhLB1nY+LbLZVuh6Q93TJ +Jly4chEBUDu2JQzjsTnK836vvNnBVoSR/nvv31z6l+sEejkM8mm/ER65yBHHtQ7vhD1OEWjvNWnV +VJdtERoOD1kiqrCjxycauSvc1huUF8eldE7MgNzeGLxKk3wTgDDCnXLfRwLJv2Svr9pPjjQ0V/DE +gGVY3hYHt4l+k244FVhGNCgAfzmLG3Bn6ZzK9AkFOd5okk+kQ0a34tFCynm+u+0jZYOL3JBJlR1E +/QRY+5GIGuKTSj+RyBVg753Oe3VFNihGU6IpOX4i1nP3O3WaP4OGsh9F5J3gkWAIVC+eUHcgPwPi +3vke/mCQldvmsojo9ZnlvjsDT6KqAnt6AlQXUXCtrhg5SCM18ukSWBsuzoqNWfH3wWGhWQ6TgERH +7e8UEMLXzetVDluGQbzOi3hGsNYEAuOUqcs3GQYerXhCFHV7XtwwFYUvxp9xGHMznyHEppPvpAOm +vR/pcNB2/Wcfls3Ui+P60V2w/Lpfg8ySa6aJEdKyPYi3D7fN2QL9L686FGqfyb2IZ9JjSMgM20un +RqfSKpGXvKzAiQGrGYkIDH5Uky/jr4jVgqH1Hb80yt/fb24i3gDuUly/v7zDQOml7t5jZyAyT5Mv +CzFLpoTdFS5tZY0bpLR1obZFsGpwhId7DFMGVV/gtiSDJR08IhqBO9wqFr+q9Ms7Ua+l6tyTFsEY +kqIUDv3IOhr6uviT+VoAAsgBF5Tbn3IEtO1Z1qdhXwX7F8llMPYtg4dw1CiQ1389BKgRzqa+CJjy +IwImiJH3OeS8e286TyHXNAHMqD3Sp5JUNIXyLIsudUNdtFUKbsNi9E8zuLRACujAWzbCyku/kajE +kdA6845xfphhJoPN326/7pRoKvs3FIxixHF30Uq7R7lvzHkmuvGjrT/9onnBDR2nL5Tm82zAONST +N8WGLMc2YrmoFcK8XvTGfCd8QQTOqrqLAB6jwB8FCGH52UEziI0pTWMNElrMq2ZZ+NlvAp6gI6Yh +wvsd4g8gLqwOeAb0cH9A1Gr66pxfug7VtRXTYGjv0feq/amrhiA2y24U3FPIEvPEzkUtZsg20fU9 +n1ltHoS3n1+ntp0nrRqrubAXzXKWiWwaGBAfERZXIzN+N6ShnB1XBU7UFjZqQVlI8d7L3AItpcOW +DC62wsqv4/uei1VDuGvZRHgImd8h2tQ/XBGCNWQWzu/ZcTqUFxU0NHlysgJJ8wd21wIV/lDW86bl +V60J4QDqTmsVPCMkDRHN2/krohGuOBjPPx2MYKKkxouv69E0zuOGl9AdAYwCznrE0Y3haHblMRQy +3T7jKi2U+nclscxaKpwiZFPAt8383Gx/+iZ6QdKLSb5e3zpRCIMaoc1u1gTCFcSUfc08jjqamEET +Kl7xL9kDNRQYNc/PuRhE9bAEibd3A/BRcsIJ5d9kFZYbTjOZne9qz/teYQlTaSPiwPxNhnBFOhnC +555xrNowMVQVgzEsfuY+E0PKrvKLdryu9oEkiNFvYA4TaWm7Dtt57N5zUXuFfWNVAA6hB7cY4xGW +uB/HZObgWdW2Oz50Y8JbIhcX/W7FhTBfMr6mNdcdjTDM8xSxIOv/Pl5B/eeXeg3L+HbspaioEVPE +8RbmtMEEWtT8A72UBXQeOvx/3Pdc08I6WBTNgyfzw2M2v6rR2BttPtTSdtuFc64u0aEOY10m8dcB +rvNX8RIBGtRtBONRzbJFwPQc59uLJvTTBUR5XCRdfKwmclhakPnareHLx4RWAeFU8lY3wA49ee5S +mAscuJyEo6Q+knuDcW7IymvgMZ3Q5CIUYby+j+eI6H0Xf0Dp9AE0QSXyHnTGJC6lxasGSXsn7gBg +vCRsoD2kGfBrPRre17w+RH9dlaodTtUAnLk5cvmMP8QxH9XhfRIazj3A58CZVArrx/bXWcWc7YjL +7CjG0mdis2WXJaZPYNkDe4wuxmFMc43EwdhQkWVJ0O3LijGE1jyFcYL4tfoNTSY6e2iKJnJc+mdv +4DWRktnNXgrZtQwOVkX+DOEwzNBqmNxnvOl/UxWDeX0Tq4gI5gjsH+VNl4Xt1F8Y7Qag7kz2pMeo +2QdMIMeOsQQib876bLV/yS3ooUna+4jpa28sMSf4EWoOKzJnhGfBpO1OGw8uyYa1jZQQR8rgsTO3 +43tpRMKj3tSHJrzd2mTTw+Ns+sINv7eRW46IKeLRJ3budLcxtYNX9nuy08/jPcPTPNe3Kpe/fDs4 +6kkQMnLw6ikHDXbKeOmunOy8jQQvYgVGPeInpqzlRQD7ppU6G453m89Tmna+0/Vy8u5nizpohEAV +CRVdcMW91TBUyYoOip4u3ElobJB5JcDFuDEf/EpCzuxFVpUzWQOpgawAQRVzlcIahu3+LSA9k7wo +RoO1N23d1KsoDn6PPSFKJd4sSuyEZTQacIl0z6LDuxCs1yHdo60hosaLJhdEwDYhbULgUEdTHDor +XJ1z62zou28AYYwjkORmnqxRARqvYR4lG3nSn6NvRPVQrtpgyw2b1h+e62egsvGMifW5phmLAl9V +McF7YeKR+avTJRBAXX7XS3MRwoQgKiUaCxdSP9lAVwxW5S1lTAmWIcMYccWtXjk1r8tYohEdQzdm +1mLh9stUYscfgqywUfyCepqBvqMROe8nzDuue0ck0yzhOz10WUbq7AhctJP1WejBxqto5Gro3iPb +7C0q9P9lFFSi7XWUOguxJoE3xTz79CoCM06ObJzLGbn4RR2TPlYkv2xuxuqwdTPOMrdzAyggKnTN +iw8GCN/v8Z7a/IX9nT2o3DYgJcHpx09ygZP/drJRspc3ityZNNJiRt5Ne9cSiPyetbsI90mon/ZX +tNroOmqUJCgMKZt0nyoJJokVxUm944O+tAOyKZOImHx8I1Id3NroNjPSiCHdzAYoWLDRq9A9zccA +nXjlvJHAKDj/+/WT9cW45btUdU8+hZZV8YJqTlLfsVFDIjvGSWxgxouoMbqQHA4hLYIGDRjIYoVw +2WNZqGtH5inNOgHtScW+kIgNZZ7qyWvnB80ETmm5cKSSRuIoD9IfU1dLh7WBFfvwRHajzrgt2vLl +p5qt078epBGKxVFiM2OJUIhRTxpZ1ip9eyvBwpDFcs40WYts1pIqpLRB77PHDtdbqmxc81kXtagI +LhfTv7SPia8kApDjYKNTDSLdxYGe2We7YJ60Y4tns3dbLy3r4rs9KyQpmltxk2crG4dwKQEY/dts +nYj5frCK64WRMYilbMGq3f4ppNBIJkUOKpL6Hbg4xSupo/OnbdO/ASouA7+sOl7UULfHFClm4FdF +6FpXGUCYewAhu+WOVIyrpoXt1kzZiM0sSN/HJ1o43iWnCahAWD8ctHo6xwU8mpv0SYKsPrHnYl6Q +in3ncH1oHx6DFIrvr31yS2riUmcURZICPqI0qQEiOLeL6ItaGl/jGb9WEJr5QCcBQvCl9K+PefT8 +9M0AtZ8EHENFe2KT4QMoBzeyNgQ56YtyQt7/LwJFhiNjTH7nOj1qF16ZmmZ6o94Vfafh43psKKqb +dlOaVD5gJMne0/tLQbGGux3h88Kb8Jg0pmjv0Q4nYYuKolZ0e5szyG2GYP/u/+xupyjVZJvo8Dv3 +z8l+GXTqvdUZTzgbpI/w+dcGEomHRFBG/2Ssfe6FGQBymCq4eJZxJYz4zvi+y5yHTRvcpsBSjG8f +libr6HWzunPYd1hDEP/aI9I2KIFxP9EEB9MI27o9mDZ1FXTLiTmKaVu+mFVboSJqgWuX+pN6Rkt7 +0RYMbVFPbXoNeanUPPCVBD/Ie9GeCuxm1UfBwc6gYYy0dGYHfcHOcfX4X24H1FflIWx86a6PsnPl +vtMrilMsx6vbysREyMsyFSdoMo9jNk287t6sjUFePvQvT0a7HiPtY8dlj8LFSFCWQXZaRtPmELMc +3blx5dx7N2YFIFqyLmDRV+vrrHWdOoiJJcHQza2BiPZY/QHPqqNo8QEEUKiwboCm2mDjwkVcnLO1 +VZLmggdZcMKhiAVF5fZLfH6SJ0s4HaP9bQHz7HwFCV5FXBGPe9C/IMhfk1eBSlDjheACRvTPL9zj +rkgIo0wXjGHIRAk92obJuQ9B+31wkakufAGWhbuRr64PDxx4BTOS5MlA4P5W7Sc/sP6F5RoIsvZC +kMKRnVsst5F3Bupj0uxtQ3TF/sCwaZKG6YGzloKzVKP9Wk6DYE2JQy39CAwdK4Gm+qzEYVsVUGlL +9vG+H2fsLi8ypRmLoFojsYV1czUn4GiA6qVoydTvOhLvPZI0xHn1CZ4GBfxzEfrrwtERo50iow2z +07Q9l/WXuOXuPyxXcEQvomT29StTiE8wv35NeOFRkkldHcukGT8sKeeXFtvOEpmyCEdDIqUMgDwS +O1A4wgieg0dCj9jvMumEbqLdmMhDWYnLpylX/44s3F+sgZuw2rlVstmZHI/fpeVmhD/TSS4uZAMn +2cUvq/ZsD+lE4iMY7BxnE8hqTsrSe7bo3Q4VL+4+yfn6IT71DfXvL3fu0dIxPuJTio2KYLRsfrKl +4JHnLuVaX3E2OU9Rq3VBCt1gLdgjcwthxw2A+BSGcBqeg7M5sgGhC5Yt7RqQPVvi0ieZOgnO5k4N +mqHu5nx8UKMI5jHYXPaKVXl7AQzF/hwOy3nCLOdXfFcG6iJsOVVBTw1igoTQ0vnBnSuxr0yQowoD +SbTqnSrkCScFn9jNiYYP8zAGiaEpiYpL4vlQZIxv54kCHRWg+ndpp0wdJ08cLUsBMCkU+l8BEtJa +KkdURSTHYRECXwP+Nc4iqcbrypGxn0YTHADXqqXZlR6/od75WBZJwlAgYaqRZgObOMzvzlr/6b4M +wHdo6FMBGi1SOjVbKWJZBRmgtzMukhFH1nA6JDjFDrcSO8yGsAfZ1LXa7IBBg3dkqavMMi9YjYJ9 +rg25Mj7dstRTK94YEEcDOAkmQD8/6+wW11gpe+dB8UWRtRt3GHLUBHS4I5QEUNc+voeyyCLbUmz0 +Qf12YyfBF8sCEcLu7PO+UGduv33obJeDYWSToh1xPUVszNaRE56rWebkvqMRk4hdKW0RKhfn2L/3 +c9lziHtYZUK8IZqYFJR6PcPm4eTjWA55IF+hmndbnkxTINiqx6X5eFGorIraKGXPf0Ke2uKWotya +9g3ud1k53bPsy5/BXNeJEnu1S7YLb0B3TVTOK1le5q/rK7ilOeDMft0vcSSp+fMtiUNEAcxSwzaG +JigQzyU5GPqW0dqKGdkho7YitxDtkNd9srleRxHtRO28ZrENgFWkTncco868k68t/oDKjmaYr5h6 +66ASVmXyfVftBh6Z+1wf4LjM7b21ed3NgIQCLhdG2Jno4IwLd43/EY90iHS70zYTMrL86fKIXDB0 +bA7tMtKjjAKs6n1u8xtzh08qW/jSUEBONYv1yo3PxMqnDFt+CnT5qCj18p4RB0UtbTaudabE6i5B +sosPK89w0kD22INsdvCumZokkxGUfEXXnEcVyJPTEBmrEPZkH0XvKWLc1Fzx/hjbWYPAUI/maqG4 +6QkVWhCix/4ZqgUjha47BSWh7kHju2DX1wDddsINfGany/bUG/IT2rAaT1LB0+ygE3eou72nKDrk +eRxy7ckCH+ByVvAkZF3o0GW5zPOmHG6+34kcQvgqRO/cquRZO+2RfgWmld5vX7qYhtrqHMzG69RA +SYcrhn4DcRCUCWsH6lwkPKpxv/km5fmcrBuZtZNJZwJzVjkerMSkex32e7TbpMAhuiJiwqx7A78A +hqYTcfFx7qKXvbgFOPF4GMVzsZVxaqmCDiLriUPajx97CNjUG/U8q12XQg6lK2RBEPbqIFp9SXf6 +vp9hDHphtrt+hjwXXq2ehPn2fo2XRj8qD/+1fgn9eDh6JQCG2M+2KiO+6wjB8bBCWgevs1L/eysJ +F/LtnLbHZ/GQ2cQLNjc4mK8i51OB5YC+y41gxQf/XdeU8pN4i6I1qsfhQPhaPQWPWaDbcwA1YJWS +nWIAHbPwhE1UAinQFElFvjGPl/3VvaHUzZLy4U/ZEtJYojkziIi0KB4ToKamRbi0qzQJf3B8dCmR +8E+K+7KqdgTV79WyygVb60mBXSt/o1MgcbbEYG7vqIqLW9HQ1oEYQqorEdtQr7guiuezdMdyNWb/ +IpKHFJlDRfdZtx1nUr/7sR5Nkw1Owe9t9lO4vflxUEY3nOvN3q8IzIoQN6gPyW1B4M1FtPvpuISb +tn1W9FW6QiBFPG2b5AyC52twpQxw0gNxc1thhAHLVyDO71KZEDYCHCIYzp4bAbEFb16cLRHqM4WY +wg5B2Q8TDi3huypW1hm5HOa5B3L3/09XxBIrIcYa73AFox4YzLs7qEq4VQODgZEMZucmSoBEx6uh +2vzAjcrcCjioOH20Ojw5bNDhrQnZLAwpuiXpf3z8i5wRGCzPY78r6UQyEZN3dGQ0+5ijt/6p1Jr4 +lRA1Hl48Sm2ztbosXNkksZgCX+i9C2FStNfcbi6plGoqwo7esZLysOc/JzLofUxA63QWbHHinhPg +4kYH5lqO+zB9n+8OAfTJ5ECN0K26n7alSEBfb6G3O15nHGvHvQbq48k3dnrXRxFR21EAWjQrv91l +zcB5H7YPH9zBQYfpKg8CEvc2avtwub5kaoTIDeJZ+FesePQcgQtACF+nLx+4bQ4Arx4gJbtymovK ++76XTgtW/Lr382bOf9azMb/1aEnKRRVW+EPvV07rcso+FXlTYqe5SB7szu0+n93lvxw9a9ivC2ag +iqOnYgk6bH8XDcmGMg879lEeDPYbuRnZgwAaUYog0rIFLMBgDMQPWIxkCdeVnI1fWNk/drDehTJL +wmoAC2u687xI2go4cS2PExmFak3dLxNCGbcB9wcGq1N3rJ00ItuhwWrFSsmyuQpzZSjXlDG8b2t4 +e/c14Z+LyTgupaSwJGv+2X/DqJ0GN2Tj77QH9S84OtUsLREa0CWa839B4ABDseF6wxiWNqF0pJFT +tdRqgobcqIERdw9xaZ30DiXHT0cnijPIbHjsaDw3/f+Z2krR4BJ50F2Q0cYHRa7MJRV8vDd2glEe +uHccWIKGSAFmmqxAGV9I7HmwspzDdfaMtGGzCSLiWifsPOueR3WPgzCEk8vTmTFdbberILOMVqPV +33EnrSYe7LkL2PR1PxHYNfUlTFsJVIPw/ULdDLoH5Tt3T/fsM11UvyJFp1uWRa0SJDT48v+PVfDS +ueBtx7SetsSIqnO+PouYFOQZhX3Vpp/FmGK6tr2OKri2bfFt2OON0P+33XP19lyc2TL4nsfbAxz2 +DctvBrDxkiR2UbkJ4TGHGQFrL5/kmDorp0l0t5nFmEZIGcLcjnShkAo0Cj7EjYDKiix86K8eIgH+ +kQRuUOGG94VFZJaL3MiUGB4UKH74r/X9blf6Acgus11mYULAmU5G5dQ3UwzLySKj2BN4tpeN7BBG +CrXY8w38M4CwoiOX8r0Cc+kSvtcq83mNFLRR1QICxsGAyZHDc41U7CEFKoO1Ktm+TF+/5BHMFM13 +K9+2kTM76bq29qE6UnR8cDT0Ib6DXCXULvM+f12odiafCG9VQbK2riIpGf+PkJXUxzoqd9uIAlAd +zyO/S0oxSOjymo1Uim/TCQ8Ev5FEc1DC0R1W06ux0dQEcS0upOx7zIM3nexNPhmYu73X8n6ms1YC +hboe3Vpn5CYKTleHE0t29eZTvxZrCEI3n74StFShvzWEMslUFOKGkQDGyCakrJLAca1917r8h+zh +YqAzTPgkAxenfq6S4944VfkgC7uh213noIejiHJXpknQyWfFwbGoZn6laVitjTzFjb7SFMO9fT9B +cDwpSU2V+1BoZLy5pIH+0Zef/Wl2bYzADRwvM9uOjuZ/KBK5DdayaJsCcgh9Aa1ruceWkipayOAt +cNRYYiA2jM7AuFRfFE+xz6bFoRw9DH4R0tflJGB7elvTmWgI8sng+2u2++Jj+krq4CVGvrqvP/Vf +Gqa9dTBt7MfeGw37jYmqQfqIFvUsbwQMh88EwqGx44sx0PgAHK3PeiR/0zqmL7jLxKIk35zzE/e+ +a1tI3lNlNW0GwladeOdOU5/Srx4IWQho8Wk32ylh3Hod0+qsnxAkzxEXvw0d6kfDnIO9YMg1OLLT +QUPteppVSzxJr7u3HWBLi4UYJuteWj8qWdgKdnYL3k0fIabdhpvDTKZ8qmwiRYOrW6N9wgvdyODo +0/Tacat2EpuGNuY9Rkb7AqXiboa9tm6fS/XgF37frOqROF57maC23py7FmtGVHsJqDfRjEfj/JcE +u5vnA7k0ePusji2AEpWPdZAxn1+msgppXEue/JB2m88aDrmEP/H5FSBgbZ/Am8Qx4QCvOIoFnbIX +K99m0Pv1FUVCl4dIEoTNVz1V3N8OW+qhXaKbJlxwId2BpEwFYBWyk+IMOTyevfpcOHRN+3DJ0Nen +Vna1gYJdR+8NsQJqvwLD3gOahYKIc4stIJX50oF5Oc0hax6PTwBiwJMc5qSV8/YpL7Q+pnu8Yoo9 +6PmOxz0COd7Aq0Sks5S3/NYGIISkkTIkcJc7mTukOxd76CRb+hyGMm0QTHeD4acNFVuJAvdSoHlT +zd9kj2QjQLgbQlnDvu5Ya909mM0FI671DAcNnggGL1lMWIb+9uSTjsReWiG588bi6vw8HD6MC1Rp +GXzGMBd689Ipg3o9LERt6jqcWxuOiDryuH5Sa9DKej+jx4UyS0nnOVS9XAaSNbsld2vM8NUHzeQV +AOpOhMBGKHx9Bc+McURYqKRe9+1Qo3EeJSPxvm/+eaeiOU3s0syPq9t6s6C5GjtSr6pg893jCwFP +v4oxefHFBXrJ/OUSi51RLIzdFgjKejpwrreTxBpdu0sdV287pdkX7tncZDY/h3KYa6Cn/BwK9u1R +U7KfENzAAh7TCIcqU15hG89MOiWnswx8QlZ52AjhnKYD8NYRp0kINi94oiX9ZizM18kD7x+OFTMo +jdN+GV2giDbiUMNdG34WbkqKQNYc/eT0Hvrc5f2IK6OoyJrgjMDMnigQqYMiRHoVhjM9AijcmXG3 +WjgENlB7SaMG7qnsRSwG93qPJRzqOgYHV+Ca5tWO9cvAEkcKMvRituLw9L+0+6jOiRrOfZVOtcso +dU6ScduUFcplBaoLzyzbFet+j7pByu/XqLoqT8xUPizHgy20LDAiSkHKrXrLL+CeN/ngx/W7My5i +rOMWofcFbfs1luVklBFveXthLTFjRsy/bxkjDz5913qDxHg4c5bVeVRKleWZmH5//r7RNUlMMEDn +1QPHHdGpneCYnSrJPrXO784RdRpJw5JLySBQBdrhi72W9SIZAmEm5R+h9xq1Db7uLSAeHJvmzxyQ +y89HH+Nb7aK9Xo42qOm3yVL+K+OhJVGXHZMl70H3cakqc16E6gtiTaI4XbbsUMIVZV2bbGrJhmbv +tA9iyv3lWovfaX8uEBvlxn3oK3vy7j9G/ayj/ZRFJ6TeMGRIrGC+vlu1can6QfbnPq6hYOA+2aP4 +X11qNPFJc3CYfu3no0usSKgREPWbLp0I0WI4wrMNe1YVwq3doE1+69ZafbmfUTkluP8PoJCSFtd+ +YTCzHQnwX4B5SHOb37wrNo0DADbWWyzSJy1mtb8Npyibv0yEidkix1YO2YcdpAGkRAMsLO3CRprF +Wc4lSg5VGs6cWfg9g3wd0jY5QUF8FY2xQ5PIgeJPKhUXCpFtwNrhiziBNpxawA+TtKDXF5hqavec +ae/koy3b9Ga3c4YOwktyIx5J0jPNRFdVlMu1ZbMFBCyrdV/jczxOkGit/wmEiMVY5wJJaHJFEwYi +zU+pZbgrQmsMjdTQcZ8TJp8tKK4Gcsi5NmWw/HSh4mq0q5S37u4j/T4YKjYjhMqUeTnBQv5QAqCH +ES+BL0lrDpvApYILlXMuvJpAA86prqtTEY5pN29vJx0Q0638ilq6Xk4/0TOJlkSDkThPCLZYMjR2 +SPkFtFqKgjhCwYt3n7CGV+uAW1bCxy2M7i04LDAWAwsWup196RIL8tXZ/GJNbs/k65Se325hGGCl +p6U2Zuvrzh/zdJF+b3UgakgYv91TjfqyK0/KIb605n/qjGG5gtt2sndlZ0ihWw8Mfu6B/mHobY1q +8v8xwygcQrLg57eDdzpIObzIhs0nYBnnpUVkk22dlqYZDcBFMuUhIZl3g9fI0Am75JAwYLtLDMJc +J3IG8M1T4qRo+NNk/b9twj5exhc2TSydgdq/g3UK+OJCC6NObXYt6vz4LkXvvoNOTp9+gaNgz1fQ +tVZmvCFkOdbZbbhS7NdldynFPWRvHbhQS3Uef65NXFw8L3sPR6zcSccQE8nnbV1H6r6kZS6clQN5 +Rm828VRXDgTSvYh8HC7qOCT52zD40FhWskXezc2Kj+7Ir2UQA9bMZhU2vRKL6Kc0Em2YWqmkeTkj +cfSc5ZJiueTMnDzJw/Jdo76D9yuKRfZ9WAvD+hdOhB4OGb3lj5c2TgDkW3lRveYs0+ZK37hDzXMv +2cptcdti6IRO/qrtIW0Amv+WPRvsypZ75Hu57gB0UBc2GL38j+cDOefyjmb9xlYNarLavMqciKbZ +UW7lp2yXnzFd/ytwGncJG4+GG7PctTF5X0fpDWcKC4F5Bko9awSiJyNxSZBMkONN82dpTUFUkUGo +QBDALd+aAVPXm34x5bMYDYA1opoxkhd7Qy796RJME27gy5AcvXHbn6zFfywi3mIKYjvR3/lj/PHi +AIc0pOd9oF65m3+r2dm1nuYWSYptm9gxNVlt22UaVmcQP5N4vG7vDWeY8EaVaoE/rJiWQl9Udtp5 +/ChcrY3JrA7yqJppvgIjLuaSpbTiu6J8J4+ln/Xokbc5B3t9/9yVwPNasuG2bS2RDlesg6liBJdh +RZaZUchTIUCJUnONwZcwX9bYz/sCNUtAlYbE+dEkm/D5ODL5LpGKSfL4YczDFuEzAFjCveUKF2bJ +/pSQiQz7S4Uo7KprHg8FDo31ych+NNphwAcVcshYFyd9RP0pD6m072EQBdhYghDfuhKZqo6yynqs +iUnfZPQOnx9srYyRow/h8RJf/AhC4kwbRr9XH4nfD2M2d8rCoJE2uwvi7g5XQ2JlstbdolmMdJ96 ++5EqCz641Dz85YflgYIt07UJy5DFdcYVOYR+vUoXJ5R/6Ha+4rjVWVwAXsBbtU3GCv/vS5X8DcUj +4F5GFrI8Yxlk2XkhL26iJVAT+DHiL9+tIO4rCpqEq36RTNp0qdM9I8FHhko5geNlpZ0IfcGumFhD +5ui2cRpb+l+z+HAUOkOYqftERsM7l1pPFex5zWxd3hny0/dwyDWoJ/UrwvIpH4A2eZ99my8pG8Ab +vwUZEj3Y6HNYU6FIhztXDYqYUSJzNiY2XM7bTVMqiEudtfnpKT7oa8+23eCsq0g6qPmKq1QfKUKC +k5/E4mVxdo0lUuuHl8IZgpSJGpnqFUVZBO+W+6Ppg4++6za0bU31riABLW7kpNPTg+/DRNr8EJGX +hxHVg5xVyvRpqWZSez0spMqGhgArAQHdG48rhP+6Qr9CU96liCOaeTYwj/QO9GxEyWyIivxdxSL4 +WtTOzTkJfhA975atOiXjrsL3jo53iMbmsK7JpIi1DUVXMMKeLFPtdn6kvaNBYLHgtiVKws1NSTPH +SBjPoEfQ4X+df6Y+MP6vx57sOR8t+AgWilRyE/tlnRtgnqJiRHp52bnDRI/x2dgZwEDmzHEqahM0 +Cc2hJbwmNamwjWFeR848qJvwyd6GfFyFrVMUaiCoVN/T0rCh6VahjTu5ZgSA7VzwaqVYa+O/Fl0O +xyMZX7uRZ6ibmrFucWHSaAl6vkXL2y4p79kH2JwiAYRn8Ax70ErldPKqKEOiQ9BWhnHVf1w+KSIm +BZo1RU9cg48zJquAcTWzLIkrs2VXBgZVm8za1815mecQFG/Ua6dPINwMYt0omRIdXB5TzT7Yx9k+ +ikBHQew4pVrNQgZdkG5ff4911hwCQiMF5RT0RklU+xgf25JBgCGfnlpBQhq3xFIJTHO6S5+apRY+ +VTtvTf6SwDgb5gZZ9u9mkxk93/25j4VY6SdSRrYQQpye/u0WE0vpJYOj2zLMDQjGXeTpQK05MKBP +RCpPp1YmQMvHcf9T77LhUxxIlH6ZUg92EDthzqkU/UPEkzkdaOgx92KwMZYsxGdftKoXBRMzx9XU +goX47sakSm5ytiZlJcxZs9ZcBPcIO3s896zctJxfF+/Zk2uAn/YuOrm+xoceeFEzJF8vntCoMxlC +7GuOKHmLu8qxN4BLq+xYmH9Pjw0GrXNBnnTUB9wN2G65buKawvZO8BQ/G1uJU+OabQoTKAngN8a/ +v8cYJWx1EGA3tMSuIplqt9oqAUskoW1LJbBoS8rkT/ClvfkSSTH6hOrr9TIKN81Vkwj82yIxNSrF +Ga6ACh3WqdsDi+YjBTYPdEYvNhnPGcW0pTogGfkq/PNeR6qNW0Cc+HUo4aWv7/vPXUu6C8VrRcS1 +Pku4TnC18igSNa8x2maP3NN6hLI0lEUrf8jYWySP5TJ4Qrg1324muVYgrXYaMrGBIUq6GHiOTGwH +or8DB+HrShv/M3APS4leudCC0pMTPtXO7TNUSn98vxAP3SC5A71psf43iALjRKmJFzZ0uOAmJuf6 +4ULgoQKLjAf9NM2TdVJseLcCEIYKilNrPalUCL0x62dyQycyqX8wPAeZwA9/dz5WiPeOgTTpZQNN +ATCzm/8fGaILdVFRnBe/QCMxZ1VgBMS6b4EKKzD8tSchgM3Abg+afzCbuwNrgc4iLbPdcQsEuZla +YZAmfbCMa2Y39ILl1cqvQzlRAIS+XbRgs6lgValqZQXZQTzeUMmQs+7ke8jPk0ldW1GUo9f87Xk4 +EPq4VZ1NVT6iCTs9nJ39zzhgVGeovv048e1H19vtNzrLOmj7VtSzSPtyFe7MqwLE6H85nunxZDFN +jnbNz+OyjX1usMxXUfIxbtYq+DfhJOx/geVOiN0EPYYMm41ycqoEqgGJykcBIU6eyYCo8zLANWfE +0HDoKMcDC4bP+CJDJ3447a8tqs9KELpyImuH4VpsvIb9ts1cC3NamUUiKOv9Bx0TxknsZGJEKi2b +XtrYUodyKIHPmVyQQ2k/KK9h0qbCyBE1wn5PWEDlG+8RlIIl2Rj75G6mHTlCuxg5p7yBWkk2PsXy +l2eO4ghoPEocL21elvAd6+lKqEagEStXzKCCd+P/3/GPD0HxPuAXW7U8T1gbxu1sovn1pspBNWHC +KF/Cf/Fz2mknprV10ACwiVHxDckEy7YymGDpcqjaMQeOipcPaWRoK0sZ5v8IDxz6i9dMXPhnJns6 +RPayuTQ/fHiBMcLWftrzjMGVvhw/r/bqsRaFgO3DL1s/xA7r+jeCzXnK+59gD5C+auN8TIQYm9xj +oDYsYF0o/24msvzFI+AcaBSm99EbtkrJstdvn4lAbrGAuppyu/g661Gb9r/whNdvIH9u7eVsyy0D +fQarhuu4YGUFQV5iNQgDoMw60AR81ws3xKwoFOYLXLKu/RPxGr/KHgpr1LPlnqjAj14X8ikvJkpg +vOaif7DN35s6BOmLnB8WrJ8V7KvFnh7kbpZsBhUdHdNHwOgCpLFXYBTxfvAyJ9clYTOW4RQoo6aS +H4xORZILJPUOk48gyQP+xjo1Ht6pTmvloPo2Zzpzri2VLUn8gg8hfy/GMpmSTviC3FZafhAsZVxI +DoPiDW90yzJeLYRoMsZLH/RLY/4GBYteDXiIPmkZBV8zShYRITeDo8/7x7QYakiVNNOPwpdnVxB/ +giXMCd9qUNRVjQDcZMTw7D6Ts3um2xy6ckdUmpBj2Tw04AHPt9YUz495TYNxVPyYff7zzTTNIX7+ +FRZaWindZIHWlb8pHOX4Sehl7ZoLK60MQAoPMULjXl2c980FtdL6/TICCUVRFaBvwiJSZGjMJ9P2 +RV5NmMQptjpLi5nE0VlyZ1WEcL6tva+fJwuuH7OVbNfNsSmrRyHKyCIAi5oUnw4ISMoq2rMOjteJ +b5Tqv2+seBYrM490OTgHoKupvJCSGjtI2fA5dNw4o2uZtXSoH+gp6ngce2ORK5RIZw3kv4yVEpzv +1HgIrYATv08CRAhPOs68PkgqBaXsUafjWj54qKJLzWEeqJe2VtJS3U8hJSPU1M/pdVm1GKWJmOgf +5KYYWSDLtyWHOx7h8SGPERUORDHQIh9zFN6/T+SCuzfe7vOIHWLs7/f7DXSEm7yoYBhzeREJmmm2 +nzUOLbGixoCbU9cXRKEeLFxQ2Q2Bndom302/DvI4DTyaoMRyGkDQVa3iFBbi1K0+CcmpgH/lHUcN +5A3K0n+hZ7mIqqRMma3me4eViHMvfcB3q/hyDnQH258mk75B2YE78wZ2HBx1wSmea2ZRx9TnrMOS +B1cCdXjxGUAIJs+mU553oko9O/po1tRXHG2XZjGNAbebyReAbW3s12dt3UGTivxXQCcPBBCM18cn +R6i/te3m2egUI2jQOYC8HQxAhp4KfKwUw/OZAEqPv9qf2NM0Y5kdZFcA0zfhnO882r/vZqqrqtxu +ZDqA0Mk5EszDdK2/tA8Oe1vqjSCcxLa0R6dpBj0v1deFtuFDL//0IqTiNUEBGkgh24vIksBltQMR +EtCDR4/ci3+yrPFHyDFl8kqf0t/M+Ys2JImRbu0KklWYVjO73vDcKeJiCuvFIFvl+QCBj7exQT/R +KNKEqtr1v/9xyIKuVwi1gHSThTijTc4WdSmRX/RE03x4Kn9W7qKbuSeYxFa5zeTrOQVu5iA9E19i +VV+PSXri3DAuRdHBqg50DegW/CIHYZ0hCCyRmI9kdag/V/8CgdhnIi+eVo9i5PbAOBHMNnXQU/GF +2KMa5tBpCXvcuCbgKNSkexEVw8Hv+zXuxhWKzLw80czQc2ozM/nBZEqGsMgbHIVSF07mp0scfFIU +HbLefgkHYiLCu+FIKzcOnfkT9QrYCW15fQeTmsa1T5a6hP3pD+DrzZH8F29TVTlyPwOrHDcH/CZY +fP5OmAP/uQeDC1v2E2IsQu9CuLQOfaJ1RH//LxXTPf2CpzzXrO4bjYJabVRm4J+xnh5k1u5+L5uc +Y0wM37TkfZ0U9q3My2/AOcjvA7PssSo8ABQfLW6/+OydehAUDVnSnfpVm+/D3DNunS4ABWAfggAC +jOc7LD9U6dR7RBecWIVVZRAYGclOBLYTJZt8XnXQqpI0JBd2f7IO9BWoF+VoraAjao6TaoT5ZUQO +QHfyn21pbUhfGJyeS/JH1RZy/eHMoJtr16Y6wUFJdvoAP0BcNF8RMmVifrWm6H/8aUEB2s2LzzyF +JrvV6Vwh7z+3BTlvyY6M94VYH3JJQEnhhpCDaQ1GAlKvSPnc5CsW9o0sUYjrYjVBcTOtCXiu3myh +2egeBUNAlH+B7bFtCAJmS33CIHuf1Acw8ZhG4FYlOWHSDo5bjkNzyBPgkVVTR37wOKQ+Usp8eTy2 +Nn0dGOJ39T+lRfN1jYvHzBlFs+mcr86vaXPdeYQeB8vNLjRLr4pVZuRsB6cgHUK+VGiu5xBu18wi +NQLunfPWqzLNvCsDfAHSPqXKBdVkjINEkm9b+5uuRsrLrABuZDjIS0T+J+9KRHKU1KyJz1A8g/kB +6haqQsGA5ktQKZQKcXzkyA3zHx0JBDT1rq2rJA/TJlemxTa5iDapep6D1I2vqnnfY08XayiDC/d1 +8Vt3vvf3ATZgHlWnNtX5gp7vqqY6hc8ATS+c+NRmYf4R2sSSR62TcUOMgXwzkCut/Wmla41j+jog +985iIuFDZdHRV8SsV6Scjgci+KYtYL/n1rSZ/cx59DnhNvSkZNtDqnC5H4YVRh/8R1+zxQ3Q573a +54Q0Cv/yVqu2O2L4PPvwjHrp9OgWVEctHEyb3SDaCKTZE6TdUJkikYswrDp4Q6/VDdOw4gllZPhH +1o943W7FZT6lMxqR5G0XrUm9DqFFaPVvqnTBqsTutyIJwjViFZSDeZOfRGY0uiGu8TUFUL2gNT2L +K59qF6yiSybFFg2Lu+WZiCuozBWZV/lAiZvuHAz8qwdl/xim0UwwXulsXe6NSLa266auF7mUZKhP +g2E0hWsA2P/NvJD38QGirAMsk+fWdTE7WgCqIR736Wc6wchCnmIXqnuHrA3SZDVuCPPxWE++HO/m +sxzr2PzG78tk4RCh2VTyCB4MM7qHlGxUDHNVIBgHydHoGXysQQNOvvtImE+NZTmtGXETkFCQ4w41 +FfvZcxcdwtOJg4m4dUTzHPZB12ipaFNBPWUSt5AhnKTd6/+sVc+GKvXD6XHT1a95vaJmkwoofw0b +2DPpaaPbTRwkAcB+OwneI/1wpFKz/kQLwmwp4f4U5k1TESgJxyPeaLtnHnRC4ZIULhMZlnlBiQem +CXIkUX9o0oSB++O2/ZUr8fjOPUl5r6qvCaDZvWDF708UkTrhBGVxPjdgSpoe9hmIYXQcqTo7DnAx +ZMtDH+jZ5D9OpRpI3nczvuq7Fjwz2TQ7sL8hNMbcq9QEvW3tbYnOOrSqMUkBY9kcxMLjG7HpvyWi +FzmdcFyZrERdtPG/tc9fOoojEGHQFJ1DOJaKAn+DEHRZEKUAayXAmLzBHbobuuwSOmM7yrUqmwlI +hXiwD8+8pZMM0hcCnyuabIqwiRKcQA8NNfBA1x6IWtEeL40zXdMOoUkkNQD5mLLjfN07k3aLf2et +/kt0XbZ0ipu+41/6FfnrHxRFUhi7f5LDJKUGld01KWpm1v0rTcQDhXQyvlHLUFG38yRvKtQuM6zw +upk8tK0CplSCJydtIz8DVHAcxWPFG93J1ROHE0Q8o5FuqSlCQXaDZr1DveTIni/a4VGwmnuwy1gx +5Gm10IYWIow4nZj30TEOjy92wJEwJrTNvyz+RpU2TIQPk9zsi32bkBjxzSi7pmseTtMh6EqoZeil +fLmV90UGQg7Z340xW+cbYFuVwDbCwIz0mZd/PAUYyIIzUyNclaB4wnKtOPk8oYHINlo06W0U7ILU +4ubv7Vzwx+lCP4magPfUaOd3iBgI0SvOGv+V0szQ739ntruXmuD0RHda3um9Vx/EC6axEa0fxWA0 +yguzhkEW2KjW1v6d50c3VBGcRmfbX3MAICkZQ9i12ZiZ+bbBRBXkvegChhWjq6DkiWLlh94MM4bd +WENGCfzVS3MXKw+bhkp2J6S+pLCXNnWun6CRaJM97pTcs9DI51sJ38Jliuq2po9Oiq+0xuEzO/mt +fvCEWsnyhoXfEEpZ2ET/deYZ7ebTiRPQ01RM2D+IzpqunSWaz36OPwJlLL5+Hr5w7JofuAgIeahp +q6OnzkVYrfoq00EwePKRRdC7i4/UDCLTibQH6MGeHZrPvqFAH434t7sLWNyXPMvApo6vsBJ1nTZE +lDF7Td9/6oImbRNPH33LKmKsN4hDv4kWdsG/g4x95KuarE6n343eYUanIaTmJAFqUm0AGvYU++fj +Lll7qRaeAyw56OkjIVvUd2PP3PW9LFKNKKsMOXoHIiLjHxeqnS83u1qtTktVIQkKlirG4VBQ9Uln +DGJrqcHgEySlb8adaAcQGmKr2iRvBQJ+WKbMveRbkUcfBghO+Bi62ZvMbjcTpfIjNXtMXxFmomIO +4D52UevgYCl2GrhgUXI3J5/IZ7YmP+R9ZN1ZEnl0keCva/lfazFacmTP3zfn0G7EEa5z8thxX8hK +Et/TA+4V1ydZlwBylQYwy/MFHBIncLy32KuWq82frBc4qa98VZiL3si8bi2Za1VkCCmdiyoVSmEd +Hu56t4nMAYJU4bKG/igcMytuYPgVgBsxSnLIBu8IA81WOzbeiPoY8B7tMI7HStb+n1is8WGCU03U +ZmStDl7572t/Y5xq02hLk7//fBZL70OfTEa/9g7YRwGpD3muXkqpemWOJq1CVmULVREqA1QktTrm +993IqdhkCDr/XSTZILdisHBhvpx9+NHvdvpzPNPjApcv8yX2iqVaaC2ubmfewVfytUC0ct0Mc5hN +Ej0PcNiMgRIbMBGxaOWTUcRnMCz51YrH4tllwUh5NbATnlNWgicY61y3gys7p+e+m70J+hqDAqnj +OCYm40JFie3syT4aLYfN/5R+pit3PRn7zPAU33yFnWwzEYYENwpWBgbr5AP0kzR3H7KjNZZFGb0Y +SWzugX7R8Nja2IxQ0DgHfKixwOrWpPPlfZIKT3JOnZxpSDVzhS9qdbwOUkv1SsXzXg79GlGbYY3a +I2v/XNAxjbE1/qhonicf6m3hzAZ0Y1GLUm7DhQEJfVQ7HwXxBBHVPEClwaDWgyda69KcSZNIpScr +iB+dQcpxj7EXDic6tAkHqjqVgoXyBMq6CUs9oEXSIl5D0qEDNZfhUItoFoFIMDCwEcbx6GQQYJJM +sMEWY1lk1TJz6z1PnVWp2vSocs3cowM9aXn9jp9rmBDeTNYnZjwGERXF9L+ue4HtLoF1pZgD64bf +ahqAgq9PDjypew1fZ0yJ249e7HRC11gFEFKOHRpmSHnno+xL8t9S2xpETcckLPGNiSktSjl3Q0Qo +sXOm46kB+tWMiEfDr8feItqbRMHdVo8CGHZr6czjW5Cg9mu89IDDOmgz/qqzI8W4Ss7m45It1/YX +WojvdMcf9b7tksugXFSKBoRoPswEY0ioqZ7pBQSHygOAGyvdUeJiTXxIR2te1L3kWKkaFGfUTK/2 +rSKodTzcbEIm3TqUBLhQgj2hAKWsNXv7zrRdWMbUw/y5C5p6kqKdUvmsyiCzBfaSXQvlbtb/SuGA +Ew+S9bI5iT1QZe0Fh1MiXkHaYYZL5Us8nJap041jhb7T7rr1OAIRvGqfcAdQfHYXe/fMtQVP7C7h +/hlkWh+Rldtrme5eQUnMughBGSS0pMqT0Aot1Mj7xXi1fSu3eSFWh/fSmsAl9giNukfLpodgFKIV +on5b4wX9cUQs3wp4HipGWjLfbCFFqQ0bVUoKKArfZzRxUikNGhhgJMqsw+86LCaq5DfVsWN99wEr +dtBRY4vl+ULUmjBRBT+/geKIjkCcrU71kdP+shMaqsNR4sm95FFbZB91I9EOu45DySkFZLhHsEbg +Q/ZQ6YpnlyYi7meBS39D71L7Pv3pq+n1Kvkso/jEUaH0TvSWpR+EiM+jEEThECR66yIN7V/tgLOJ +TeevchmD9HVWmmLeON7xLahYaY8DioT16CDvJWqppLc62iG/BDPyEhsTAVat6mCvhF91pTKioofc +nhgPMTBgeFcgJ83VlyJDsHPIv5f7jQrx6bbV5HH0nSLggPyD49tdR6ijyjJKCHK6J1pKBaAU/mPF +6OCTUfaB7m/Wl9CVqGXJCkR1/ouOwwGfiISIZEZK5PSumId5zDD75ZzpDSvlMQ2KIMZPqTGtDhTj +9EIP2QBSaSznHuWJyBSIX8qj1xI4bUcpq67SP0JjNFwcKmzFUScUYd3vNn+F9iFfs+BFrd4NcnH/ +3rQ3VcnEJqemS2XQbwRhJucpv2RRB57EbKM0G79c3408G0he+qA+AK0r86AFqeRX19A376spNdie +e8R5ircyNNHilG9L1HvrCf/4OILQMZziqImMIXlVMgo0l23wisuWnPd+qXLwNOd8Wd7fuGUio9/v +O5muF5cMOcuYhnzBn7YDOMlxjzmnftOjilOQLQA2sIwGhP3CDSS8zyYaHSudLg9O4P8uLx3+eJWu +UYpL38Cv1jTYTL04WvvyaXtF8QU6PLpcKvpIWiwxo+8YAcrgDpqiK9MQJCyiQUap4ItmKT/ERNbz +L6W0PS/ppH7CZI4n1UmY2ko0oMYh3phqBtEoYWkFZQyZiGmWM/pd1bglIwSzkAt0n3RGMehxDO1i +nEbb+th+bwblyxx9XSCFtezxrL8EbTxmamwacVuNVz2aj5xk2688IlRRIeDqjkuL1oXkyrVtUbCM +LM5VphhRcnSunmEpB2mbvl6SDhjIg85TcYSB6gtjQfkYytPfoo3ZSEF1Z+qNrcbpdncYO0rLgNeA +il4byQ7USoY72+AUkozthBpC/U2zUf7A5VXo0tpEdfRy24xEhfuTSDjVg0SEPMuEcwF9U9Ybqnvn +jIfTFYYDIB3J/7XUKgtadcCegyVlTROOwAxoyO/5VgYeOHklncP01t55yEGGj7vVKe6b8oZyfs/t +jRf/JEBOrA6HP3tOdQU9HcibGkGnsC0GrZPkTGsOdQhVW/f0CaLRRC0rScR/KA5BT48wA3gnlsDP +Vu2DaTJS4pAGL3ROxThk12x/RQfAITUGyjQo9uz22yqtjC/Oc4gV9m5plo8b2zJHr+ix1guu5JGE +U9fp/4nNxbw6BdH6h2A+fd6F3P8mRKtTFGADFUTJUmTASw+ek4Kczh9v9H6VLKp0fDhYru05nLF/ +y7HOxgsK4+u4E3ieWHOGqDCQPK0Wm1EAWfxpk7h/0dpGq1TocwmKK6pK4pLPhjKmxf3JB7ZgmUAX +sjb+9Mvjhjfd4drFP9UPAYfP/fBWiZhtvABtu4Jg2IwPhV3F8urBPM2NkJIyzsyngSXxhmvq7yLS +UuoXlh+Ci9pOU94s80a2//M0FhMBgh4AIwO6aeo4TvgqKaVx2uj0VQMP5oOW2BDXc9YUY3+AiIaL +JYPfSaokVo4jrQcRgAPDbGMhkkf6P14pEzA+EoZ8V5s8tY/SovX+iY02pwuKq0UlbawShZg9bsQY +YQP6XMwEeAtMJHlKMCW5Q5gXd6+Qx3PanulFb/q8b7hAcmWNKpvdYrHxgK4iRgJ3L0bG2CkkpJ9z +LrwS9OUJhYfgRAYp6MjXo7RNmqIGKelGYuaOQkdmpJDgBKppWgw7k9a8YOUHNlbchg8zQUQL5g9N +enSD4F4K+ofS2kSx54m5hElzxbDLzN/uRTZm7dR+OvOFqKzaUNX9eb7H3CfElvFUdYNvKWtfnpDM +dmgBRMDKqJtXkfONJz2GOorK1cMuE/zRwd/HTrtQPrzuX8lY7yE6e5TgPNC4rQ8ji4dkj9iBMRD3 +VLHrlGtw2tPD1PSqFR519q0PvATuIYAhg3ga52hsncSLfO96GBPznhUVZv0eVabmRd2y24KhZeyS +6n/vaVIrFFIHnMzqeEVp4dwW7x1Ety85EjqCu2+aJ6FcKXmitYWE2w1avPlQ0QzY9aAlNh4GdkWR +tyKdSqlyROiPVvEdaJ+Zp2+zTiAh03OsiKxdxBMOlhaU0Ts7EmbvZhoMQ8jwzugC0mRhQY69nuvw +nnVz4hxvBZO/NmmIRIuc9SYKbqaHWkTdwZV6djLBaH5LNM96Z8V1yFfjZOpPc5UsEpk378sfuu84 +Q4AWjMizegaG34lnxa/YPfqetHJdhe1gsnhWzAAdWYwivTpOzzkgESD14fisdVGZmmFxzerCJvX1 +cdGOanRAFSBr5ModL7J9lVwgZodQo2z81bGJsAVb5NyfiNwCFApgDqCRFuJD0IztBX6zUtg/dpUv +JqB/29Ha+wdC5k5ZztQ0y/c9bwGKNpaoI19L0SQ7q52xdDy4WL6wbsTSZV8Izh/Z9qdtJzFwop4v +Q4jTW5Y+WBEyGWk5YwM9VOcipnYhIT2rTRPyP0vOfJ0gNQVy22DvHoofKrSwJw3bU4fxsFP3aTEu +cMjE7HsGAkFvhevPoVxUBSlADFp/qHY0q2BYStW1Tggg/D6mskGy1X/h3g0Weday4gcZb+ENtO3z +N4ItLzi+gML0y8vPcCx3yvo9iYo+KVbKiLO2IpPfvKytMBEoy6qmZQsynCY4s4vildJWWRcYiFzI +yYxSGcdSW9A/A5kJ7bbB34908H7HDJJQ3K+zq2fWqELEyxbLqW7D+BwFUNB4xw7pEnci5X3QlCwt +hEehgZgxEWUIZKfbXBoPiP4Ixfxr+8dan+BWuRnaAHVlQvoXrg7fuha3diW0gYtMvWcLdzHyu3ub +2nvmkitg5/4AcNkRQz7ZI5+5ehBMdmQTy0jHALSsdT/VKAw68xd3KChnKADwkwatrPFsqlJf2YsB +temOKpqckk9MrfDZsPihhIi4014fn194EKxQQwTVI5kys/qhN01GS/iJNl2Kf/7njq/JV3fEJyBm +ThMQSAR5UKnQfuHhXX4zBzE5VRlA9St3jNmrsDb1CJfaqK6AecLeLaXzZwM7+wzjXvwn43VglNyX +HZqMqc6sfP4K1PSE0oSCxxr+di5SzoglzFqN1Nyt38egz+cDoGlTgccuwpubQJGCrcsGMcP33RFX +mstMTHKfyoGkAOl9WtLxImCdkJsO+yvNSB7Oy4qQEOUMfXoSTSYElWkLZVuj4uhZ5B2pDxsl3myG +T+Z5m7yZq+5R7SEHXTSvStkJbYU7ze+lxgBbZz+FlchxGRbw+ByhvdUDAitzwfRKMxAIOFHWYMNv +Oq0sXP2QnqkgoNo+I9pvVV18pr9wCshdX2DdkFdpJUJLIEWXwEzzjyhYRw1j1Rs+N0W/jna3iMUq +RI5eE1hOh/sOuOM5zP8SGTr/nG31inCjXIU7Cz+yHuRoDFwR4wKOvzjwSaodUbtbFqqOAU9IUoq3 +KFXsSDlbT8LSquC/SwPgyJKMGzX8oTpVytA/SD+LmcvGiDudX09QIynTXWiMYLK0te95xhhwlbfM +WijN31K9QFw+siExCEyBlmoGogSTD8jIIwsgb3VcYBhlU11+oxg3Yx+kmJbAmTYmzctRFaEj1i0H +UvM3rHPDN//GQVvmqCJTlX8Mxcc6YpyiBvTBpJwhnCVbemllW+i8CUhlgyp3LnGRXyWHoThw4Snw +U/B9pNpfrYRmHEysmEOMEuiq+SimespnmIewRmhASk8ddATDf+t9tJuGo/aZ1QTMVoy4W0b7Uaan +pntPDIx20+4uGkEpmEwtDed29Ho8+T1Vvlr7st/TFr1QFEexmypvzrKRysOq3zG76fIun/KY0oGI ++1HCN8EI1heKZY9RoQ2jz+kCXxQMRt/n1TGgWMUPuTo1UcmhH8rHgP9PIaHX51hPcuYQ0MKOgLCG ++sNP0fVSwFWfPUvcYRcUM8kcbLRFnVZ0hepOAuTo1DLOJyncWdPJmqZg43P8oE+S5wG9odjwYnpQ +gGMJQMnBKPHfziumrS4MKHvAMqrRO804jE4GzU0JwWueGO02sKx9lPDIlxSwFo9+Vb4sn+oY5+iL +jHqMq9wYGzO3xLrIOOvlJMb6lpL54NjHiGpgU9901dDjteMYGCycfJjnEm5q8p8xGQTxzxY+Y+QK +HEZz0vG5g8dDmHsmbgpKQD+z3TJQql0s5h8drlg1ouEIi5aWqBhskICFvak1T5YK3hd3heCxy2KA +gu/WIGnNol1mN7wdpB0gSKfNzu/COgB0/Q8mkBwbpzIeCixuiXg/pwQfUW8afXF5UzkSq4mGqkYI +B39VE6x/lOv30b1F0nyXF/DrGwWi/V2e/OEM2sYjyV0btQA4wKIWoaNIsyemBaYGxSI8Q1KUPc7b +iQ6TIHrDQ1f2fR8zFHscxgqC8iWd2U14qfhDWfX8pqzsiU/kiVsmX0VD2n7j7qiScyMl9T4wcPND +uSN5aOzOdmBpwV4syuHgv6750rx5bxWBV5HRQIjjF87pWLR1wL9ov0u7GgdnLGrZNfv8XOTQ4Wxx ++y8ou9mOBu9mgaeUNJ+xjewbxdZ10LEe3QYzUOX/KD/GH8xxizGcODL/M4iMV7QqBNkXeWsldvtg +4UPF9tOQDD3YFbYcD6pblPg279boMUwIpinyR6S2CwhqMUXSw8S/PdEaJ1Iuwj/BqR7hcYw/DJH7 +JjuHlyGA0bu9tkKk3hLxImqnkNt3bURsb86NUahoOh+yiU6nzZyGBM//haaCL1hbj+yDzOqSoAzY +FDeWl50HY8lIAms0FVFfEzFMdPRDIICJrw5Fjv49+WjtBYlXAwoxXQKFQkBrBvoo6zzfrSQrHABH +9i3rkY3KjrofpVGkFAep/LS3rzaItsRir9MOjN6Wbnyeesy9ofqeStfEvo6BBkyIXwzZOJ8HTai4 +z0ANvBpZsv+8HNFRudaEK4HeDYxnQj2BuDZbF1UeFaujrzqrMmR93WrQPjl054xIHwGWhV2ice8z +CCZnqnCy7m/WNv6UXqXa6U2BlN6eY+3cYj37nj+PbyiaFGOB7+4XfyLfheoKAccPjoa96K5bmF48 +BALqqEG31t0NYJ689qkT4e0PtpfJeWJnMWbMUSP8bwhe9M7WSkIhIU7DsfUw6zkczxWa2sccMZci +gMcOvzqXgYHt77EXW0jcl8tJf/Q0UaCri0ZDvBxkQA7YD90+HzJ1itSA/WPHOKzkm9aGh03rljG8 +qioiasQ7bwUbCRqInc5x0a8H1zt7KiXRENQYcGdRkNZ2aPOR9b3dPpoTmPnyoj8vj3WUYWY0LOni +BMreqbdaE54YMIcedPXWijjGzLQCYnpIQYccOCTXZpklS++oH6eUonBtq5f+DocOer9yS/w4ZEEZ +xpSczBIBQyvEg2H2WUGFcBfEmlyz6vxWOIsGud/HQZqvLPjfrEePBvm0/ynLjuVNnVxupe1Thrl4 +8aQ0U1RhzVx2OfZQVV1BkEbt7q5wX6qgpBrs+9bkZGZ9eHJVT0nRnP1S0kwV69STcOFe8vyR6YbG +zIuD7y4n1sKIEltq9VbNktjfzuyQEMff3/MVEXlk7RZj5mCtepxKBacSG/RsK90F7buxG9RP1IIg +8snimnaUKUvlb+pbAGAFq5HybivTREhneATBP9fzq7QMFNAuxCjIfAJnJH7WOe4sbdo1WBz48s/F +zSSX3G3LzsYdBg/afEtZTGowDllQ43FAGDaxS2CoSEdhtz48oZyL5tZFG2pv0Wb74pm3/vAvQDwv +gSJuEY576Bnt8OwxEC29LpchfSXgOpXoKrvFbQN5PNAmUjjs9uux0XL862+9AG49whZ9oG772jUf +5y2GVpqUSI4NJ4rZQx4CCr/WhM532npFJQyTD31xUGvp5mqMTzUcJd2yMUZPPKgtn6e0dPE9KrAk +pNxEKocJ98pqxYcnmaLq2Y2kIWvHC63JCpXr4d5Zj6haFq+uO95px6yzwotKJADHNoEnmIuMWX+9 +pgxBU6VKzkfZNKKzHt1YCRZ9ZMXjyObbJWpsFsZ++LCjh1lsQsbEnG+AUjVJcytCq1y4tTgj0JZp +jc9lg/OLbiSCmLBKRZ5EC+jDPxqkHZU4qaOSHT4HL/Z4MUrroC8IEnByhZpzpPrdOjDIEPMkqnNn +xIlleZjuBRRXYEN9UE2JjWT7ORQauLvJhtiIjk556Dr+Y5L90q3mVvTxKLoGzknaJW9O4ZUG90/e +jq+cv0NZQ0VlyMt6UMQTCT3eTkxwItkvBYSE3Fo60e6R3l9XThvuxoIAbOpK9QNLigIxTCWQj49x +LCdg6U0n1LdFtyvwOkHNX+iVLc51qHdWpzdxMuvrhT+O3fhVXEiS/UfBoNXFQQoZTjAGyHWUuo7G +3r3cCIovcDIxKX2QKTWYwz4OR1lWSj9rKpAE3FFL/I4bz3zK1wiV7eBIOTTSvjuSILizaueTRlXR +4AP6GoMe2Ud1dzhybED+wW2QrtXrDaza6ybcwdYHIMaCrZ7b5146ALoJ2Hu8cltqaCUh9udOik41 +6aNY48szezxriYj7+rcrMklgZSc+my+BaArYZNqS/9292GqaZyCQHktGjiknYLYxI92DcGDzW/Xp +CMB20Dm8BVWa9zke72FVSSzouk5mDId322CgpCm2lFrQRH1s1CCYwftqVaIssT7W/5s4T6iysIk2 +xQ0fGVPagKZ8uOTZaUYB6sMtxghQmXW7rY6cAH9YhNB7GtqMdwEBY3ddp5IbHlocyvefQqR621Iw +lHfChigNX8dK8ObdIRh59/Pn5DrqqwTFO+Hn14WV6rD5JtydbmHX9a+O+8Zy9fiaY/YReWHnSB2Q +1Fh1S/TvHOFptG9NRfaniSN7BkH+5mBmj1wN2yUQ3DBax79OcLOQTu11iLN7juF1k4lUcjnhjbp3 +0pwMhWwWPgomv8fv4TWrE+N0wrCpN0q8vwwrH8j8s5HxbZe7Rqfrr+USA4mECndunx9sWum5QHxl +YzsuZavTf/liZAn05sKIJaI2yY08NODsccprkj+FER1N6Gobzoc4aJc1viZEkW4u1ZC3QVVTu8ar +suOcWueq9XhMXO+eee7OaJ3PdKG88vUv2Ti7PgaRO0pBqdr2pDebdSOmjn6B/EpC+rvobVVUIlHt +j/HzGNGrFbx6C/r/zkxUMiEZAWjlkvTzOBWkwulZ+K1DDql4cqY+euZb6D5F23mKrKPmaqjjfSTE +QL+fzKHvMMj0GIoCrknv92hqVSuUI1iUZOWfa2EQtEjb+cNuYynVwOfl9ea4dEtT2c7Time1uwO5 +DUqNq0UsbOsV9KlAUwF4nXNJ+VSzc9PVjKOIVTFfg/wBi3G9A9Y/s5BtZgjk1i6HPKyr6xQ2S+cx +HCK+seNIMELMjJQgTBPqwlzhjl8A3NEGvjaOgmhpvSj/EqiCJiNTUE3L306FB54PW/CFW4GuaEoE +KSr7RgWTEUWaX1UZmdQzjwkRspzO8hKe+piied7AC5cWLSTqIELS+XtBTW+Dfk7BNMOUnFYwJj5q +9xfiUOrYAbDK3nbi28K/3XhCg/d61WfWh7UtcAO/qzYt+ZHozb+vcn2lq1a4+t25krSuvaQSrn+c +86Jzq1ZuHvVGtJZKDx3fqqwfb8QNRkz0njw1QlUWTFgAWshIx5vUKKXJ8Nupmz71rBmGW96XfI8u +/hHnpZliytZryS874z1SrRYH4RiRhwhcOUR13IqpNXOpbUiA1qwu25Zq7VF2RmTKgWi1gsduDpiP +w+edidnXoP83QAzLB8pJClZ2tKLdrccvadL3pyLaPmzT+xWseqZAwHCLVEA3wZr36rBcg7z8/diW +MaHkJ+C/42DwulMEsQ2kxDdA/T+B3AZxHt589pSThLnWojt0l3u/4nrGkYMlAGVUh2nHLe9DOIRg +BYEvxQLBoiMNauDXR5wBlDlRhYWZd/CrX7eFdADeR8KXp3clLGAM9o2t8ssKNVvad0n4164xRsG5 +44CjhF23Do6qxdiF6P/Je7B5d9Ou2AoflRvyy8xH5+fqju5uiUMrHgP4RuKC1mYJKvgkS2o6CjfA +LD5TRvldslus1fTO4OP90T0Km3UXSFSnBzb1SxO7gH1j+e/AJY7Zo4/h+U4pTWx+eWIvH5frVAoY +O5/ys3f+cjUtriORiFTm4OymroJloNyTo/owTEgPLbgST0ta/pfh0admHxdGSqmCXJcpxAlcripA +cueTgVkrTKBaxbIMVypQpyxy3dLJbPZmzR1UkaG3cifbqWzvScB+EAXgqprA0GGfW4cji+ro2k2U +h03KpolbGnVBIzm8b9zQeXg/BPeD+abudYF8dCVIVQdajNKL3YYtDHX5WZvR8+2cEV8abObYaerB ++Hg4XKrYuBRbazOpE76feOut20Wht1wpKNUhyr/q+S7znx4ojsEQYCBgTkhh+7IT8bAfrYtxKmbb +AkkfVDsaaTGrxyP7V6PnstzOr0JJ4TmPbHcpMEyTRDDfqzhutDXzFGtRo/hlj4+Peg0C8/vLVzIP +SXn8vRZinYuC0Fz75Geu/vQwP2NMyUTRQW745Sp3p06WtbVI36134hR+PD7G6kprBggmyhHO+JFl +lptE4/sQtEzPJ9D0MPZTICnju/Fj3EtqfBjMm7ABSb/SO9SQyY3sY4pBn8mj/FvqYXZz6KS6pbzC +Ct6lGZcJcRp2M1km0DQJlkZES2qN8ruRsjkveu2oEGANWqjNt6Z5UxKij3cjTdHXFZtGfMMxsad/ +woJs07zXJ4AyrWj5uXOCgSVWAyFyQFdjHpT+Jyh7QYZzxDs+uEemF1z2UrHasCReC+vYqsiNdmUm +ew6SpwJFe22QFGDHfssvKyXNvKaNSM8cabatmxwHreheYrUC6PXLKEmNrEQdsDVe/ip0t0WZa1dr +C+WhIDFvHXmCNpQu6CwMZPJxdp1G38MMa6i4hRPfgORE7txHr4ijYJ1Pdjyx/ykooB842QkoaAtS +JHhGpgdqPQ09jCvxQrCheDCjwuvwrXucA/0UFSbsLxtAkoVCgxX4B7W+KPKRDpExubsjhAXKYEci +/2uUGEUIrI0ncMu3RTpxVXa9AkQIMqzz5T2rqiL+nnjCHF/UbThfHkR2bmxgmrJCzTVjDr7UKBrS +3klgpiliuyEPlGATu52H2xEV4Qjnt5w4VnSq4fQECV4kmqRpnGDZ4YOuQSW6Xvdq+5VofC80+xfN +L7nGRA9IN6n5YCtMYZWE3J00nFBWu4kRMnUNudEJ1R+vyketwIp9gqjnY2oUwZUgh3aZ1HZGnLSs +PYo7N2tP+1hZzPyazgOmKOy9NPBQOjjbpmQoPFrDvFvoaqPgCJAf2iK5FSqiKjl7Is99e5ZR8c1e +LFVNithhwcJou2OYwvD6g8X7AFGIXp9Rw6Q3qrhmTCgmWI6eU1duBYj3KUCdeLQk7egJhoii9Jub +3cRsVl6pCRO5gdaZokRBP30t+nBjlapv7bCo+nEEmusxEmcVAzsY4iJ9DgGPcsr9hr/A6cxO0bnt +TXXcgNm/EB32hptX8ZG9hudogYglCM6IR6dWsYp/qHkh9lV40g/LrcQweKRFrfJJ7vfSHd2moZz7 +yPbRcK/KEqrLlf9rkcGWFvHUMUXOvhcRMYbR12c2co0D0rezZlB8lZpyr8W5NtDSrlLw3mYgmvNy +CrwmbmUyhLpC0xNuEJ2KFZdJ6UjYdkY0XHiFA8NhtxlPeSYkvkIv9ClsB/FleiuhKb6e+zLkEtt/ +OK9aPC6yiDfyRARkiD/BTv3eqTL1t+fqr6v+c+o2jGmSmK8bgb59Deog/PSrBFvOKAnlERIGiEnn +Egvi66d708DFTXKmgL/4L1/wBVfHWGR6bcfgTdXXl3w3Zz0dnz6zINc+LAXGWHV7U0tNwdk1js07 +x5onUUPPPa6TCnbNwxBp1llGNxM79XYS8tvxraXhEXlLczWXZ/hHf9BgCHUmbdsiGPXDdi7i8gPK +Sy+TSwHiANJAKnsdkOeF12NUVSjgaNqrMG+FCzXjm1MThl2LZQfcuIPwQTSiA9/MPjZDdTwiMfe7 +6MDLTe6rOCHRZvJGwVRTSjz+bglKwvGDkCCvQ/TWh1gBV2qjgI+PWODmDt9CngFY7soz+pmJ6lAZ +/rT5R3AJbLuhC2ivUBf1V8VQOELKLqsvd8nNnaccGphT/druG2zclYdSjhUPxa2Pj28GBhDkPX+5 +u1v/jnQVYg54F4zVeugbYAbglrSYr8JdphfEF4FVORN5wv3qrKm6n0ihbIbFvDzWAgrTKXf1iAfA +LMO1xM3tHJT1ihKxEUv/Rz8TOFmq4nG2kIh4QpJE55pyTt/EcOijdyKqrhdIxyFPphhN0Yv7d5Lb +yXuCh9PCVzCTIjFWkw0r0/aIbanSdOtfwtnLHlQeohy2WFz1ZLrTs9qdFX4+NO9bfl/4iLjNfeNk +Lh9FO9w52PShbDA7ARnJkuBSUXpwbZN1ZhO74u+7dbcee7UQAK5+bDRCzlGU5aRZPWhhi0yjhq6O +HCfmHu4LcReBg42Lx0WUmVaQXqa+cDPYMZhqkd0rgiOXufi9vUVR7iqQxLQOqrZnFuAKK3zSjiFs +KObuMN5JPzEetTwKhRZEAu+SBgKxsznf2/17mumUUi44pr//D4ZEVrTbVGuzyejJ9kbTpX4bptQW +1FygRcdPPAx+RzVT9UAEi0yejDL4V2BUAN5ndBdSGpmKWzLuIHIRwvZCPoT70XQNCMGYvzhnqwWC +Dxbr6UznGdaj/LBG4F6uLl18AeQ1OK6INoYJpYbS3OpdthSmuck/KUy5/bwNhcmXbwwFcwv/U8fU +4oPTGHMPo9Y8ycyXHLJQX3t9Q104mMF/qSciQ2HTIs8oZaWv38IyNnITg5pVGBcfJSlzMIEnhaKZ +L4GYm/KlCw1rGQcXid7dSIshRNlW2OweedgCE864F68JBQwvNcPysYNOhUhglJKFukDuwPR8qmuS +1v7QohHDtskfH2VDVJ10GI1l5ytrCl4zvLaZwD/0BVCNVF+csAKKbih24N1QZlRzyCCBl4fRIiLA +Uc8iNta1MfwjfBJ97hwFo+qFxMzQyLVHv8+RMSSyljBZrrS540dnT8vE/MWfMdHadJFlr67mjAIA +Zh5P0Ykp5YvNgrk05uXifrqpQ+1ke/kxCjOZM+MUsRk3BOFLm86+TaR0g68OoHGtUqdXFT0iGVUc +Ero3yzzebIc/NKySs6Yl3QXn/TWOsxCn8Zt6M5+XKvDJpENQpWEDEBER1fq0+rd0AAPe3qJNpNJ7 +MVxZQj9J81pMbhpCCjbTZjWcPHfNQ/EPyJQTI6D/wO2IWRDuabnQ/tDOXO/p6+0DokFeoytmOE1R +P5OtNQsvk7u+ff+7tMKV5DRaHfTqXO91vBQD4bJBnSpk1ymWgC3Mm3oMHsJo5qOZrW2GfOHhOfuR +gYG/E/5XSy8wJ3hy97cRlDUJ64OqD2coyNMZTK0fz0Nj2oeFWbDBvgEtVL/2JfDPH9sXqj1caou6 +iSYcjspxnEf12kiyxY3Zfeu0B9eCd/r03r8NEHxw9eyzsS3OoD7Rk1mEw/RS3KSQgOPHA3oDJgK3 +hgoVt+2COUVsigJWwxMIToA3Gd2OfhWvz0yRlY307C4SlarhhSR8s9NiuIpXWONwv7HX63vOErG5 +LfAjRWTpJ9PA7Dt+rZSGamZLyspTR8u/pNZfK3FPtJWNiCaP9P/7B7/AQ6r39DA28JxuEDJgh/c9 +jRWlqlx84y9CjM4NmaCxFx8iv2sGvsy1f9jFZ5YFO0ui9jeduNtK2pFd4IpfqXpY1XQr+kDgylas +OnmxbphHi8MOH+DWYCknm5tUwxyjCZBBVLakOC4adAPYv0lU6WZJ2/7Sv00Ya5m1NUP9CtaqZw5f +BxbQydEqxCyPvPFJcZREUWETk9eLczGOqJqOSjrkAwqFa+j3SidNsX7uiIBGSLXG+Yab1TmS7brN +QeAkpTriYOx/wPalU8C96rdiILi8GMQSH6tAkyQ+I7k0yl87N0VYRyLJ7cIQMoKMvLwIFb+sE3sM +koaur+TRgjEAl5s4+jDnfxJZ6OiNSoUACXl9ckqt9I6z/eXr4mL1uQ0msuyUZd7OX3ZdOZgBBb24 +E+T9d17mAo+O1VWAg7nzQsIrVA+kRIEUXRDIpaksj2lVK1D9cWQQg7p5s4rcYzazgp/wExLTncnR +/30JZoJARZm8nRvLYYWzSz9eGyW+LM0WrAMwtZEpN1pciF5ndP/b3jM4OUFIAzJelVOHvutxHirB +yW6ZHglv6zBvgvHPGNnnHYX+Di/FHQ8YbgPSkkn0+vS5qub11CnUub5IGTwge7QXJAOjlplLbUZ5 +E72GaSLNRcrLtHAA6kOcLW2A1g4tH63vPuh6OfPcilO+bs76hvb6PHOAizJeVBuf5d1wdoOpulQj +HKEUh8597aSqrOXwO+fEZyXukNKJKPHQDRpRSlpka9cMu5l3MXrb6gkfBy7s2psqdiegIsbj+lVf +YUUKy4zOk7Goa5SRFq92BaLU1+j319ky9FGxQ5XSWjzLaroCIeawBwpkaO+xgKVJ+op3VOCoPfVV +BL0EPWgIiL3QlFZZbH/D4JWor1hg6axXkAtUqwIXR+BIiaCzUpRY7hP1Lx07wZ5U9R2rr3CKChGl +jT0t+oP7C9UxRt6rTHCaS3P4h2nCD6FjYnXDELUafz2eV4QcdexzxaapNJl8Vmu/U6vvjofB812E +lP5EqVlHj3DjS/+a58oeuSwkkPjeIgQWOle0NbpegwQZCAdCXmSbLznu2ktQ0mNtSpo5lUHf+AcS +j4dsaSnE0oV6vv89300o8HrB5Zzf3TmGdi/MXC1YKu6n1OEoDpQdUFN4m3TX5KReKv5GZ2lCyvY6 +EuhSYVxM1Yll0t1DtqSnMfp8tqZHD7zeZ307Sosk6vapyaygrnv0X5RvKk+bZaigAr+FydTFFmfx +zs36+ELVonjx85U13ULQyonnr31w/+EZbizEFYcJ7ZcVIkxwi5rJzUDHOVoK/ZFsTX7IC1bmhDXo +l+LuTJyDENZTQPwoxwUGbvqReshr5QQAlqfAv9OGN2TVLathfntD3sbPC1ykyM+oAhmgyMiB4g+c +G8/ELb4yF57gD3dfWHwcOaagtiMh+IWsH9UXvEcgpZafDRUjAJg8FRuo38TLa4nUX6IojGjf5tqq +gAVdWdgPJEt0My2/wM8wmnMceHI2hKraCs7UrS1gJ/FYE6GwjYtAB+8Lo4jkpRh1N02F7k3LlXMI +EMg4IA7AQ/hCYBrkTiL0EkcX/H7BphxhCx8p0XPZiAL5c1U3iTVNAC5kotj0UhHMjxMPB6lyfdGr +zI+BKwqpLQta24mQmRwOvmbTAjZlWLczWDssKYKI+6HijNE19+K+NojzbaBnObiLLyvFDwegwtYG +G4wIiulPXvuCQHagGEPipz20uPhSu1mcbXFX9GM6RqtdKzsAnkSu+b+iO7m+wpkfgpsothbjixKE +Vfyp8j6DTLGcDNwonuUF/JCmYFlNt7ML3gZzK4SmsQot02FF34GGc8ZFf7d8huzdgrB4JaYXxCJz +BZiRCGQqPiAYkTV8tf+26S2GBpbOHJNifbEitgvQ2PKJ9NdYn74loBXQki2fKWB9diSqajBGz6lB +gRVu6uUQjykrKkpdlJ0GEzr4jUxw+49lQavgd1as+nznZ9KAYNPJhNuB1LNn2MbYb3Kp9dNSG5u9 +aLmErbwMECQCW/6n1F93M/RmVMMH64BqtwHKgr2B+jeFZqKSANsdHuAlOG3au9Ojl0OMa55ObOHM +72WJlN0fWfrw73gx5bjXXsaTtfr6RT5e/HDqc7C2RA613HCTuwU3CYBv1muiqtrkYDCW47Cqawsr +4IE5gNy8ZudDkX5GmzaPGdue32NCjgD0S8bvjh0QUMZ6twAyLhPYo5CbKwvYSpP/Mkp8+8JNNOmM +W5FDKstcKkPcZcfezFOiNFUqBwV6VrUPQyixxmFqQsJIKUOKoauaGJwpSqy5OaL2Zb4PF1qdghRI +kc0QxEfAc+9+yMCN/bxmNEl1gTc/1v6ri1FRn5FdOVKVz73ePdT3yIOezDH7AcvQ+AbihQ6QTuTm +66DQQjn5R0X1tkklcqxtDRu5CuhY6Sde1btyHU2z+ASE7y8QZqEahAc1WdXXMzvayQKn1MuWofze +n5HvxPdN38XmwJECQKpASbdeiajstojbi51s4tquDjJaV82wAOWsUD+IPH3xYxN/0y2ugQ7fG3F7 +9TYn4Vf7KbsVOGhQf6nhFQP20pnL+cDHNoUvHFt1OMC6b09j/8LJe0TFkAzUPm/1IVyJRswpJcE8 +C9t9CB+bBGi1mjwbkKFsKAfr4VWTFjU0osC7luGMuD04dq8JdrIt6w0Zu865C1S57MEh0PmVo+II +LADXpNpJtqtg99teq0Tj3HlnMBBEyCB2ueH0GFpCVC0punfBCf9wKt1wEU2rb+KjmWvy74/wkruB +/FV3vSxwZYTPTy1JXwjDWAyEcp3n1a+dE7Jax3hB7hTjpR0BCfJiIC7cohaIzW77lFfm+pj6eSPk +/jmav8ojdvxPKxP6ynk7dRKKJazO2gHk2UacBtrffD/ZPBbmgnXyX2IGXJNLH9CVYE6T1/SMbU1Z +a/EqVXMTnqKmfusDyXzRRlswLsJ9P6yqLueNITmndVnGiwq00wgWiudm1FDjmqQu6+2NZKzLykAG +6PdKY4eTv3yuLuNyu5XeM5/CELQ9H3cazWIIyOYfga1Eqv+ahnA1RNs+p+RrPftCO85UuQUyN1jH +IYU+aRkYtxUeW9lE8OYoUbRcl7KVmARps5WSR+OtsqMkloxuK+FalLPM0rnvbCdjheSykgOySwEN +Qb0Jpni27qHR4BNunULtLubJr3MxnTIpPL0SQjrZoIk9DjYliISgq9PH4uJlyhgjP7O9J1OWJM1n +fRcWfXr0J8fgdWf5hxKomFsBZREcP6Ukxp3dD8AcHzcFirmDiszm/Fu8XXbsnkpGwxt3p0mBHBmB +KfJCU2UI30oe24WGhbUvl4sdG5yivJm2NGJLas3v9t+UV8gH7mFZUKUDMOjpaC/7olXqIfkAfIPm +JoJHv4honXBChi7T/b+pQ87eIsbDfxoEaxvK4lTu2ZiVJwSHfkiZ6ULyje0iYba2inhEOtGNbySL +IWNh3983dKcdnsTZgap1cjIDcYXf7M1BWm8CUic9fmAYERN78ixtNtwMRrlk8Nbj1BTc/dzZQeoZ +4lIm92AS+oIbewkwCFAmcWHAsZa5UYnF3yhyZ+Vhq7rdawzkrE/9LVh9Rpu0THXETAROgcJb4t01 +8Q80jTTUrlo16rt4petcSeTogPF5uJGRHzlk5XLfBcFPbW16iJ8OlIqaoVXH0HnRxXlV7k9J8p+V +1YvPTLQIVxPaULWIwyo9AXGJ+6cP0I48/cFx51GnNAes2K+J11hLeIuwnyZcJqxG88inrwyIz6J9 +bxQHgy+/MVwp+gBgYPxaq8ToH+2xSVyXcAdYafedl+IhrvSLViD86zS0vKtTyH5iG9960+sZ9sYj +Cs0l+YOKdg9M7bbZXBaTzlKDblNfr7SUfy4mnTScT2pZAMcJ68S2IzM2ddbcLxnWCpyDac1eX2F8 +TUUMdeVvcrGkInQ30nXLKd48Ei2AfaZbh4pJOBNbJvg5cL8oQS/88Myn9djlh1l1gt0pgLJoZkuI +RLZdm6QUzu9hF9LMFfA2myXeaqboCvQezGE4TGghBr/YqpbbOerdHOq804LWOO59z00LXoE/Evgr +exPrNIcX9JBhWTwpPhgOhXmKV0xgu20XRAbW9fn0J3fjtVuhfmEnwplYIpfrlEYN1jd2t8cCekUW +8WfO/v2VnRnqb7FkoUpIzj/+6zy2mDIiR4FnlLTxf3AXq5cGeZoMd3AA2/0R44z4r1dmPxsiF0OT +Vs/XVmIPR21Gy5JLR4PfnWHdPIQhKntaHD+uzIW8XIrj82Tf7VAU3exeHxZDM8hX2SqOwI9vmhUu +jqlTu1dmYJLeu+yQXt5TanJmMd/IDZBz9xAhDwUi1z5/Ircn7a/IVeSeWloxyV//AcWW5qjq6Mjk +Y3Md7YgG67b5yEPoFuFT2wydsqy1FcjQJpztLxDOHiyVNv/rN80azMseDX/TotGlkMDj0iQf9I8V +3Mc9uVtmXFUS8osBFAjYV1di+lhndJWLjxmNuyZVkmur2+ukjfhBgtf8edvj78x3rTcVmPxG7uf8 +iV5fLXoprT9OOF8hAElUxtPadGI28UdHeO7M/QMgfbUcbLoGu60HeSfz1i+OmQTFKJolO93vDhCd +1rDH5iBySFHhwrwTzFSkgsuhUM+Rys5SyzWQJbYyIbW5DqJwGXke/dyoCV4zwMghqBplpB7WFHYI +UHgG4bzwc1hhYtZB5Xk3yk06i3Zj53xzVx9gHzhpx856NkRMEKbvo7RxCP3xC4DH/IS9VQD/aHOU +42embcIOTgxJrxOvc3X/AOrVsehFBhYmaByCrmNsxCDICE9ckJQkARET6yAYaVHSxVIzsgdMdViP +CvNJGeY6r5U5FbNr5HSrP4jKZ0c1KUuPazTYqbOmOYr4orfaRhsPzkOJDGAu7tcrMFO/oqI3HKzG +QrBQrHjte3DUr7Q6Uwn7J5t8ACD3fdEeIada0xmd4T5cK/iwRjZSrdWD/Sp++eQV8k1e7OM9UvqL +mIZNQbAVQLuRAIv1rj2X+zBieRK7khPnXLpxc4pZHm5wKqcEq60YUCBwdBbAE0Ms73sIU0AXE4dt +pKhDiudA1dGouX6rorcGa9jdC6KNjjE+L869IpH+2pYpSBdWsVxwaRmLjKZffLk3v6Bri/KZ5YF8 +MOMMBtEBw8YDfjKwCB4RWk9TW2a0fe46a5HzS+/0qpFCaQnNodU24U4fMQ3lZziLD91FUQufoBVp +mkDtgp4BG0HY9rorOYTl/KreJdH97xck1dq5BycOWbhI/bC2g2P6a4KZBWCTR69p6nCQPqeyizmb +EqxAV+Y8PQJ26jAEeHB68nuXDdrCsgxDdGLrLLmlgYhRaPlDuYCpaXuTH/pqz1bWqu8Z0mkIjGhR ++1Z4KvIIFvlho7bShEE0tpJwYOTC5hknatECM5AwLiM1PyAkXvRB3Y7BbS9Qi9U/jc3+zF83k0RX +ZGoKMbVM6eX9Ki9ktIJK4CozWaLX0kRjR9A/o9oKKICfhecn62bsWW0LQ3rTyVyU0KeVR+hCGrQY +GriauQIPii1tZnfxHGjZs86hOgzE1JxF6SmlhYjbNrlAQkPR4J3HspzniVyooGE/blF7rEQFO/j8 +oDoay09XWcjuPvZjXU9jtoa1o7l9KKlnok2/IzD598uKqrdgpRG/mUEhv0zRByUR90lRWEcJf54x +Sd4+boa6BYYGNlqW6aFNswqD4xU5dY4XnEZq7Vai+S0voaXpsyVOaLiQFLR044KZjcerlSVph4cA +CKJlvRtodt9svRKr/wiz1oyeqU5Qb7kFL1pky81zI0VOaw+j/8bU4ERO8VUAI1I0ZqxopLs01iPB +RJRxL7piUIp4oKybTshTpf5n2VpDBWRJoMlsWhbJzY9wXEeQcHQ9BZD1wwqV11UyqMg4UWoZwWEw +pEVJh2mR/V2oleS2vsoNNn4dBSn9YGGd0sHtNCDer5SBVrbXxEyCag2PcZ0eTUPXaAJulkptK5jy +8i250PGZRSjJ1hoZ49T1b3R/xaaMMVQE3J+FF4/D+mxcKLuzTY4mm1UF8x1H0PiYai0p2+gn72Sp +zIAjX2aC5HtlUbGF3jjlOly6d0mgkr022Uf0lPgvktyx6pzql/BQZ5XrmCOZxDIT9qdmC/9E1Z1c +jMINzVFkj8h4CqslESDMf+wE+FDVtXgsRipGvWXJ4RWVf91K+hKKMKD4LxY/GzXT2lM25iruM0tu +8nHT/QY0j1cI7mjVJSX/U/uOQ7eX7RyRu2j2Ik4g6SdNZKqtOmEBjC56LKuKlFb38ytNruI0LzKG +wWF0JhImJK7vfycLJJ5BzpbXrJyqyKJ0/l9Ibs/YzDGAn12TP561TluEWpo9NGy+E92WxEVZDq6Z +tw/pgUTLEtRUamn8GmIkkEahBn0r21z+KEh0l8wjXB9DYbGUsgomvxTWAKnoVCU9koBuTnnTRuLq +ovbzQO2gSghzFQm17EdzaQ5XS+nPsmB+7n0+cbVAYMNNud0cbgpx0v2izeB3FW9ZixC/m+6kX39T +e1GsP1KMRly/ZrUwhDpNGlSHeE4OquiKCF8/0FP4n0NR4boDvV7zsODKD6xtAUS+fbT/bgwVRmYq +MLaz7ChbKVn/c4XRBL4QwBhhwyhs9FrRHynG0Qudmv2FYy3BoViJNgtlmedI2JY5+/sH56IV/tPP +YD4Ad3wC7qzqvKfJq+o6EPuLR7F0j0l7u5xt5zim2VwYUPXFIC8jWyF8nXtqIitESwRIQ/p/zwnr +Sy0nvXD3cgLw+mGlg9/CRmLtzz3NSIeAsUEvmGsQFJQMl29tKlQ/B3/HxHZQctsN4FCrH1Irlwvb +VQxh19funXNzZKFZCF33Qv9gMBAGhbREL7HUmjKPxvZXyEFst7xRFL/hLRxsrC8eZl98ZUdy46pr +gvL4W8MqbWnf7+moX5c9syB5glocaN7rNVnkFe4A3P/9bVhKvjKoiGfxDFumN5CZ31Wx9E0U1bZ8 +uWQl0/CFWX8xdQZRcDdJNNY5kBihO1srHEHYq2lhhxDE0PRNnIG8cgKeaC9ue0UDfusNs227bUe/ +HakkLfg26eW8yGFtUh4p87kFf2BFIABnJIf6rYmQmQJW63YP9zqSkO2CMCA4pg6P+sh/47CaYDBL +Fzo2KwWifTnbP8aYsxBVys8XQH3OGf4/4bdjYE2LXD/ifmjfEN7b7U5lVX3JFYRPuMoJZrm0W7ey +CEouqET9leHnzwRU47K0/+PrihbPTWKqN/4vujD6RCTap5guLw79Y5oie2bPRuWWxMrGm1Qt+eje +XFf6UpO+x5iIwCX3xhzXh3bfnG8pdqGHU93kP2QAmiOZSOI9BhK/yxiK0PsKor/FUOaMkSO6nDx2 +W3PsC4qSv5zf9m9OsKAkvUJ4f/rm8jbCLY/EpBLefptq0W21aZHltAypd0Gxn1E3fg7RdCrm2sdz +/OPzzauiGtqoebCMHoMIiPToE8e1wt0rBLurCBDu/39RoEqnxBpjZp8tnSIXa7V+dpNJuHsgoQur +duLdOV91wt0r12/cseFnjJGZcIHjpqcI3zzfwcWOGoyLVve02SFhmKu4FyRN4O6OGw7mqFjlDbwB +gmJh6+ltkKPZfJVXYlkIWUQigNAYNHE/nAhfmS38xMTcTvuyJp3778vf8xcLEKQsuzQFVaTLh0co +qZXWUPSuFAlH9Ok4asr1I/3zU4iMyDrFyMk9BEttOVO1OjlVGlYs65Jast37h/HR9r4T7opC9zWP +4vE48fPC1j5Z9/1MpP2oKtzTH1zX2CSh5aXGwiSPKeSdhA33RCzLUJo+JxdhDSU2Z4EEwlmhtOs9 +/HaDi2cozL3TDGuLYu08gaM5oFdc03uomTpyFMCyIbtp4njVrgzpzOOrzIxTstxsuC5Q/nyr0AaI +n12eP/9TbVp4gKRqyfc3QvDhq+yScCS1/8JN15sJusM5gcYjV8i5Xn7E6Qzlr1ui5RmqI8V4v+VS +mrvdCd29fw+B6tdwX2A/jdMN7LqMKuIy/eFVmdbFtjgLTdiwfGSL701DzZq7Xp5zLj6qR9H9R1ms +EyixOc+db3C0ZLyvofZhKRq7fp/jx21uPVyzqbTrlhQgY6KCozT4ZjpAe7KQOtjUpeL6NF9mlKBK +BV7TIL3e4arTz9HEabCbU6MiKBXuvsA0WTXsT5W9tcq1BgQ0kPhp293c90LYnRrTZ8f9z06t87T2 +OceMmBujoz4rSNyznmZuu7BaOWFyrCdH3cbuFSqD5byXtvJBYCt6J98xuyEgRi0y+mv9IIW9O/3w +bKhikY9/hclFDoKs7W/LIMjXt2wAzz9Qrb/iq0LAVTePS1SIFst1XDToykBNkygY+8CVJPCosJvL +bAwDEPJEXI1y5jlJE9SlJSnkoWScehcUwXfowTcWjp7USmobt/0zBnW17spDIiKWXzVLnFP6zAX8 +wpjOQ0mluj0rPgHs8xDFyDSBzEeR8/CIPnt9BAcoYsLi0F9uBBjsllXiSyciLSWs6+2aaTfOI5bG +DPPvafhfqEg19K0LDcubVHJZajjAnoTw2Tc/OV06UIXyPM1MzDC1R+grBQ5MQf5r0CSEGiSOcX2T +4DF0J/LTvaFeLaj6TRuvbrhJ8ubaDShZT2fXKCV7SaHuMPQbqBpeu09jdp71rWPwvFPGFNVYeEPg +CzJJiMuxztwR1XUHRmm5Te51Vb7cpZi28RWTLJ9WQD3K+PqiRATfb2TnFQkf0NYkjuJmMWF4G1xH +brAJV3S+pWMt6VEmm+s45z3egfFtyX62SPSPzMRqueZGnbdQZHGhqcvdzC3IPSLU00JzFxdUxnMM +caCLycU60S20kwpuN2M5EgXmsXZcClJ/WE06YHiTG35izzh77eNfp+6DiB8D/DP4U9k3aMxsNKR9 +RNljJbfSyB4PH1qUjEdEL3IghG5dPuQ9w1UF0rZiyjAV1aAcknmxg45B/L3LJ971rjqragrJ7uh3 +hOn+BhuHe87BSzkunX2CUemM+iXpZlzs/zGwEWZ6gf3R3JGaN0VENMdybdvCNGESvCKkP+QqyXYG +XpFeLGsbszpHkUNE4HfHfW9ECSUXh8d6wg1IEr5WnT6D47PFyUPZ0MX7jGW/vSCxbfc/O47wzoDf +se5pwgQN4rxR+lLRxisXLlRMOM3RzMJDSwnqt2s8/fFmBV6E9TDWoHMOoTqSylz5s8xXdlaPcmU4 +yaHnYNxzQcfMqKxrK1blNFeL2hCiO95oUqCbb0dxhxRVQvnhdPcji6Zu8Cf/CH9CzkagbNWtpxAp +pf1mSjcaR3KP72uyeTIMx5+OqdDuo8PwCO0dGH64Z7ENHZ/Eb40/UVyzX6BuN+IszfHqmZ9+Hcn7 +Zn2A8ccvdfmEh7BHrgTli3195RbljVOFZz5RYuOVDIz+eUdrTpUBcpGKLFVPnV3uZfCivWw0VarT +ETSC6qjznkLJEUCLbfSRiRdh9TFJ1OuRyp7+/K7j5OGNWtPJU0mvmGCMW/gTA89tIDaW+yCU1wjR +uupcp4BLSIeVmhFSJQkUdVnmQBboz2iDusSzZ/Y/ik7sYgM5aWZx7DbP6kIgAnx91gHnaEzHvVeY +bya0HOIDpffaj1fy8RffwKtGVxyT2Ewz6i2JXO9zEgQV+fyo+P+JWLLah4GsIx1cuCSndOqpXzJr +cvGCfDj/2BDmgYo+UoDrZCWfIhCa5i0fi3ijOqrzYf2u9eYeANsCRgTy2v6dRoldUuvtsSktcv/i +a5RHLT4O4u+3c35OaV+sQhiE3/mtfZryBC0d4kbqNA+yAj8HNjVa+r2moUCQiJ9MNE4azlNO6stj +WvlnAehTTb/I45uq0+2tmEVeUz4CfyMsiqEf77MfICicoSJKYjvVdQ8js7e31UuZ31101cTSHu7T +p0Fbahs0sX4Jo8aooePvw4UadqwpqmXRGk3BdZKSz81k4vdReg9ErYapxAP1ewqWDKlKaccqA73X +3AT2+59CfcCjRSBybHdL2xUlG0kvGKk4GUdBCDFok221Tm2l5nUy7ZK6/jNsX4hJ0C6t5GalkHWs +fha/WPSSMxWZ3V+WW8uiZU07aXQhB9C2BS61Up4GvK6hwUSZsmLf+m2lr+t9c7/3lpUtU3Vho6ZR +unQouOuOULnahGyAB1tayBWRUTF70Bhfl67P9vsgAUEDlQr6qQ88AwHPadsj6WREppth9kprIYoW +nFqt7/+nWVWab/bVGZxym23cB7RdLR1kIEou18XyBr40yYrNvs+1B6JGdOLgkE3y3ZDrFlroQxby +4zxSCbFaZR88H3eJvx2R6Ba/n17AXrDvnpI8/MyWRTpYCbvI2128xgYOpOfzbiAdy/QPAnDQkfU0 +v99B+PIBI/4y+JO3vLz9gl95rmp0vFHisfldj+6UL1X066m1BWCv792iAo2IFY4vlpLZLe0dO3IV +CbF7UFLMrJeZTAiMBWYIHRoRVnzddCpI3Fe4EoxuOjM/Cqj29b7TjXN/Qno1YgVxaFkxP5YMD8q3 +sxKwArqLkLqwqB4h7egi46TL1PMJQ1zanT4SGvJiAfWwj2gT5FKOyf50GMgNmtFNgAZ6bKAg29Gm +H6BQLa44bo+Mo4SvzcPvQnCxl1ljKczWTVoYZBcD+iU1P3DWrGLNp7jANRZUGV0pKSFPHzvPxR4+ +gxC2BA3+UbbLq2/1vJFjgJk/FFFuUzlTaGxOxRb46Du6oUARO6cybpS/YMXqpbO/P2sAP5dPbC/8 +1WjnWAvRLICmQqBxH/s79NVkLK0iMXQeDBjyr3d2nx4xIGeTgxR0sisBocEJXzuuPS8mHAMwfyhN +80pY4RLcViMbYDRaHku2fLflUJEfKL4iIhP1IWC8PSxvYZeFE1QC0YcLHq23+xTqfCtAmnmirTo7 +sCPLPyunzNwIONoh9R1iIXvCgx2suSslEYtbyk/kvWKj7YgQecKdQHd//JiFF3HNlyBZkkrhJg2S +Hn5TafiE7qoV+DWf4vf2kj23s3If9IF1VQVEbPeX0DvQOUf1b38o2uV0vh7Q7dktJSkhbnUJaMl3 +4Uu5He0KFBW1WsitMJTmpwORmXt/l6IDkIMwkWaDo+atK6nPY80TH6BS1IoP+s4p6LpN42Ms7XLQ +KQzOV/wjG6hUcNFVEsUij0vVWQO2d+N2hMJRwK8XKpasLoKRdx6TTGdDcwW7hiqqIET9bvrNOXYt +uTXoJyQyJokgx2gVQf0uYN4vOGsMbdQC/9Gta3HgezOEYQXdR/6S4PsJ5qGMcwoet+Soj5LGqIb3 +zZJs4gQjiTX8ypRb+rwaj6/NN+MI2T1B+rA88UqxVV62rUxpLlmmCbRPqKzGtnS082BzReTA1wLf +twmXHJZeW9pgFiiZLInIAfuPsteKAYGcLGrXKY8DykbePWAFd87rrWUHjGRq2fptcojzMMkI8vJk +9MQ20U8eKfdMa3yZLZ6p+E9EZjSg4IguiYDQTmFwqIEZcHgP0HXlsH9wu3oKdqc1EphUOaqLVOmL +CxdY440etfGT4H9X/NFqieFOrFLpVvqB1FXvC4A8dMGXhotVPXLhaFo6pRFBLM5gZurm3opGaMOZ +3XXm11+HMKLdFeTX2V+vBo5R8DHQIA469P/Rzn3bIacS+XZ06dboWiEJH75WAxB3GCruu6AnQE3k +7plzf1CMHR8hEmC1BEOSv6GwnenJTOD8qSJR48amCLfvnd/Fc9sezxiWVFBoHabiORoHDfkD+gZi +ZPksHejcKEzpZk8yjASTKhLjgyorPigshxrWmsvOWf/ZuGDtmgDImnlcjr5DqSYanDq9O45aEbEc +GyUK9X009+Swolbfhbff4o27mX/Gwq26+LHu6O87/ksHPOV8MjGKUSj6QdUxeWtxp3JWaog5p8MD +NkzMFvAHIb6NPdTIB7dtojwAqAVYaixzfKjbomlssihFub2Nq6SX0cqrjtZ5G+zv3/FrY3k5Wbbg +haoqUULqG9qocYK2nfQ47/SwMrwDuzgFEc9aJoNVW/aRu7XpWXflj2HjAqt8C0MbMFCwBzHAQbWl +XOrBF5/dHYp4Yrtc1m3yXQinEqMgkZn1A+hjoBiAHJ/6CequuUCOle19jCEgkd/83X+ZW0VtrMHQ +FBYE0t7EZIbY1EZNkQsBcQ0yf0RwHvjYGDMaanomSQDJhdIWNx65pZe37kiaKUfmyruea0zQzr5q +oiTKjxtg+VcfOOaokViCZ7bp0yKmuhHRZpmwH46KnfMlwtzcaatMd16Wl6X8H/yPJ8yrG1SKgUUE +xZWjgImtL+ej0c44NrIol7pe7c3QhhHtnSkmww7TDTVPF7QrOnkcO5s+egocrCPzZWk/W4gKhMgi +XU7y8ukB8DtOdYaQmRHs8ebVigixgbLa0axxIc+QxfN7ymM7WiZ6Wrc2/+yaVuwZSV71e+ZHjvNj +NE+WgfnEw0eCfizLCu6VPeZBxEttB1RUXlO7YsOk1Q8qDnmx9WJqJaKD2qrbGwA619KkxTJAjqAx +le7frprx8l/FwHCBkjjd1CrcF6rQSwelJuzeLRoGfefqkceqVvUruUy3vmkdnPWvrLEo1etaRyrG +NC59pWoJzAiOEoiTBuo1w5FIKcRm7U5C8wF19S2rfyCZU+Tnq5QlDSkb09cAW1jAC/C3fCIq7jmk +cZ2FfmQF0O953N85lUU1K1765htWsGUPIK93lKtChNeA6Y0R+d5MH9KOPDtxHy8zqTAD/Ap7g6oP +MBrFO97tmLsDvfeDprR2DK4gel5ooLbl19UvYXVj9Nh43qrljva+YAl7qtZkguzaidqfQIq+a/dH +j3cVGhRTP5AFitQ0EPL74UgK3y6/wXyTJBLT4P3LBZ5+eiH7frG6N6w+HlF+GPed135vr8EMQMMv +quSqBxsp+aQ36r0arHEFq653eom6Je6mM67oxYwVwWYAlCgJAvUTxW+h+UwYjfp8hqVcHSF7j1MN +dJdpsNhGxk5Bxf+ehyI5KyhnEds+h3DReKqPjDaz4FexRuWY0ha2QK8Hm95YCV6v+AVZqLpwwZSV +KnmPKZCc6B6SILkgqOu5A92vH/oBVG8d7qOjpwMFTXxDxywAamHxfp7IR5Gws+6XPmL41ro8uwkG +B4MGmnOa1cYb/y9Dl1TDH7miczEGjFL+N6kl54a4m2/HufzQtiLAouwN+5aDMilUojMosaXqVqCM +6KzltbfA5rzhaxLdVl0zm43s0xsHsXWlXKyc5juPwyVOhpUTecnyzNQu7RKzmhUdY5U/xaAgLw9v +eMZZrIyPzewNUdqVe60cPVrPIsNfDvD0BTarYM0eZ9GhSykKPcmAwNY7yhBLnl0ZSS2hSOO+p2Xr +nJ30+TVdzv451Jv+fVzpFh5VBymK1hJTKsV8i4ZJhqyj+AsQ2BOnNDP4uLmcg8RjsSehtrani9Ik +KUUMBvWD+biZ5z4Eog4t3YgDHpxXBdp2Nqq0Ou06+FgW1CFVTJFC2a3EryQ5U3eJILU49rQ5y4Ox +ECS4wtEa90frXkBhklhKZOO9/yvxA9BGjquclvD35v6CHoT4rCjn8Ct8lWgjZV61MC87B5g66tMN +KfvlpxkmOy4Y3ZydmsfHmPu0/IPfawc1ssApz1O1yK+hPJA8l/b7cWxvf+G7EgIBK455opGAuGDI +OM1lb6mMS48+DlG2DHHuV3gBJdvjGgmSd7qwtmYyE5JeCtAUpVNCnTw4ZR+mZYlzah5wVvHd4dxM +rNN4TsOTPkq281ApGHWt8LdrKA1yCMQGh0HbPyjB3Jm9y+4LjwJJOy6uDFalUMX8y73x03R6LorQ +u5vtxedBJ4Ebx+cjotY4o24u5uTWaPAZ2qzUf9kJI+ocascr6T7YNbUxfngPO9IGSQERlg66FNj/ +n02ehdqSk3HZfWr6Q8PphSwrS0QarZ4ciUiRiCbll9BH+3tOb52kpLuxW3cKRr9X11i6/wLE2Xf3 +fC5b+kLRl7HfUZ+XZL5hrNcjP1Ve2dJYYxtDvxxitFNhANgghOwY1Lbx/tMLtydarfUrUUpjHv6F +1ggpvAdAttigKGjbS8pFGEECaN187TJTcqef8ZOieIyEytJMhhKnnnvCp7DE7MgGvXTzq/ffwy+/ +c0pOOAxv1e352CyLlZx/3qY4eQtxiVcUAFMlOxyOhi6oz5gKXrc1qzQn06kX+RTJN/Pm1Qs/LUkO +Ud0pAAat3Zrt+hwe1eR2o/Dtlr+juEqFDZyzB/CpLw5bYiHuUNPedB0zzZvnOZoNbmuUMxhy8CFM +tNTn1IY9S9fVI5M250g1PtLfgW6m/RXetUxBTSqbu75CSsCvpI6BG88AQBkEKlPMn9IlDjtFAZmT +B2/HrXqhuD+ReqHZ/5YhftUhusN70Ry452gnXO3Y1B1YNIUmDG8EyJcXM9j6127R288NuNgbLzLY +FlNbzssNmbcDnG/yKZOw+Z1APt4Tnt18ZLiomu6y8DUq20sYI77dl6Tx2xJklGX7UoZ1Pwqktzg3 +UhBFiQ8R4IMHg28yEuy1gp9jpSb+ktxjn7KxpSJoKA4B2I2EiOtJuj1DiJam5TLAp/Kw2pAKYUg9 +BwB705IQgKyw43HLY4BIxaopesnvvImJLVEQlFAL2P288RjJkz91HBs6upb8NOz5HOL9X5BE9N54 +wbh67OBjNtUc+8Sg0GHtAtR1szLsWWn/si8wzwbei9cAqeV92Tn7wu29zgQwYSNWNv5FNyQPV84T +PJw3n6+CsFrwXubmQe1I/cPXeGbOawYCUGGqKvwsTZfWFSmYk+PU0y/ZYQM5UtvN/b6xoEK7BNB8 +r8tNkn02n9uDU+53rCcIQcINXhVi6FagtVJP3AI5xpL+WyoE0Cg/lM29mDroiEH43XH9RhqjQLEe +o1J4iskxO7LGBD64lzzp7+N9hbUdaFsx5nkU9w2aJW7sv6jflPtmjH7EBLADrhF5W2F7hNTVKsFV +Cw5UKayviFKTqPVzpa+WJgZ7NaTh7kwhPgOM4aB/vv2DewwSBmBD9f6XiBsU/V1xCA25j32Nt5Uz +qrykHzObFDoEkdPueq6ooHoxLdy10Iy5l/rUk6dgygnNjjjhQ+xNmSBUjRYkUme0/Nhya1DQoDRF +ja6pnawwkZqs/DTcPoVxLRt5vZ1xWIh2mDnB3YXCi9NGw2XqC1oXPkUcYGNHSXBtFEP2Kcri/Cpk +/FsWNQ+Y+fRa9j67QIgaysVW6A45HVZFOBAaqL5JswderRqnR6t/pk0VWaL/xeFdZvECJNC13j6O +ENX5KN2G8vODzXhS+ZcqdulKPFCUmjan2ou00GHoczpX+baFNLLpmiGq1/lsurrrNB13SsxcTwF6 +9AEiZ8sT+Agt+Men2CkvTOjNHgryUw3ODPjP3pClmBQgFrWxeeHIRDw6AkAvOIj3EvPvCIsM/ir4 +tsqKf2UOc+V/LzQcH+R53CD4bBw3WndS7DuxO85iL9b+JavZf7PLX+nhFWHAQoApPc7+YdEq8ERM +mNLzwLpI/yIqtTM/q2q+5GFomzL124YjCMY5LKznnqUFJT1G2GcdbQch7MycP21zVMJTI8TNB9Fv +qv4w2+VcgQHgivaSABe+oM7DwfhX2Y3mB0txOsQgGs0Tkuz5/CYz0efpmWc2CqGiPPN5cY2tdjA2 +BX+kvXZuQIhk4LYfUzYyw/apvWRp6E7aVTD/ekvGHmIrTkWAqGUn5hhUpnZ/dwXK6wxVo65XTR1z +ByvB/4w2kcQTKbSqEIamJtTJi3hLLVL0BK+sWl5m9WBRI6MdKmv8t+8SprSItVnnR+dSsCW22gFF +FhcdLmWTQIF1OyiOjDin7nmLPtMltXyvy2F8LkFKRvqKCOVm9hWNC+IH9ZiPlwdf3am7ZUpJxlqU +R54XEO+xUaw52tk8TdPjGgbZ85ddsnMx1iKWqVSKUp9V+pjH0jjrmAk9OrOjFG5XyII55zZH53J2 +64NTp2YVQavIitEqSl8MqDhkTmnBQ9nJFOBFlR/bRvSXvDOV/BKqjTLZsK8O2Am74lH5Fo/xCGjV +aJY2imKGWALQeXgewiICLbTZFL1zUuEzYfK4tt79QOqWKonLxjjhT9rdjhaGBSQURE0Eu3XqqP8Z +E2W8QOheqKXWaFURuF0Guy6/NwbV6yEhVkmJfNX5QzPcODnQIP8jkXhvQCBlnLOGy+vrqd2EAfxv +Z6uOfWZwX8abHFUPBi2U+p+YK+n0/yg5qDQa48R5U2jCed+wakvQuw6+R7Q0WEJzx//wQb3NJ2XN +9vaUvoZeLPo+H1aR/tqiOAcoozrjyMzO4mbL3EAbqJ2gTFouS20fBPFUU8S+v8xjuDGkRiZ7UqeT +JvLwNTY676WfcMAhOtiTZhfZ0SHuEThiWpUvnNaJtPRl5kKcvkcNdG0sUut4MYXw26jBS2NZ6Up6 +SjqxbT+aLgg4SPytlnHevBdD/7H8n2Dw/Rjf/1yexSUbktDQcGcIaxFSj9HVUej6+wjZO7jQWwo8 +f0yNTOou16de4FxrJc82Y4vn1heoAvfixSGw8Cih4acCLNG8DhSaRXqR7mwHbkIt56JWwhJO362+ +ghShfgb3exioiupmDMvffHOTTnQsTLAGBe0096LgMJrzsu61/MHmHrNJirnvuJbezuh7zXOJ1rLl +gDI8P/K2lYFQEiodCKCeJRCnhzzl3ZyU0kHDMfJj8smKrYTJ8PQ8RNtgkbKQH+sb0N7jHDcgdz3I +Imd6H3DEJ4jHT3ZdeVJupAE5HVCx/NLektyO/gbn3FVb2OGl7P5xD4t4XW9g429hirV2bAyqtVWu +Q6ZSsx6/D7lNPShoKfetqA+F93FohwdDAsn7WBGaPyFnOB2kSRE/LVz0ffQUPRTV5sU9LzOsxbnd +iebRZgqh2pVIP9zoO0MKxV1wHWrBINmFOa80yf/xOWrWBQ9uJoGjsfUSq4wmD9UIpqa2APeL9LRE +P8BdNavGU1xbBZbQFxuL18bxJiv2nJercumVVDqqC7C/G+TDzqxmKmU8/L7SA9JFRfYV9goyGOwM +SOYS1zXWL23L8lnJwTlKLPxN3abE51H4rVJkii0O+aK8cBLJ+andwpTGkFvpocPV8VfJoL+bVpHc +Qg++IW6hB5dSa4tgiI1i+SbT7iGKEcs0PSvH07ZwIvRxS48lRnMNIfXqf6bpfiBBZrfOzzZvexNP +CsMwkcp9Tw6Br3WacTumlZ8Yy45OfbefkrWyeBhAuVXM0398tI/qExMEDE4NWVlKsTCs6V+sx5NO +w7lCa5MD+5+B4OFY4Z5JiDpxSSJ5ZOorzxrFZOcJYcI5AAX+ofnKP94Y3sOp5CZdnMuQceO9HHX5 +Tr+ZVUiaK+Fs4qzr/GDjREsq7sPGJ4EbgHec6r1ZHEsqrf2jxbZnBIyr1B2hc7YzLklIIoKeLIEQ +DRRF6slXANADH+eSo4olwaOmIEHAeaeOwmn08Pt8IftxaJ9cho+XyLrAnNl159rfdU6I3JSNKA94 +aUHzW6oYs5UErjvpuKNXH4ORQbzg4ep6qNaxu9MvIYhIZmYdNjcJNcpeaYOJxFCLfBZEjdo9wTNX +v1EE2b5BtqU9Hdr61v9TFZof8jc0Xi1R2U27P+py85jqz9Fj6tADrVgrmiArDTnZsVgwdouOw9xX +faIOf9+KvavZ/lBINEJjuLN6AByAudMqCGha+MVEHAPiZVEi6xSu0J4jKPfOUWvIF1I7saBG9Tj7 +94H06jqs3he+uKr/vwEBrFfAb+wTjqDbWQUMZFiLScDoGfu6sxXR+GvPMdawdeWbPjqs7osC2TVS +i6PpIEX3l6L5rEA0IIyRj/sWcnVfzyaDkXzM9qhY3O8KOnQBK7jqpmXXDBU3zJq99TKHEnmW/1V5 +qdw1vta+4FbigUj/mgMIEY0rVgHtBzDHdjW+/79QwMKSM/1DrCvEjf9WZ+B7l+MKxiF4CkpO8ykD +YuH9p8aZ0OG6CkABpL4euQ63C1NlOq3Q11HSSkj/j/CSCnEoFbZ1yY2Q3Zc4QCl4sbel3PMry3Ww +dbHbAK9JgoCP77CQzWCpMYKsSMMRmAigxSBTQAQ0WGP4miYqOPdpaqm1JSdFCDX33LVhAR1RM7j5 ++8JihdNRmFnDGSt8m3VE1ql1boxlwMWG1+fAYg3rgit5slilz2q1wm4Et5nvsmoSbnJ3vCLqnE36 +crwWC2sifIuRtg4ykq2Gb1Nla3wVTtoK5pitr3JubWt4ZihEZMUYJiEzR1WYIP0fUgzIZmGha1+F +KdpPg31+pOUImHH33xWvmbZYhALQjZ1bvym5MvziIv/bMEb17IIvz3iok1uqCzn/oET7X7Z0kBi1 +bnGObwZyk7md40IyEZhuz+MlD5/adjB8Pteh5InlD1XcFezomFyxYoXFLJXLqp5shF2fZ1eiUoBZ +I3JlT8qSHvF9r/yS2el90Wi3a6Yo/NKfGTGMpEKTi8ndn/s/LXtKBNvO0ZiAjbxbKzH60PrkGnpu +0a46oDj8H1JPaw8UQ3E6tJtWDRasqJ3S9zAxXWLjCOYaZlgImP85DRmx4K2pu1AEiUG3x/wnUoKF +4ZMNnIWpc6W0IcUEkWt+xkW5phNhe83jgdzOgDMmjiktyp7NOLBH21hlZzOuyvisVMdZErPobDyk +OIYUNU07bkKWxkh8em1zIfS1xZ10jyK3fe/RajyRLR3m9o9Q4ZMEv/ii4cWGuJ0CVmjyeNUOzzpE +5degtTW9iblsFCcD3tnnfqy+ToiNbkgAuzhkMJJa8W7tmw8P2e7VG40sYY0gDw1ywhT5E9Iln2h6 +VwjRrn/bVeWYCy+d1jzb/zmUgaaIpM8uRbLJ42mzieuNUthv7PFGHoKJ3gUSIQSHCPG1pIYLZWB0 +TPNhRuZW6opFDR1QfXPftRowVXnMwif9uKWqemXXVjL+TgRmXJh5dK6Eg3Ni9g4axLyR8HIh8hQw +pJFNomfyjmamVpCpSndccWvqVQOLrb2jiR3FBsxsb+Wy8+L6SFvfZJQrdC3A0XJntdz8pwrt4KbZ +Cku6KpxniDbJpEB690Q850eTvN4V3v8QlcPgJY8+FqBhXspwV4hjxdQMcuhmRofNgMTjFcyuRGFd +Tx9M5Tf9MyfF+I6JNCrJypUG55XaxautJ8OscLfD0g/oCijnRetQ4WD937s3hJWl/W/747VHzsWo +IUQxK6D1bMaa6ZP1Er0I/PpRS66m2GoalFD3iTlVI/pKp5qVVpWZZNQnYFqp02sRn2q8V3pfURsy +hpC2Vx87UJkkUjq5P+pKtpAyew9ug0hmNxiLp8cvfQIM0g2kcnc8698AC6YzmajIp1rUriWE5mAZ +SUurrhax912OYJrD9pwujQXoo1FiubitGterJnzV6cmSw3Y6PnAMO4SL9MeDGj8rEuy5v44zYO7c +C3vgfeZXKsplw0GOK0R0M5+lHDD4+46CuJMaGgj1CL2aTtc1WM6oJsUcqS9MkrXWVSnGvpYOAFpl +0/2qDEdAJ8j0wk4Yv+lchlG6w3oPkSIQhvjxT49k0wNuED6x926eYrXkN7RkyvQbhQgufuzMqOiu +ul1qoaFKXVQDsseNPLXg1xrtKakPanJyIcCTyEOMgo8UjslXws0lNG9KhtfGFjqWIlGviwVK0M3+ +W3QPg0c1axuQhiExHoqeAYcZ/lS5Gff/ht8buZmj8e7SU75czOFZbZR1yhUCbCTpXJ+6eph8T8v0 +ca5NkrbsOINQx60m3f5Y5r5Y7hxk2uMy8qKVgc+ln/nwWCEw0MdBoKmJlRPoFm/ndwEM5xj1CNpZ +8mLNG9qHHEofbIIj77aelk3IYdD1wXLERR6rswI9yIf9/zvv6gI6W0kLBL3fnOP1pctg3ZUxGrdv +99nGcaJoZcBXhhsYXsXHUJH+Ojt0mfVg0A299UjRxIL34zjItlQQHR0mAUiKnWaXSeroI4NYl0gO +gQnsHL+xMnePcw/1uu89FJlP1ii5EmeYUSLSNI9DXRGN3Xs4aQKEdP5xQBqVEfHF3x29n9YfRA9d +r6CH2L/kNClq6w5ZmSqf0L0dYV4z3Secso9x3vy8U7Jmw76OAsmdBwe+qMUZsN8Q2/+HKzcitnVD +w4M7jBt3gtOS/6wDIKHPCpVkZB4zf4jdqPfVWqWIOA/U8qfdV19bf4DtpHFwpMQ9Gy9ZOnAp3EJu +1Xva5+lX+JzJLy/emdELb3ZYsDRpSqv8FNco0dGGkre2+c72eRkuUWKdy2ZwXW3OSa7oo1pKMG6I +4lViegIlY7rCp+VCYq3LOBjWrsTVBLoYECOTFD3tb+0b/5VkgdbHShOm/OAx2ZHoydzCAGTusDCC +bCmEBdpSlc8MI6vkyNVfE3OV47YQgDZZXQPVhDUPyDx/eN4zYSxH2WLbT6/54Cg75oUaBrAo33OU +KgwXWnT+9pJQrx97QFqvk7urcXIjoUYN4NWezqYg8ZOJfZFi17UBeTLPmxUxbql/to8N2e/hLLjZ +IdnSWqmxMu9q2WWKgz7NpP/Q+4v1aMYKOkY5msfz2Bg8eCa1GFhc/ZELC69Nqv/N+4f+ylRHwC9m +uFlouFlHeS6P0iM7PrQWtm9ocSep7IgPspEU8MfurtgnVVBxgtZaoU3/Dul1u3qcAIGEC41Ozgfw +DkjZ7qDmGeUleHUZWgYC+W8stRy4fWtCNKSL7NTWX45nihNuXgPWkZC5AvJzJ7vXG9OM7BNIlfUr +dP4lR9aMlxG4uH4M87t+AMI4/5EvBmqMatHNiWnbfMmPSzjqFIXFHxyO9ZfPVqPPi8q0F5u505P7 +9J/n4683zujVBeNdCSuCQOiViQ4usoVW5K2Cdm+huyOigslxpzu9KxYBRm5opbjtziALhbhWyiCa +h62H/W1lbTzvTTyGSs5v7wkORALSZoz2IRr65OjpGk7owaLDQut1Cgcph9dluGkR2hpVAn9UErAG ++Dzh5Dxbo6CPBSsP5mYLWB5//aNZsnCdlB/Ipeuo0Zd40GRJ+t/npGz5hxHroo8nzbn110MGCLot +gTIVSDKLytT4uyjHTKtRhvVZipCcZ6MgOcDugyZMWfCdzmibW2RtkBORxEn9Kq4RScqqeQtQx9J+ +6Q5+aRsBR9Wxvkx2vtshxUgmYzG1KCx6gAYKSfFBiEqgGfh2t2YpZYE53CRcpXq3im3LpJnx9+RV +tPeuuU9vKK6Xk/ThIydgVPpWd8ipo8Uzxy6+5f3glWJAznQAYXjwJrueNJKdHI52T80ywlGwf4Eo +EUzb6M1YP9apwtXj/oSLNaT/ZqVL4NLJAkP8gajOML9sLMlnm4N/A4LTEF1NSpD2CGEaawWwfLRT +gP2NI8PJQju+iMtJi8Bp/FBz0ljN2rKesZ2FODY0zM7TwY2ULqazcpviwbcTKnmaBdMpEdU1tnJ0 +FkCXERlHx0bZ6aEohpxKBuB9RadtmpLkIbmZFLkAACSW5MN1VfNGHJseqeOiMk6KTtVaSKJfH/CK +n7LftnJUrZ89TgX8PsSgIRQ/7m59b8DoBUSz7x838okVgeajPV3Hi+sCTzDOOb+dsW99MW3+Nka2 +vcsNWCY62yJc4QN+i4QJ3qCPoJ17gQIyjQaJbzsKpHuWIILNh9CdV1xni+PCMRMsxWbC5o9moiJh +suM0iLwz6vlab5wrf4OofefWzCKgInDza+85KknWF8IOo3QfAjtncGrcftYBEETq0WMeX02uccu6 +Wwwcx4D844+SmzUysecQjy2Uifcxh5TI4RJ4vq7gnqxRpxc6Sh/xiPhjgx5J7BYgMQmWAdaOjHFe +STkqbds78j/b0SYIvIMXbIYCZsZ3NGm9nXLFi9N1glfGxbzyqwlo5wVgT7jiLjfykdry+DBBR0JZ +fwdTe1vqbjsxYyLts3v3zkl1PZ0sfu7+6zJsjIk2/wc8X7bYy7aP7E9nXGBB0YjO1wDXWetJVKsW +uXUyhCdH14zNvsu/ws2DQtQ/MYVcsYHonTSYG5fR5PwNYbeF1acpB8rMXsQnSiQsiHnDaEx3gDIc +XY2rC+dUC9IdrunFqQROCB8A5OzuOVMtwbRipcGH1M+Dfp2SBcImVSMb9w4X70SqhqXWqKbNIOv/ +xr+aJHRLicP8CUYV5TekXhguTC4zVvLoawWJyrO9haKSS6NnNv4q3IR0dYgvp80oREvBAVyMIq2v +0Dcz4EQ/QDc+k/PlTLCMgOqHcnLKQWCQZqCedFq21l4xcG9hK/YxAGbxey5qexYFbHirxPr+UIl+ +ndm71MEDItLht7ywkBlDi9rhDzKkaVm4hhOl8AEtpFKukpFD6E5FMsXU890791ndZ4J/5kWKn4Fj +C5GGJWgCxWhYQldl7H0eR+eGkWb3Ona9NW5fsppd0XtQ5H6aSKlYE+sOwEd6Rmx+g8bByUAxF7sq +UMp1BP3GhdefN78NgtpWydJdZCCf/CngrzCOedj13qu+c//RRq6fjD0XS65ds4oZWUr3+gmcma9Z +dSxiVmy5S4w+QVmuM+UtwViMqFGednq1b05jMDWF3vwdmrm1pLv6h/4LQRx/l2BD0Fyf9l7FlvlQ +igf7SGuDPihV/wvYmWOnhblDusDokJkEWH3Hr4rkASLpwyrSzg0oxrfCEnU8YqeHAqmViP8o1u1D +ggcKe39dJ6F1468lERUVDzwRt0MDpUV8W6nDhND77Zkas+JBJ8Cv4UbBmnu6X1moH00pQ82lCxVU +wprwoLnaMxUD3rf7iePRSVPYgQoTFLx6izCv079dWYHZctelaUviZJG8TqbRVpx0/sEj6Ixr6c/j +LdymDnvNa9lRr1xuthx0GFUFMF4KkM4VgDUPvGZlRu0Aq9M8lKba/adJNf4QS96UbP6XvKWzLNsX +4VvunGU43VXq5hl7LavS68W2axb+Km5ov8YlGPsZFYOZ4bJq+tYmH0v0FxuyGgrpXjFORTT60/qb +v6vziJgMclVm0sPm1c5z1knQcmwl920hEYcVJXvSYsV4er5Pv11JUft4fDFeOFHmWBru6IDyu1N7 +SSVOnpxE+ig8bLUWWhQQXxR4dI2MU4N6E/BwxhnXDB1m+pVv2ekkcrgBDY1nIiD5Ie1+uL6fzPUx +VJukTXg4ePyYy9l06vqGWfYFeG1+xqPsAGXBHyZfVR4uR52LdbE6pyoLQu81jf2GqAoWKoCHvK7+ +OHsluUEoXZRiLKipJc0OB8TYRyuZOMYaCFdGwhJfIND30WUPXT2GSCiuZFWghoCoJkWFTQKUpCSu +U9bRSonZhX/KEpmHJ6vY0JeC30dmDQ5oC26NrPX+KqDik1CyOENqqlPRI0QrfM3MTuRxzyhCruvm +JBj5OZehb5OpNKyddTCImeBD8JNuwfvmI2sUATD7ELwSyQLPVqa7Gzwz/QlJmMUY7gO9jxT8SQd5 +jnHY1kZJyja0ceNdaRhAxdU8NiD6IugnZA== +`protect end_protected diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/gowin_spdif_tx.vo b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/gowin_spdif_tx.vo new file mode 100644 index 0000000..a36a843 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/gowin_spdif_tx.vo @@ -0,0 +1,1441 @@ +//Copyright (C)2014-2024 Gowin Semiconductor Corporation. +//All rights reserved. +//File Title: Post-PnR Verilog Simulation Model file +//Tool Version: V1.9.10.03 Education (64-bit) +//Created Time: Tue Aug 19 21:50:04 2025 + +`timescale 100 ps/100 ps +module Gowin_SPDIF_TX( + I_clk, + I_rst_n, + I_audio_d, + I_validity_bit, + I_user_bit, + I_chan_status_bit, + O_audio_d_req, + O_validity_bit_req, + O_user_bit_req, + O_chan_status_bit_req, + O_block_start_flag, + O_sub_frame0_flag, + O_sub_frame1_flag, + O_Spdif_tx_data +); +input I_clk; +input I_rst_n; +input [23:0] I_audio_d; +input I_validity_bit; +input I_user_bit; +input I_chan_status_bit; +output O_audio_d_req; +output O_validity_bit_req; +output O_user_bit_req; +output O_chan_status_bit_req; +output O_block_start_flag; +output O_sub_frame0_flag; +output O_sub_frame1_flag; +output O_Spdif_tx_data; +wire GND; +wire [23:0] I_audio_d; +wire I_chan_status_bit; +wire I_clk; +wire I_rst_n; +wire I_user_bit; +wire I_validity_bit; +wire O_Spdif_tx_data; +wire O_audio_d_req; +wire O_block_start_flag; +wire O_chan_status_bit_req; +wire O_sub_frame0_flag; +wire O_sub_frame1_flag; +wire O_user_bit_req; +wire O_validity_bit_req; +wire VCC; +wire \u_spdif_tx_top/inst_ODDR_spdif_1_Q1 ; +wire \u_spdif_tx_top/n13_6 ; +wire \u_spdif_tx_top/Block_start_flag ; +wire \u_spdif_tx_top/Spdif_tx_d ; +wire [1:0] \u_spdif_tx_top/Spdif_tx_data_Z ; +wire \u_spdif_tx_top/u_spdif_tx_control/n17_3 ; +wire \u_spdif_tx_top/u_spdif_tx_control/n38_3 ; +wire \u_spdif_tx_top/u_spdif_tx_control/n53_3 ; +wire \u_spdif_tx_top/u_spdif_tx_control/n70_3 ; +wire \u_spdif_tx_top/u_spdif_tx_control/n87_3 ; +wire \u_spdif_tx_top/u_spdif_tx_control/n98_9 ; +wire \u_spdif_tx_top/u_spdif_tx_control/n109_5 ; +wire \u_spdif_tx_top/u_spdif_tx_control/n110_5 ; +wire \u_spdif_tx_top/u_spdif_tx_control/n111_5 ; +wire \u_spdif_tx_top/u_spdif_tx_control/n114_5 ; +wire \u_spdif_tx_top/u_spdif_tx_control/n150_6 ; +wire \u_spdif_tx_top/u_spdif_tx_control/n151_6 ; +wire \u_spdif_tx_top/u_spdif_tx_control/n152_6 ; +wire \u_spdif_tx_top/u_spdif_tx_control/n153_6 ; +wire \u_spdif_tx_top/u_spdif_tx_control/n154_6 ; +wire \u_spdif_tx_top/u_spdif_tx_control/n17_4 ; +wire \u_spdif_tx_top/u_spdif_tx_control/n38_4 ; +wire \u_spdif_tx_top/u_spdif_tx_control/n53_4 ; +wire \u_spdif_tx_top/u_spdif_tx_control/n98_10 ; +wire \u_spdif_tx_top/u_spdif_tx_control/n109_6 ; +wire \u_spdif_tx_top/u_spdif_tx_control/n111_6 ; +wire \u_spdif_tx_top/u_spdif_tx_control/n111_7 ; +wire \u_spdif_tx_top/u_spdif_tx_control/n113_6 ; +wire \u_spdif_tx_top/u_spdif_tx_control/n17_5 ; +wire \u_spdif_tx_top/u_spdif_tx_control/n116_8 ; +wire \u_spdif_tx_top/u_spdif_tx_control/n115_7 ; +wire \u_spdif_tx_top/u_spdif_tx_control/n113_8 ; +wire \u_spdif_tx_top/u_spdif_tx_control/n112_7 ; +wire \u_spdif_tx_top/u_spdif_tx_control/n24_10 ; +wire \u_spdif_tx_top/u_spdif_tx_control/n15_7 ; +wire \u_spdif_tx_top/u_spdif_tx_control/n155_8 ; +wire [7:0] \u_spdif_tx_top/u_spdif_tx_control/Cnt_block ; +wire [5:0] \u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame ; +wire \u_spdif_tx_top/u_spdif_tx_package/n62_39 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n62_40 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n62_41 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n62_42 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n62_43 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n62_44 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n62_45 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n62_46 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n62_47 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n62_48 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n62_49 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n62_50 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n187_3 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n64_5 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n18_6 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n16_6 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n15_6 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n34_10 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n187_4 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n187_5 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n187_6 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n64_6 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n19_8 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n17_7 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n15_7 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n187_7 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n187_8 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n187_9 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n17_9 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n19_10 ; +wire \u_spdif_tx_top/u_spdif_tx_package/Parity_check ; +wire \u_spdif_tx_top/u_spdif_tx_package/Audio_d_req ; +wire \u_spdif_tx_top/u_spdif_tx_package/Validity_bit_req ; +wire \u_spdif_tx_top/u_spdif_tx_package/User_bit_req ; +wire \u_spdif_tx_top/u_spdif_tx_package/Chan_status_bit_req ; +wire \u_spdif_tx_top/u_spdif_tx_package/Sub_frame0_flag ; +wire \u_spdif_tx_top/u_spdif_tx_package/Sub_frame1_flag ; +wire \u_spdif_tx_top/u_spdif_tx_package/n62_53 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n62_55 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n62_57 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n62_59 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n62_61 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n62_63 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n62_65 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n62_67 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n62_69 ; +wire \u_spdif_tx_top/u_spdif_tx_package/n62_71 ; +wire [4:0] \u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame ; +wire [26:0] \u_spdif_tx_top/u_spdif_tx_package/Parity_vector ; +wire \u_spdif_tx_top/u_spdif_tx_encode/n33_3 ; +wire \u_spdif_tx_top/u_spdif_tx_encode/n617_13 ; +wire \u_spdif_tx_top/u_spdif_tx_encode/n618_13 ; +wire \u_spdif_tx_top/u_spdif_tx_encode/n621_13 ; +wire \u_spdif_tx_top/u_spdif_tx_encode/n622_14 ; +wire \u_spdif_tx_top/u_spdif_tx_encode/n55_6 ; +wire \u_spdif_tx_top/u_spdif_tx_encode/Inv_preamble_8 ; +wire \u_spdif_tx_top/u_spdif_tx_encode/n427_5 ; +wire \u_spdif_tx_top/u_spdif_tx_encode/n617_14 ; +wire \u_spdif_tx_top/u_spdif_tx_encode/n618_14 ; +wire \u_spdif_tx_top/u_spdif_tx_encode/n621_14 ; +wire \u_spdif_tx_top/u_spdif_tx_encode/n622_15 ; +wire \u_spdif_tx_top/u_spdif_tx_encode/n58_8 ; +wire \u_spdif_tx_top/u_spdif_tx_encode/n56_7 ; +wire \u_spdif_tx_top/u_spdif_tx_encode/n617_15 ; +wire \u_spdif_tx_top/u_spdif_tx_encode/n617_16 ; +wire \u_spdif_tx_top/u_spdif_tx_encode/n618_15 ; +wire \u_spdif_tx_top/u_spdif_tx_encode/n54_9 ; +wire \u_spdif_tx_top/u_spdif_tx_encode/n56_9 ; +wire \u_spdif_tx_top/u_spdif_tx_encode/n54_11 ; +wire \u_spdif_tx_top/u_spdif_tx_encode/n57_8 ; +wire \u_spdif_tx_top/u_spdif_tx_encode/n58_10 ; +wire \u_spdif_tx_top/u_spdif_tx_encode/Inv_preamble ; +wire [2:1] \u_spdif_tx_top/u_spdif_tx_encode/I_block_flag_reg ; +wire [1:0] \u_spdif_tx_top/u_spdif_tx_encode/I_sub_frame_flag_reg ; +wire [4:0] \u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame ; +wire [1:0] \u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode ; +wire [1:0] \u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data ; +VCC VCC_cZ ( + .V(VCC) +); +GND GND_cZ ( + .G(GND) +); +GSR GSR ( + .GSRI(VCC) +); +ODDR \u_spdif_tx_top/inst_ODDR_spdif ( + .D0(\u_spdif_tx_top/Spdif_tx_data_Z [0]), + .D1(\u_spdif_tx_top/Spdif_tx_data_Z [1]), + .CLK(I_clk), + .TX(VCC), + .Q0(O_Spdif_tx_data), + .Q1(\u_spdif_tx_top/inst_ODDR_spdif_1_Q1 ) +); +defparam \u_spdif_tx_top/inst_ODDR_spdif .INIT=1'b0; +defparam \u_spdif_tx_top/inst_ODDR_spdif .TXCLK_POL=1'b0; +LUT4 \u_spdif_tx_top/u_spdif_tx_control/n17_s0 ( + .I0(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [2]), + .I1(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [3]), + .I2(\u_spdif_tx_top/u_spdif_tx_control/n17_4 ), + .I3(\u_spdif_tx_top/u_spdif_tx_control/n38_3 ), + .F(\u_spdif_tx_top/u_spdif_tx_control/n17_3 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/n17_s0 .INIT=16'h1000; +LUT4 \u_spdif_tx_top/u_spdif_tx_control/n38_s0 ( + .I0(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [0]), + .I1(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [1]), + .I2(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [2]), + .I3(\u_spdif_tx_top/u_spdif_tx_control/n38_4 ), + .F(\u_spdif_tx_top/u_spdif_tx_control/n38_3 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/n38_s0 .INIT=16'h0100; +LUT3 \u_spdif_tx_top/u_spdif_tx_control/n53_s0 ( + .I0(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [0]), + .I1(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [1]), + .I2(\u_spdif_tx_top/u_spdif_tx_control/n53_4 ), + .F(\u_spdif_tx_top/u_spdif_tx_control/n53_3 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/n53_s0 .INIT=8'h10; +LUT3 \u_spdif_tx_top/u_spdif_tx_control/n70_s0 ( + .I0(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [1]), + .I1(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [0]), + .I2(\u_spdif_tx_top/u_spdif_tx_control/n53_4 ), + .F(\u_spdif_tx_top/u_spdif_tx_control/n70_3 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/n70_s0 .INIT=8'h40; +LUT3 \u_spdif_tx_top/u_spdif_tx_control/n87_s0 ( + .I0(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [0]), + .I1(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [1]), + .I2(\u_spdif_tx_top/u_spdif_tx_control/n53_4 ), + .F(\u_spdif_tx_top/u_spdif_tx_control/n87_3 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/n87_s0 .INIT=8'h40; +LUT3 \u_spdif_tx_top/u_spdif_tx_control/n98_s6 ( + .I0(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [4]), + .I1(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [5]), + .I2(\u_spdif_tx_top/u_spdif_tx_control/n98_10 ), + .F(\u_spdif_tx_top/u_spdif_tx_control/n98_9 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/n98_s6 .INIT=8'h80; +LUT3 \u_spdif_tx_top/u_spdif_tx_control/n109_s1 ( + .I0(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [6]), + .I1(\u_spdif_tx_top/u_spdif_tx_control/n109_6 ), + .I2(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [7]), + .F(\u_spdif_tx_top/u_spdif_tx_control/n109_5 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/n109_s1 .INIT=8'h18; +LUT3 \u_spdif_tx_top/u_spdif_tx_control/n110_s1 ( + .I0(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [7]), + .I1(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [6]), + .I2(\u_spdif_tx_top/u_spdif_tx_control/n109_6 ), + .F(\u_spdif_tx_top/u_spdif_tx_control/n110_5 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/n110_s1 .INIT=8'h14; +LUT4 \u_spdif_tx_top/u_spdif_tx_control/n111_s1 ( + .I0(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [4]), + .I1(\u_spdif_tx_top/u_spdif_tx_control/n111_6 ), + .I2(\u_spdif_tx_top/u_spdif_tx_control/n111_7 ), + .I3(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [5]), + .F(\u_spdif_tx_top/u_spdif_tx_control/n111_5 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/n111_s1 .INIT=16'h0708; +LUT4 \u_spdif_tx_top/u_spdif_tx_control/n114_s1 ( + .I0(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [0]), + .I1(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [1]), + .I2(\u_spdif_tx_top/u_spdif_tx_control/n111_7 ), + .I3(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [2]), + .F(\u_spdif_tx_top/u_spdif_tx_control/n114_5 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/n114_s1 .INIT=16'h0708; +LUT3 \u_spdif_tx_top/u_spdif_tx_control/n150_s2 ( + .I0(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [4]), + .I1(\u_spdif_tx_top/u_spdif_tx_control/n98_10 ), + .I2(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [5]), + .F(\u_spdif_tx_top/u_spdif_tx_control/n150_6 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/n150_s2 .INIT=8'h78; +LUT2 \u_spdif_tx_top/u_spdif_tx_control/n151_s2 ( + .I0(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [4]), + .I1(\u_spdif_tx_top/u_spdif_tx_control/n98_10 ), + .F(\u_spdif_tx_top/u_spdif_tx_control/n151_6 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/n151_s2 .INIT=4'h6; +LUT4 \u_spdif_tx_top/u_spdif_tx_control/n152_s2 ( + .I0(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [0]), + .I1(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [1]), + .I2(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [2]), + .I3(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [3]), + .F(\u_spdif_tx_top/u_spdif_tx_control/n152_6 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/n152_s2 .INIT=16'h7F80; +LUT3 \u_spdif_tx_top/u_spdif_tx_control/n153_s2 ( + .I0(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [0]), + .I1(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [1]), + .I2(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [2]), + .F(\u_spdif_tx_top/u_spdif_tx_control/n153_6 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/n153_s2 .INIT=8'h78; +LUT2 \u_spdif_tx_top/u_spdif_tx_control/n154_s2 ( + .I0(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [0]), + .I1(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [1]), + .F(\u_spdif_tx_top/u_spdif_tx_control/n154_6 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/n154_s2 .INIT=4'h6; +LUT4 \u_spdif_tx_top/u_spdif_tx_control/n17_s1 ( + .I0(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [4]), + .I1(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [5]), + .I2(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [5]), + .I3(\u_spdif_tx_top/u_spdif_tx_control/n17_5 ), + .F(\u_spdif_tx_top/u_spdif_tx_control/n17_4 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/n17_s1 .INIT=16'h0100; +LUT2 \u_spdif_tx_top/u_spdif_tx_control/n38_s1 ( + .I0(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [3]), + .I1(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [4]), + .F(\u_spdif_tx_top/u_spdif_tx_control/n38_4 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/n38_s1 .INIT=4'h1; +LUT3 \u_spdif_tx_top/u_spdif_tx_control/n53_s1 ( + .I0(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [2]), + .I1(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [3]), + .I2(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [4]), + .F(\u_spdif_tx_top/u_spdif_tx_control/n53_4 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/n53_s1 .INIT=8'h80; +LUT4 \u_spdif_tx_top/u_spdif_tx_control/n98_s7 ( + .I0(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [0]), + .I1(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [1]), + .I2(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [2]), + .I3(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [3]), + .F(\u_spdif_tx_top/u_spdif_tx_control/n98_10 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/n98_s7 .INIT=16'h8000; +LUT3 \u_spdif_tx_top/u_spdif_tx_control/n109_s2 ( + .I0(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [4]), + .I1(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [5]), + .I2(\u_spdif_tx_top/u_spdif_tx_control/n111_6 ), + .F(\u_spdif_tx_top/u_spdif_tx_control/n109_6 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/n109_s2 .INIT=8'h80; +LUT4 \u_spdif_tx_top/u_spdif_tx_control/n111_s2 ( + .I0(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [0]), + .I1(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [1]), + .I2(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [2]), + .I3(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [3]), + .F(\u_spdif_tx_top/u_spdif_tx_control/n111_6 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/n111_s2 .INIT=16'h8000; +LUT2 \u_spdif_tx_top/u_spdif_tx_control/n111_s3 ( + .I0(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [6]), + .I1(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [7]), + .F(\u_spdif_tx_top/u_spdif_tx_control/n111_7 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/n111_s3 .INIT=4'h8; +LUT3 \u_spdif_tx_top/u_spdif_tx_control/n113_s2 ( + .I0(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [0]), + .I1(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [1]), + .I2(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [2]), + .F(\u_spdif_tx_top/u_spdif_tx_control/n113_6 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/n113_s2 .INIT=8'h80; +LUT4 \u_spdif_tx_top/u_spdif_tx_control/n17_s2 ( + .I0(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [0]), + .I1(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [1]), + .I2(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [6]), + .I3(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [7]), + .F(\u_spdif_tx_top/u_spdif_tx_control/n17_5 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/n17_s2 .INIT=16'h0001; +LUT3 \u_spdif_tx_top/u_spdif_tx_control/n116_s3 ( + .I0(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [0]), + .I1(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [6]), + .I2(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [7]), + .F(\u_spdif_tx_top/u_spdif_tx_control/n116_8 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/n116_s3 .INIT=8'h15; +LUT4 \u_spdif_tx_top/u_spdif_tx_control/n115_s2 ( + .I0(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [6]), + .I1(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [7]), + .I2(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [0]), + .I3(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [1]), + .F(\u_spdif_tx_top/u_spdif_tx_control/n115_7 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/n115_s2 .INIT=16'h0770; +LUT4 \u_spdif_tx_top/u_spdif_tx_control/n113_s3 ( + .I0(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [6]), + .I1(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [7]), + .I2(\u_spdif_tx_top/u_spdif_tx_control/n113_6 ), + .I3(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [3]), + .F(\u_spdif_tx_top/u_spdif_tx_control/n113_8 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/n113_s3 .INIT=16'h0770; +LUT4 \u_spdif_tx_top/u_spdif_tx_control/n112_s2 ( + .I0(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [6]), + .I1(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [7]), + .I2(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [4]), + .I3(\u_spdif_tx_top/u_spdif_tx_control/n111_6 ), + .F(\u_spdif_tx_top/u_spdif_tx_control/n112_7 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/n112_s2 .INIT=16'h0770; +LUT3 \u_spdif_tx_top/u_spdif_tx_control/n24_s3 ( + .I0(O_sub_frame1_flag), + .I1(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [5]), + .I2(\u_spdif_tx_top/u_spdif_tx_control/n38_3 ), + .F(\u_spdif_tx_top/u_spdif_tx_control/n24_10 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/n24_s3 .INIT=8'hE0; +LUT3 \u_spdif_tx_top/u_spdif_tx_control/n15_s2 ( + .I0(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [5]), + .I1(\u_spdif_tx_top/u_spdif_tx_control/n38_3 ), + .I2(O_sub_frame0_flag), + .F(\u_spdif_tx_top/u_spdif_tx_control/n15_7 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/n15_s2 .INIT=8'hC4; +DFFC \u_spdif_tx_top/u_spdif_tx_control/Audio_d_req_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_control/n38_3 ), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(O_audio_d_req) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/Audio_d_req_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_control/Validity_bit_req_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_control/n53_3 ), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(O_validity_bit_req) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/Validity_bit_req_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_control/User_bit_req_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_control/n70_3 ), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(O_user_bit_req) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/User_bit_req_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_control/Chan_status_bit_req_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_control/n87_3 ), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(O_chan_status_bit_req) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/Chan_status_bit_req_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_control/Cnt_block_7_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_control/n109_5 ), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_control/n98_9 ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [7]) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/Cnt_block_7_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_control/Cnt_block_6_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_control/n110_5 ), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_control/n98_9 ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [6]) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/Cnt_block_6_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_control/Cnt_block_5_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_control/n111_5 ), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_control/n98_9 ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [5]) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/Cnt_block_5_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_control/Cnt_block_4_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_control/n112_7 ), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_control/n98_9 ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [4]) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/Cnt_block_4_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_control/Cnt_block_3_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_control/n113_8 ), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_control/n98_9 ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [3]) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/Cnt_block_3_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_control/Cnt_block_2_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_control/n114_5 ), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_control/n98_9 ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [2]) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/Cnt_block_2_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_control/Cnt_block_1_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_control/n115_7 ), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_control/n98_9 ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [1]) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/Cnt_block_1_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_control/Cnt_block_0_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_control/n116_8 ), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_control/n98_9 ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_control/Cnt_block [0]) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/Cnt_block_0_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame_5_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_control/n150_6 ), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [5]) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame_5_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame_4_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_control/n151_6 ), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [4]) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame_4_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame_3_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_control/n152_6 ), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [3]) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame_3_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame_2_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_control/n153_6 ), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [2]) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame_2_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame_1_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_control/n154_6 ), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [1]) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame_1_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame_0_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_control/n155_8 ), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [0]) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame_0_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_control/Block_start_flag_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_control/n17_3 ), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(O_block_start_flag) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/Block_start_flag_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_control/Sub_frame1_flag_s4 ( + .D(\u_spdif_tx_top/u_spdif_tx_control/n24_10 ), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(O_sub_frame1_flag) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/Sub_frame1_flag_s4 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_control/Sub_frame0_flag_s5 ( + .D(\u_spdif_tx_top/u_spdif_tx_control/n15_7 ), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(O_sub_frame0_flag) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/Sub_frame0_flag_s5 .INIT=1'b0; +INV \u_spdif_tx_top/u_spdif_tx_control/n13_s2 ( + .I(I_rst_n), + .O(\u_spdif_tx_top/n13_6 ) +); +LUT1 \u_spdif_tx_top/u_spdif_tx_control/n155_s4 ( + .I0(\u_spdif_tx_top/u_spdif_tx_control/Cnt_sub_frame [0]), + .F(\u_spdif_tx_top/u_spdif_tx_control/n155_8 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_control/n155_s4 .INIT=2'h1; +LUT3 \u_spdif_tx_top/u_spdif_tx_package/n62_s65 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [0]), + .I1(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [1]), + .I2(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [0]), + .F(\u_spdif_tx_top/u_spdif_tx_package/n62_39 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/n62_s65 .INIT=8'hCA; +LUT3 \u_spdif_tx_top/u_spdif_tx_package/n62_s66 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [2]), + .I1(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [3]), + .I2(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [0]), + .F(\u_spdif_tx_top/u_spdif_tx_package/n62_40 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/n62_s66 .INIT=8'hCA; +LUT3 \u_spdif_tx_top/u_spdif_tx_package/n62_s67 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [4]), + .I1(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [5]), + .I2(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [0]), + .F(\u_spdif_tx_top/u_spdif_tx_package/n62_41 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/n62_s67 .INIT=8'hCA; +LUT3 \u_spdif_tx_top/u_spdif_tx_package/n62_s68 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [6]), + .I1(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [7]), + .I2(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [0]), + .F(\u_spdif_tx_top/u_spdif_tx_package/n62_42 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/n62_s68 .INIT=8'hCA; +LUT3 \u_spdif_tx_top/u_spdif_tx_package/n62_s69 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [8]), + .I1(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [9]), + .I2(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [0]), + .F(\u_spdif_tx_top/u_spdif_tx_package/n62_43 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/n62_s69 .INIT=8'hCA; +LUT3 \u_spdif_tx_top/u_spdif_tx_package/n62_s70 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [10]), + .I1(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [11]), + .I2(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [0]), + .F(\u_spdif_tx_top/u_spdif_tx_package/n62_44 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/n62_s70 .INIT=8'hCA; +LUT3 \u_spdif_tx_top/u_spdif_tx_package/n62_s71 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [12]), + .I1(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [13]), + .I2(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [0]), + .F(\u_spdif_tx_top/u_spdif_tx_package/n62_45 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/n62_s71 .INIT=8'hCA; +LUT3 \u_spdif_tx_top/u_spdif_tx_package/n62_s72 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [14]), + .I1(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [15]), + .I2(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [0]), + .F(\u_spdif_tx_top/u_spdif_tx_package/n62_46 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/n62_s72 .INIT=8'hCA; +LUT3 \u_spdif_tx_top/u_spdif_tx_package/n62_s73 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [16]), + .I1(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [17]), + .I2(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [0]), + .F(\u_spdif_tx_top/u_spdif_tx_package/n62_47 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/n62_s73 .INIT=8'hCA; +LUT3 \u_spdif_tx_top/u_spdif_tx_package/n62_s74 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [18]), + .I1(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [19]), + .I2(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [0]), + .F(\u_spdif_tx_top/u_spdif_tx_package/n62_48 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/n62_s74 .INIT=8'hCA; +LUT3 \u_spdif_tx_top/u_spdif_tx_package/n62_s75 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [20]), + .I1(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [21]), + .I2(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [0]), + .F(\u_spdif_tx_top/u_spdif_tx_package/n62_49 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/n62_s75 .INIT=8'hCA; +LUT3 \u_spdif_tx_top/u_spdif_tx_package/n62_s76 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [22]), + .I1(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [23]), + .I2(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [0]), + .F(\u_spdif_tx_top/u_spdif_tx_package/n62_50 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/n62_s76 .INIT=8'hCA; +LUT4 \u_spdif_tx_top/u_spdif_tx_package/n187_s0 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/n187_4 ), + .I1(\u_spdif_tx_top/u_spdif_tx_package/n187_5 ), + .I2(\u_spdif_tx_top/u_spdif_tx_package/n187_6 ), + .I3(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [4]), + .F(\u_spdif_tx_top/u_spdif_tx_package/n187_3 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/n187_s0 .INIT=16'h7510; +LUT4 \u_spdif_tx_top/u_spdif_tx_package/n64_s1 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/n187_5 ), + .I1(\u_spdif_tx_top/u_spdif_tx_package/n187_6 ), + .I2(\u_spdif_tx_top/u_spdif_tx_package/Parity_check ), + .I3(\u_spdif_tx_top/u_spdif_tx_package/n64_6 ), + .F(\u_spdif_tx_top/u_spdif_tx_package/n64_5 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/n64_s1 .INIT=16'hB400; +LUT3 \u_spdif_tx_top/u_spdif_tx_package/n18_s2 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [0]), + .I1(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [1]), + .I2(\u_spdif_tx_top/u_spdif_tx_package/n19_8 ), + .F(\u_spdif_tx_top/u_spdif_tx_package/n18_6 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/n18_s2 .INIT=8'h60; +LUT4 \u_spdif_tx_top/u_spdif_tx_package/n16_s2 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [2]), + .I1(\u_spdif_tx_top/u_spdif_tx_package/n17_7 ), + .I2(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [3]), + .I3(\u_spdif_tx_top/u_spdif_tx_package/n19_8 ), + .F(\u_spdif_tx_top/u_spdif_tx_package/n16_6 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/n16_s2 .INIT=16'h7800; +LUT3 \u_spdif_tx_top/u_spdif_tx_package/n15_s2 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [4]), + .I1(\u_spdif_tx_top/u_spdif_tx_package/n15_7 ), + .I2(\u_spdif_tx_top/u_spdif_tx_package/n19_8 ), + .F(\u_spdif_tx_top/u_spdif_tx_package/n15_6 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/n15_s2 .INIT=8'h60; +LUT2 \u_spdif_tx_top/u_spdif_tx_package/n34_s4 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [2]), + .I1(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [3]), + .F(\u_spdif_tx_top/u_spdif_tx_package/n34_10 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/n34_s4 .INIT=4'h9; +LUT3 \u_spdif_tx_top/u_spdif_tx_package/n187_s1 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/Parity_check ), + .I1(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [4]), + .I2(\u_spdif_tx_top/u_spdif_tx_package/n64_6 ), + .F(\u_spdif_tx_top/u_spdif_tx_package/n187_4 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/n187_s1 .INIT=8'hC7; +LUT4 \u_spdif_tx_top/u_spdif_tx_package/n187_s2 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [26]), + .I1(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [1]), + .I2(\u_spdif_tx_top/u_spdif_tx_package/n187_7 ), + .I3(\u_spdif_tx_top/u_spdif_tx_package/n187_8 ), + .F(\u_spdif_tx_top/u_spdif_tx_package/n187_5 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/n187_s2 .INIT=16'hF400; +LUT3 \u_spdif_tx_top/u_spdif_tx_package/n187_s3 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/n62_71 ), + .I1(\u_spdif_tx_top/u_spdif_tx_package/n187_9 ), + .I2(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [4]), + .F(\u_spdif_tx_top/u_spdif_tx_package/n187_6 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/n187_s3 .INIT=8'hCA; +LUT4 \u_spdif_tx_top/u_spdif_tx_package/n64_s2 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/n17_7 ), + .I1(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [3]), + .I2(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [4]), + .I3(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [2]), + .F(\u_spdif_tx_top/u_spdif_tx_package/n64_6 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/n64_s2 .INIT=16'h7FFC; +LUT3 \u_spdif_tx_top/u_spdif_tx_package/n19_s4 ( + .I0(\u_spdif_tx_top/Block_start_flag ), + .I1(\u_spdif_tx_top/u_spdif_tx_package/Sub_frame0_flag ), + .I2(\u_spdif_tx_top/u_spdif_tx_package/Sub_frame1_flag ), + .F(\u_spdif_tx_top/u_spdif_tx_package/n19_8 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/n19_s4 .INIT=8'h01; +LUT2 \u_spdif_tx_top/u_spdif_tx_package/n17_s3 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [0]), + .I1(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [1]), + .F(\u_spdif_tx_top/u_spdif_tx_package/n17_7 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/n17_s3 .INIT=4'h8; +LUT4 \u_spdif_tx_top/u_spdif_tx_package/n15_s3 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [0]), + .I1(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [1]), + .I2(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [2]), + .I3(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [3]), + .F(\u_spdif_tx_top/u_spdif_tx_package/n15_7 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/n15_s3 .INIT=16'h8000; +LUT4 \u_spdif_tx_top/u_spdif_tx_package/n187_s4 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [25]), + .I1(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [24]), + .I2(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [1]), + .I3(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [0]), + .F(\u_spdif_tx_top/u_spdif_tx_package/n187_7 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/n187_s4 .INIT=16'hF503; +LUT3 \u_spdif_tx_top/u_spdif_tx_package/n187_s5 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [2]), + .I1(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [3]), + .I2(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [4]), + .F(\u_spdif_tx_top/u_spdif_tx_package/n187_8 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/n187_s5 .INIT=8'h80; +LUT4 \u_spdif_tx_top/u_spdif_tx_package/n187_s6 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/n62_71 ), + .I1(\u_spdif_tx_top/u_spdif_tx_package/n62_69 ), + .I2(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [2]), + .I3(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [3]), + .F(\u_spdif_tx_top/u_spdif_tx_package/n187_9 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/n187_s6 .INIT=16'hFCCA; +LUT4 \u_spdif_tx_top/u_spdif_tx_package/n17_s4 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [2]), + .I1(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [0]), + .I2(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [1]), + .I3(\u_spdif_tx_top/u_spdif_tx_package/n19_8 ), + .F(\u_spdif_tx_top/u_spdif_tx_package/n17_9 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/n17_s4 .INIT=16'h6A00; +LUT4 \u_spdif_tx_top/u_spdif_tx_package/n19_s5 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [0]), + .I1(\u_spdif_tx_top/Block_start_flag ), + .I2(\u_spdif_tx_top/u_spdif_tx_package/Sub_frame0_flag ), + .I3(\u_spdif_tx_top/u_spdif_tx_package/Sub_frame1_flag ), + .F(\u_spdif_tx_top/u_spdif_tx_package/n19_10 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/n19_s5 .INIT=16'h0001; +DFFC \u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame_3_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_package/n16_6 ), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [3]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame_3_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame_2_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_package/n17_9 ), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [2]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame_2_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame_1_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_package/n18_6 ), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [1]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame_1_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame_0_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_package/n19_10 ), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [0]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame_0_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_package/Parity_check_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_package/n64_5 ), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Parity_check ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Parity_check_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_package/Audio_d_req_s0 ( + .D(O_audio_d_req), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Audio_d_req ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Audio_d_req_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_package/Validity_bit_req_s0 ( + .D(O_validity_bit_req), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Validity_bit_req ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Validity_bit_req_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_package/User_bit_req_s0 ( + .D(O_user_bit_req), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/User_bit_req ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/User_bit_req_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_package/Chan_status_bit_req_s0 ( + .D(O_chan_status_bit_req), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Chan_status_bit_req ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Chan_status_bit_req_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_package/Block_start_flag_s0 ( + .D(O_block_start_flag), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/Block_start_flag ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Block_start_flag_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_package/Sub_frame0_flag_s0 ( + .D(O_sub_frame0_flag), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Sub_frame0_flag ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Sub_frame0_flag_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_package/Sub_frame1_flag_s0 ( + .D(O_sub_frame1_flag), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Sub_frame1_flag ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Sub_frame1_flag_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_23_s0 ( + .D(I_audio_d[23]), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_package/Audio_d_req ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [23]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_23_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_22_s0 ( + .D(I_audio_d[22]), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_package/Audio_d_req ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [22]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_22_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_21_s0 ( + .D(I_audio_d[21]), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_package/Audio_d_req ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [21]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_21_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_20_s0 ( + .D(I_audio_d[20]), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_package/Audio_d_req ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [20]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_20_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_19_s0 ( + .D(I_audio_d[19]), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_package/Audio_d_req ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [19]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_19_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_18_s0 ( + .D(I_audio_d[18]), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_package/Audio_d_req ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [18]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_18_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_17_s0 ( + .D(I_audio_d[17]), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_package/Audio_d_req ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [17]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_17_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_16_s0 ( + .D(I_audio_d[16]), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_package/Audio_d_req ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [16]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_16_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_15_s0 ( + .D(I_audio_d[15]), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_package/Audio_d_req ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [15]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_15_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_14_s0 ( + .D(I_audio_d[14]), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_package/Audio_d_req ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [14]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_14_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_13_s0 ( + .D(I_audio_d[13]), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_package/Audio_d_req ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [13]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_13_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_12_s0 ( + .D(I_audio_d[12]), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_package/Audio_d_req ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [12]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_12_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_11_s0 ( + .D(I_audio_d[11]), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_package/Audio_d_req ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [11]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_11_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_10_s0 ( + .D(I_audio_d[10]), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_package/Audio_d_req ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [10]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_10_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_9_s0 ( + .D(I_audio_d[9]), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_package/Audio_d_req ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [9]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_9_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_8_s0 ( + .D(I_audio_d[8]), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_package/Audio_d_req ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [8]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_8_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_7_s0 ( + .D(I_audio_d[7]), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_package/Audio_d_req ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [7]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_7_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_6_s0 ( + .D(I_audio_d[6]), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_package/Audio_d_req ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [6]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_6_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_5_s0 ( + .D(I_audio_d[5]), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_package/Audio_d_req ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [5]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_5_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_4_s0 ( + .D(I_audio_d[4]), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_package/Audio_d_req ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [4]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_4_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_3_s0 ( + .D(I_audio_d[3]), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_package/Audio_d_req ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [3]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_3_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_2_s0 ( + .D(I_audio_d[2]), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_package/Audio_d_req ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [2]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_2_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_1_s0 ( + .D(I_audio_d[1]), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_package/Audio_d_req ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [1]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_1_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_0_s0 ( + .D(I_audio_d[0]), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_package/Audio_d_req ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [0]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_0_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_24_s0 ( + .D(I_validity_bit), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_package/Validity_bit_req ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [24]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_24_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_25_s0 ( + .D(I_user_bit), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_package/User_bit_req ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [25]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_25_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_26_s0 ( + .D(I_chan_status_bit), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_package/Chan_status_bit_req ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Parity_vector [26]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Parity_vector_26_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_package/O_spdif_tx_d_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_package/n187_3 ), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/Spdif_tx_d ) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/O_spdif_tx_d_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame_4_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_package/n15_6 ), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [4]) +); +defparam \u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame_4_s0 .INIT=1'b0; +MUX2_LUT5 \u_spdif_tx_top/u_spdif_tx_package/n62_s60 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/n62_39 ), + .I1(\u_spdif_tx_top/u_spdif_tx_package/n62_40 ), + .S0(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [1]), + .O(\u_spdif_tx_top/u_spdif_tx_package/n62_53 ) +); +MUX2_LUT5 \u_spdif_tx_top/u_spdif_tx_package/n62_s59 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/n62_41 ), + .I1(\u_spdif_tx_top/u_spdif_tx_package/n62_42 ), + .S0(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [1]), + .O(\u_spdif_tx_top/u_spdif_tx_package/n62_55 ) +); +MUX2_LUT5 \u_spdif_tx_top/u_spdif_tx_package/n62_s62 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/n62_43 ), + .I1(\u_spdif_tx_top/u_spdif_tx_package/n62_44 ), + .S0(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [1]), + .O(\u_spdif_tx_top/u_spdif_tx_package/n62_57 ) +); +MUX2_LUT5 \u_spdif_tx_top/u_spdif_tx_package/n62_s61 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/n62_45 ), + .I1(\u_spdif_tx_top/u_spdif_tx_package/n62_46 ), + .S0(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [1]), + .O(\u_spdif_tx_top/u_spdif_tx_package/n62_59 ) +); +MUX2_LUT5 \u_spdif_tx_top/u_spdif_tx_package/n62_s64 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/n62_47 ), + .I1(\u_spdif_tx_top/u_spdif_tx_package/n62_48 ), + .S0(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [1]), + .O(\u_spdif_tx_top/u_spdif_tx_package/n62_61 ) +); +MUX2_LUT5 \u_spdif_tx_top/u_spdif_tx_package/n62_s63 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/n62_49 ), + .I1(\u_spdif_tx_top/u_spdif_tx_package/n62_50 ), + .S0(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [1]), + .O(\u_spdif_tx_top/u_spdif_tx_package/n62_63 ) +); +MUX2_LUT6 \u_spdif_tx_top/u_spdif_tx_package/n62_s57 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/n62_55 ), + .I1(\u_spdif_tx_top/u_spdif_tx_package/n62_53 ), + .S0(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [2]), + .O(\u_spdif_tx_top/u_spdif_tx_package/n62_65 ) +); +MUX2_LUT6 \u_spdif_tx_top/u_spdif_tx_package/n62_s58 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/n62_59 ), + .I1(\u_spdif_tx_top/u_spdif_tx_package/n62_57 ), + .S0(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [2]), + .O(\u_spdif_tx_top/u_spdif_tx_package/n62_67 ) +); +MUX2_LUT6 \u_spdif_tx_top/u_spdif_tx_package/n62_s55 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/n62_63 ), + .I1(\u_spdif_tx_top/u_spdif_tx_package/n62_61 ), + .S0(\u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame [2]), + .O(\u_spdif_tx_top/u_spdif_tx_package/n62_69 ) +); +MUX2_LUT7 \u_spdif_tx_top/u_spdif_tx_package/n62_s56 ( + .I0(\u_spdif_tx_top/u_spdif_tx_package/n62_65 ), + .I1(\u_spdif_tx_top/u_spdif_tx_package/n62_67 ), + .S0(\u_spdif_tx_top/u_spdif_tx_package/n34_10 ), + .O(\u_spdif_tx_top/u_spdif_tx_package/n62_71 ) +); +LUT2 \u_spdif_tx_top/u_spdif_tx_encode/n33_s0 ( + .I0(O_sub_frame0_flag), + .I1(O_sub_frame1_flag), + .F(\u_spdif_tx_top/u_spdif_tx_encode/n33_3 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/n33_s0 .INIT=4'hE; +LUT4 \u_spdif_tx_top/u_spdif_tx_encode/n617_s9 ( + .I0(\u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data [0]), + .I1(\u_spdif_tx_top/u_spdif_tx_encode/n617_14 ), + .I2(\u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode [1]), + .I3(\u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode [0]), + .F(\u_spdif_tx_top/u_spdif_tx_encode/n617_13 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/n617_s9 .INIT=16'h3335; +LUT4 \u_spdif_tx_top/u_spdif_tx_encode/n618_s9 ( + .I0(\u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data [0]), + .I1(\u_spdif_tx_top/u_spdif_tx_encode/n618_14 ), + .I2(\u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode [1]), + .I3(\u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode [0]), + .F(\u_spdif_tx_top/u_spdif_tx_encode/n618_13 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/n618_s9 .INIT=16'hCCC5; +LUT4 \u_spdif_tx_top/u_spdif_tx_encode/n621_s9 ( + .I0(\u_spdif_tx_top/u_spdif_tx_encode/I_block_flag_reg [1]), + .I1(\u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode [0]), + .I2(\u_spdif_tx_top/u_spdif_tx_encode/n621_14 ), + .I3(\u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode [1]), + .F(\u_spdif_tx_top/u_spdif_tx_encode/n621_13 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/n621_s9 .INIT=16'h7FC0; +LUT4 \u_spdif_tx_top/u_spdif_tx_encode/n622_s10 ( + .I0(\u_spdif_tx_top/u_spdif_tx_encode/n622_15 ), + .I1(\u_spdif_tx_top/u_spdif_tx_encode/n621_14 ), + .I2(\u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode [0]), + .I3(\u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode [1]), + .F(\u_spdif_tx_top/u_spdif_tx_encode/n622_14 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/n622_s10 .INIT=16'hBCFA; +LUT4 \u_spdif_tx_top/u_spdif_tx_encode/n55_s2 ( + .I0(\u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame [2]), + .I1(\u_spdif_tx_top/u_spdif_tx_encode/n56_7 ), + .I2(\u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame [3]), + .I3(\u_spdif_tx_top/u_spdif_tx_encode/n58_8 ), + .F(\u_spdif_tx_top/u_spdif_tx_encode/n55_6 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/n55_s2 .INIT=16'h7800; +LUT3 \u_spdif_tx_top/u_spdif_tx_encode/Inv_preamble_s3 ( + .I0(\u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode [0]), + .I1(\u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode [1]), + .I2(\u_spdif_tx_top/u_spdif_tx_encode/n621_14 ), + .F(\u_spdif_tx_top/u_spdif_tx_encode/Inv_preamble_8 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/Inv_preamble_s3 .INIT=8'hF1; +LUT4 \u_spdif_tx_top/u_spdif_tx_encode/n427_s1 ( + .I0(\u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode [1]), + .I1(\u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode [0]), + .I2(\u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data [1]), + .I3(\u_spdif_tx_top/Spdif_tx_d ), + .F(\u_spdif_tx_top/u_spdif_tx_encode/n427_5 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/n427_s1 .INIT=16'hE00E; +LUT4 \u_spdif_tx_top/u_spdif_tx_encode/n617_s10 ( + .I0(\u_spdif_tx_top/u_spdif_tx_encode/n427_5 ), + .I1(\u_spdif_tx_top/u_spdif_tx_encode/n617_15 ), + .I2(\u_spdif_tx_top/u_spdif_tx_encode/Inv_preamble ), + .I3(\u_spdif_tx_top/u_spdif_tx_encode/n617_16 ), + .F(\u_spdif_tx_top/u_spdif_tx_encode/n617_14 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/n617_s10 .INIT=16'hC355; +LUT4 \u_spdif_tx_top/u_spdif_tx_encode/n618_s10 ( + .I0(\u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data [1]), + .I1(\u_spdif_tx_top/u_spdif_tx_encode/n618_15 ), + .I2(\u_spdif_tx_top/u_spdif_tx_encode/Inv_preamble ), + .I3(\u_spdif_tx_top/u_spdif_tx_encode/n617_16 ), + .F(\u_spdif_tx_top/u_spdif_tx_encode/n618_14 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/n618_s10 .INIT=16'hC355; +LUT4 \u_spdif_tx_top/u_spdif_tx_encode/n621_s10 ( + .I0(\u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame [2]), + .I1(\u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame [3]), + .I2(\u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame [4]), + .I3(\u_spdif_tx_top/u_spdif_tx_encode/n56_7 ), + .F(\u_spdif_tx_top/u_spdif_tx_encode/n621_14 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/n621_s10 .INIT=16'h8000; +LUT3 \u_spdif_tx_top/u_spdif_tx_encode/n622_s11 ( + .I0(\u_spdif_tx_top/u_spdif_tx_encode/I_block_flag_reg [2]), + .I1(\u_spdif_tx_top/u_spdif_tx_encode/I_block_flag_reg [1]), + .I2(\u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode [1]), + .F(\u_spdif_tx_top/u_spdif_tx_encode/n622_15 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/n622_s11 .INIT=8'hCA; +LUT2 \u_spdif_tx_top/u_spdif_tx_encode/n58_s4 ( + .I0(\u_spdif_tx_top/u_spdif_tx_encode/I_block_flag_reg [1]), + .I1(\u_spdif_tx_top/u_spdif_tx_encode/I_sub_frame_flag_reg [1]), + .F(\u_spdif_tx_top/u_spdif_tx_encode/n58_8 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/n58_s4 .INIT=4'h1; +LUT2 \u_spdif_tx_top/u_spdif_tx_encode/n56_s3 ( + .I0(\u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame [0]), + .I1(\u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame [1]), + .F(\u_spdif_tx_top/u_spdif_tx_encode/n56_7 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/n56_s3 .INIT=4'h8; +LUT4 \u_spdif_tx_top/u_spdif_tx_encode/n617_s11 ( + .I0(\u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode [1]), + .I1(\u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode [0]), + .I2(\u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame [1]), + .I3(\u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame [0]), + .F(\u_spdif_tx_top/u_spdif_tx_encode/n617_15 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/n617_s11 .INIT=16'h008F; +LUT3 \u_spdif_tx_top/u_spdif_tx_encode/n617_s12 ( + .I0(\u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame [2]), + .I1(\u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame [3]), + .I2(\u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame [4]), + .F(\u_spdif_tx_top/u_spdif_tx_encode/n617_16 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/n617_s12 .INIT=8'h01; +LUT4 \u_spdif_tx_top/u_spdif_tx_encode/n618_s11 ( + .I0(\u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode [1]), + .I1(\u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame [0]), + .I2(\u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode [0]), + .I3(\u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame [1]), + .F(\u_spdif_tx_top/u_spdif_tx_encode/n618_15 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/n618_s11 .INIT=16'hE300; +LUT4 \u_spdif_tx_top/u_spdif_tx_encode/n54_s4 ( + .I0(\u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame [2]), + .I1(\u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame [3]), + .I2(\u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame [0]), + .I3(\u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame [1]), + .F(\u_spdif_tx_top/u_spdif_tx_encode/n54_9 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/n54_s4 .INIT=16'h8000; +LUT4 \u_spdif_tx_top/u_spdif_tx_encode/n56_s4 ( + .I0(\u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame [2]), + .I1(\u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame [0]), + .I2(\u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame [1]), + .I3(\u_spdif_tx_top/u_spdif_tx_encode/n58_8 ), + .F(\u_spdif_tx_top/u_spdif_tx_encode/n56_9 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/n56_s4 .INIT=16'h6A00; +LUT4 \u_spdif_tx_top/u_spdif_tx_encode/n54_s5 ( + .I0(\u_spdif_tx_top/u_spdif_tx_encode/n54_9 ), + .I1(\u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame [4]), + .I2(\u_spdif_tx_top/u_spdif_tx_encode/I_block_flag_reg [1]), + .I3(\u_spdif_tx_top/u_spdif_tx_encode/I_sub_frame_flag_reg [1]), + .F(\u_spdif_tx_top/u_spdif_tx_encode/n54_11 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/n54_s5 .INIT=16'h0006; +LUT4 \u_spdif_tx_top/u_spdif_tx_encode/n57_s3 ( + .I0(\u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame [0]), + .I1(\u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame [1]), + .I2(\u_spdif_tx_top/u_spdif_tx_encode/I_block_flag_reg [1]), + .I3(\u_spdif_tx_top/u_spdif_tx_encode/I_sub_frame_flag_reg [1]), + .F(\u_spdif_tx_top/u_spdif_tx_encode/n57_8 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/n57_s3 .INIT=16'h0006; +LUT3 \u_spdif_tx_top/u_spdif_tx_encode/n58_s5 ( + .I0(\u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame [0]), + .I1(\u_spdif_tx_top/u_spdif_tx_encode/I_block_flag_reg [1]), + .I2(\u_spdif_tx_top/u_spdif_tx_encode/I_sub_frame_flag_reg [1]), + .F(\u_spdif_tx_top/u_spdif_tx_encode/n58_10 ) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/n58_s5 .INIT=8'h01; +DFFC \u_spdif_tx_top/u_spdif_tx_encode/I_block_flag_reg_1_s0 ( + .D(\u_spdif_tx_top/Block_start_flag ), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_encode/I_block_flag_reg [1]) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/I_block_flag_reg_1_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_encode/I_sub_frame_flag_reg_1_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_encode/I_sub_frame_flag_reg [0]), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_encode/I_sub_frame_flag_reg [1]) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/I_sub_frame_flag_reg_1_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_encode/I_sub_frame_flag_reg_0_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_encode/n33_3 ), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_encode/I_sub_frame_flag_reg [0]) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/I_sub_frame_flag_reg_0_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_4_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_encode/n54_11 ), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame [4]) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_4_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_3_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_encode/n55_6 ), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame [3]) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_3_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_2_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_encode/n56_9 ), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame [2]) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_2_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_1_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_encode/n57_8 ), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame [1]) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_1_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_0_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_encode/n58_10 ), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame [0]) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_0_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode_1_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_encode/n621_13 ), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode [1]) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode_1_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode_0_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_encode/n622_14 ), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode [0]) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode_0_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_o_1_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data [1]), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/Spdif_tx_data_Z [1]) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_o_1_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_o_0_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data [0]), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/Spdif_tx_data_Z [0]) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_o_0_s0 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_encode/I_block_flag_reg_2_s0 ( + .D(\u_spdif_tx_top/u_spdif_tx_encode/I_block_flag_reg [1]), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_encode/I_block_flag_reg [2]) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/I_block_flag_reg_2_s0 .INIT=1'b0; +DFFCE \u_spdif_tx_top/u_spdif_tx_encode/Inv_preamble_s1 ( + .D(\u_spdif_tx_top/u_spdif_tx_encode/n427_5 ), + .CLK(I_clk), + .CE(\u_spdif_tx_top/u_spdif_tx_encode/Inv_preamble_8 ), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_encode/Inv_preamble ) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/Inv_preamble_s1 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_1_s2 ( + .D(\u_spdif_tx_top/u_spdif_tx_encode/n617_13 ), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data [1]) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_1_s2 .INIT=1'b0; +DFFC \u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_0_s2 ( + .D(\u_spdif_tx_top/u_spdif_tx_encode/n618_13 ), + .CLK(I_clk), + .CLEAR(\u_spdif_tx_top/n13_6 ), + .Q(\u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data [0]) +); +defparam \u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_0_s2 .INIT=1'b0; +endmodule diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/gowin_spdif_tx_tmp.vhd b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/gowin_spdif_tx_tmp.vhd new file mode 100644 index 0000000..5c30549 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/gowin_spdif_tx_tmp.vhd @@ -0,0 +1,50 @@ +--Copyright (C)2014-2024 Gowin Semiconductor Corporation. +--All rights reserved. +--File Title: Template file for instantiation +--Tool Version: V1.9.10.03 Education (64-bit) +--Part Number: GW1NR-LV9QN88PC6/I5 +--Device: GW1NR-9 +--Device Version: C +--Created Time: Tue Aug 19 21:50:04 2025 + +--Change the instance name and port connections to the signal names +----------Copy here to design-------- + +component Gowin_SPDIF_TX + port ( + I_clk: in std_logic; + I_rst_n: in std_logic; + I_audio_d: in std_logic_vector(23 downto 0); + I_validity_bit: in std_logic; + I_user_bit: in std_logic; + I_chan_status_bit: in std_logic; + O_audio_d_req: out std_logic; + O_validity_bit_req: out std_logic; + O_user_bit_req: out std_logic; + O_chan_status_bit_req: out std_logic; + O_block_start_flag: out std_logic; + O_sub_frame0_flag: out std_logic; + O_sub_frame1_flag: out std_logic; + O_Spdif_tx_data: out std_logic + ); +end component; + +your_instance_name: Gowin_SPDIF_TX + port map ( + I_clk => I_clk, + I_rst_n => I_rst_n, + I_audio_d => I_audio_d, + I_validity_bit => I_validity_bit, + I_user_bit => I_user_bit, + I_chan_status_bit => I_chan_status_bit, + O_audio_d_req => O_audio_d_req, + O_validity_bit_req => O_validity_bit_req, + O_user_bit_req => O_user_bit_req, + O_chan_status_bit_req => O_chan_status_bit_req, + O_block_start_flag => O_block_start_flag, + O_sub_frame0_flag => O_sub_frame0_flag, + O_sub_frame1_flag => O_sub_frame1_flag, + O_Spdif_tx_data => O_Spdif_tx_data + ); + +----------Copy end------------------- diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/sim/modelsim/cmd.do b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/sim/modelsim/cmd.do new file mode 100644 index 0000000..d5c9fcc --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/sim/modelsim/cmd.do @@ -0,0 +1,25 @@ + +## part 1: new lib +vlib work +vmap work work + +## part 2: load design +vlog -sv ../../tb/prim_sim.v +vlog -sv ../../gowin_spdif_tx.vo +vlog -sv ../../tb/SPDIF_TX_tb.v +vlog +incdir+ ../../temp/SPDIF_TX/spdif_tx_defines.v +vlog +incdir+ ../../temp/SPDIF_TX/spdif_tx_name.v + +## part 3: sim design +vsim -novopt work.tb + +## part 4: add signals +add wave -position insertpoint sim:/tb/* + +## part 5: show ui +view wave +view structure +view signals + +## part 6: run +run -all diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/tb/SPDIF_TX_tb.v b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/tb/SPDIF_TX_tb.v new file mode 100644 index 0000000..9250a27 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/tb/SPDIF_TX_tb.v @@ -0,0 +1,81 @@ +`timescale 1ns / 1ps +`include "spdif_tx_defines.v" +`include "spdif_tx_name.v" + module tb(); + +//Interface for IP +reg clk; +reg rst_n; +reg [`SPDIF_DATA_WIDTH-1:0] I_audio_d=0; +reg I_validity_bit; +reg I_user_bit; +reg I_chan_status_bit; +wire O_audio_d_req; +wire O_validity_bit_req; +wire O_user_bit_req; +wire O_chan_status_bit_req; +wire O_block_start_flag; +wire O_sub_frame0_flag; +wire O_sub_frame1_flag; +wire O_Spdif_tx_data; + +//reset the IP +GSR GSR (.GSRI(1'b1)) ; + +always #1000 clk=~clk; +initial + begin +clk=0; +rst_n=0; + +#2000 +rst_n=1; + end + +//load data for input +always@(posedge clk) + begin + + I_audio_d={$random}%16777216; + + end + +always@(negedge O_validity_bit_req) +I_validity_bit=0; + +always@(negedge O_user_bit_req) +I_user_bit=1; + +always@(negedge O_chan_status_bit_req) +I_chan_status_bit=0; + + + +//Instantiation +`module_name_tx u1 +( + .I_rst_n(rst_n), + .I_clk(clk), + .I_audio_d(I_audio_d), + .I_validity_bit(I_validity_bit), + .I_user_bit(I_user_bit), + .I_chan_status_bit(I_chan_status_bit), + .O_audio_d_req(O_audio_d_req), + .O_validity_bit_req(O_validity_bit_req), + .O_user_bit_req(O_user_bit_req), + .O_chan_status_bit_req(O_chan_status_bit_req), + .O_block_start_flag(O_block_start_flag), + .O_sub_frame0_flag(O_sub_frame0_flag), + .O_sub_frame1_flag(O_sub_frame1_flag), + .O_Spdif_tx_data(O_Spdif_tx_data) +); + + +initial begin + +#35000000; +$stop; +end + + endmodule + \ No newline at end of file diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/tb/prim_sim.v b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/tb/prim_sim.v new file mode 100644 index 0000000..cc2d89d --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/tb/prim_sim.v @@ -0,0 +1,14783 @@ + +// ===========Oooo==========================================Oooo======== +// = Copyright (C) 2014-2020 Gowin Semiconductor Technology Co.,Ltd. +// = All rights reserved. +// ===================================================================== +// +// __ __ __ +// \ \ / \ / / [File name ] prim_sim.v +// \ \ / /\ \ / / [Description ] GW2A verilog functional simulation library +// \ \/ / \ \/ / [Timestamp ] Fri January 10 11:00:30 2019 +// \ / \ / [version ] 1.9.4 +// \/ \/ +// +// ===========Oooo==========================================Oooo======== + + +`timescale 1ns / 1ps + +// MUX2 +primitive mux2 (O, I0, I1, S); +output O; +input I0, I1, S; +table +// I0 I1 S O + 0 ? 0 : 0 ; + 1 ? 0 : 1 ; + x ? 0 : x ; + ? 0 1 : 0 ; + ? 1 1 : 1 ; + ? x 1 : x ; + 0 0 x : 0 ; + 0 1 x : x ; + 1 0 x : x ; + 1 1 x : 1 ; + ? x x : x ; + x ? x : x ; +endtable +endprimitive + +// MUXes +module MUX2 (O, I0, I1, S0); + +input I0,I1; +input S0; +output O; + +mux2 mux2_0 (O, I0, I1, S0); + +endmodule //MUX2 + +module MUX2_LUT5 (O, I0, I1, S0); + +input I0,I1; +input S0; +output O; + +MUX2 mux2_lut5 (O, I0, I1, S0); + +endmodule //MUX2 LUT_5: use two 4-input LUTs and 1 MUX2_LUT_5 to construct 5-input LUT + +module MUX2_LUT6 (O, I0, I1, S0); + +input I0,I1; +input S0; +output O; + +MUX2 mux2_lut6 (O, I0, I1, S0); + +endmodule //MUX2 LUT_6: use two 5-input LUTs and 1 MUX2_LUT_6 to construct 6-input LUT + +module MUX2_LUT7 (O, I0, I1, S0); + +input I0,I1; +input S0; +output O; + +MUX2 mux2_lut7 (O, I0, I1, S0); + +endmodule //MUX2 LUT_7: use two 6-input LUTs and 1 MUX2_LUT_7 to construct 7-input LUT + +module MUX2_LUT8 (O, I0, I1, S0); + +input I0,I1; +input S0; +output O; + +MUX2 mux2_lut8 (O, I0, I1, S0); + +endmodule //MUX2 LUT_8: use two 7-input LUTs and 1 MUX2_LUT_8 to construct 8-input LUT + +module MUX2_MUX8(O, I0, I1, S0); + +input I0,I1; +input S0; +output O; + +MUX2 mux2_mux8 (O, I0, I1, S0); + +endmodule // MUX2_MUX8:use two 4-input MUXs and 1 MUX2_MUX8's to construct 8-input mux + +module MUX2_MUX16(O, I0, I1, S0); + +input I0,I1; +input S0; +output O; + +MUX2 mux2_mux16 (O, I0, I1, S0); + +endmodule //MUX2_MUX16:use two 8-input MUXs and 1 MUX2_MUX8's to construct 16-input mux + +module MUX2_MUX32(O, I0, I1, S0); + +input I0,I1; +input S0; +output O; + +MUX2 mux2_mux32 (O, I0, I1, S0); + +endmodule //MUX2_MUX32:use two 16-input MUXs and 1 MUX2_MUX16's to construct 32-input mux + +module MUX4 (O, I0, I1, I2, I3, S0, S1); + +input I0, I1, I2, I3; +input S0, S1; +output O; + +wire O1,O2; + +MUX2 mux2_1(O1, I0, I1, S0); +MUX2 mux2_2(O2, I2, I3, S0); +MUX2 mux2_0(O, O1, O2, S1); + +endmodule // MUX4 + +module MUX8 (O, I0, I1, I2, I3, I4, I5, I6, I7, S0, S1, S2); + +input I0, I1, I2, I3, I4, I5, I6, I7; +input S0, S1, S2; +output O; + +wire O1, O2; + +MUX4 mux4_1(O1, I0, I1, I2, I3, S0, S1); +MUX4 mux4_2(O2, I4, I5, I6, I7, S0, S1); +MUX2 mux2_0(O, O1, O2, S2); + +endmodule //MUX8 + + +module MUX16(O, I0, I1, I2, I3, I4, I5, I6, I7, I8, I9, I10, I11, I12, I13, I14, I15, S0, S1, S2, S3); + +input I0, I1, I2, I3, I4, I5, I6, I7, I8, I9, I10, I11, I12, I13, I14, I15; +input S0, S1, S2, S3; +output O; + +wire O1, O2; + +MUX8 mux8_1(O1, I0, I1, I2, I3, I4, I5, I6, I7, S0, S1, S2); +MUX8 mux8_2(O2, I8, I9, I10, I11, I12, I13, I14, I15, S0, S1, S2); + +MUX2 mux2_o(O, O1, O2, S3); + +endmodule + + +module MUX32(O, I0, I1, I2, I3, I4, I5, I6, I7, I8, I9, I10, I11, I12, I13, I14, I15, + I16, I17, I18, I19, I20, I21, I22, I23, I24, I25, I26, I27, I28, I29, I30, + I31, S0, S1, S2, S3, S4 + ); +input I0, I1, I2, I3, I4, I5, I6, I7, I8, I9, I10, I11, I12, I13, I14, I15, I16, I17, I18, I19, I20, I21, I22, I23, I24, I25, I26, I27, I28, I29, I30, I31; +input S0, S1, S2, S3, S4; +output O; + +wire O1, O2; + +MUX16 mux16_1(O1, I0, I1, I2, I3, I4, I5, I6, I7, I8, I9, I10, I11, I12, I13, I14, I15, S0, S1, S2, S3); +MUX16 mux16_2(O2, I16, I17, I18, I19, I20, I21, I22, I23, I24, I25, I26, I27, I28, I29, I30, I31, S0, S1, S2, S3); + +MUX2 mux2_o(O ,O1, O2, S4); + +endmodule + + +//LUTs +module LUT1 (F, I0); + +parameter INIT = 2'h0; + +input I0; +output F; + +MUX2 lut_1 (F, INIT[0], INIT[1], I0); + +endmodule //lut1 + + +module LUT2 (F, I0, I1); + +parameter INIT = 4'h0; + +input I0, I1; +output F; + +MUX4 lut_2 (F, INIT[0], INIT[1], INIT[2], INIT[3], I0, I1); + +endmodule //lut2 + + +module LUT3 (F, I0, I1, I2); + +parameter INIT = 8'h00; + +input I0, I1, I2; +output F; + +MUX8 lut_3 (F, INIT[0], INIT[1], INIT[2], INIT[3], INIT[4], INIT[5], INIT[6], INIT[7], I0, I1, I2); + +endmodule //lut3 + + +module LUT4 (F, I0, I1, I2, I3); + +parameter INIT = 16'h0000; + +input I0, I1, I2, I3; +output F; + +MUX16 lut_4(F, INIT[0], INIT[1], INIT[2], INIT[3], INIT[4], INIT[5], INIT[6], INIT[7], INIT[8], INIT[9], INIT[10], INIT[11], INIT[12], INIT[13], INIT[14], INIT[15], I0, I1, I2, I3); + +endmodule //lut4 + + +module LUT5 (F, I0, I1, I2, I3, I4); + +parameter INIT = 32'h00000000; + +input I0, I1, I2, I3, I4; +output F; + +MUX32 lut_5(F, INIT[0], INIT[1], INIT[2], INIT[3], INIT[4], INIT[5], INIT[6], INIT[7], INIT[8], INIT[9], INIT[10], INIT[11], INIT[12], INIT[13], INIT[14], INIT[15], INIT[16], INIT[17], INIT[18], INIT[19], INIT[20], INIT[21], INIT[22], INIT[23], INIT[24], INIT[25], INIT[26], INIT[27], INIT[28], INIT[29], INIT[30], INIT[31], I0, I1, I2, I3, I4); + +endmodule//lut5 + + +module LUT6 (F, I0, I1, I2, I3, I4, I5); + +parameter INIT = 64'h0000_0000_0000_0000; + +input I0, I1, I2, I3, I4, I5; +output F; + +wire O1, O2; + +defparam lut5_1.INIT = INIT[31:0]; +LUT5 lut5_1(O1, I0, I1, I2, I3, I4); + +defparam lut5_2.INIT = INIT[63:32]; +LUT5 lut5_2(O2, I0, I1, I2, I3, I4); + +MUX2 lut_6(F, O1, O2, I5); + +endmodule//lut6 + + +module LUT7 (F, I0, I1, I2, I3, I4, I5, I6); + +parameter INIT = 128'h0000_0000_0000_0000_0000_0000_0000_0000; + +input I0, I1, I2, I3, I4, I5, I6; +output F; + +wire O1, O2; + +defparam lut6_1.INIT = INIT[63:0]; +LUT6 lut6_1(O1, I0, I1, I2, I3, I4, I5); + +defparam lut6_2.INIT = INIT[127:64]; +LUT6 lut6_2(O2, I0, I1, I2, I3, I4, I5); + +MUX2 lut_7(F, O1, O2, I6); + +endmodule//lut7 + + +module LUT8 (F, I0, I1, I2, I3, I4, I5, I6, I7); + +parameter INIT = 256'h0000_0000_0000_0000_0000_0000_0000_0000_0000_0000_0000_0000_0000_0000_0000_0000; + +input I0, I1, I2, I3, I4, I5, I6, I7; +output F; + +wire O1, O2; + +defparam lut7_1.INIT = INIT[127:0]; +LUT7 lut7_1(O1, I0, I1, I2, I3, I4, I5, I6); + +defparam lut7_2.INIT = INIT[255:128]; +LUT7 lut7_2(O2, I0, I1, I2, I3, I4, I5, I6); + +MUX2 lut_8(F, O1, O2, I7); + +endmodule//lut8 + + +// ALU +module ALU (SUM, COUT, I0, I1, I3, CIN); + +input I0; +input I1; +input I3; +input CIN; +output SUM; +output COUT; + +parameter ADD = 0; +parameter SUB = 1; +parameter ADDSUB = 2; +parameter NE = 3; +parameter GE = 4; +parameter LE = 5; +parameter CUP = 6; +parameter CDN = 7; +parameter CUPCDN = 8; +parameter MULT = 9; + +parameter ALU_MODE = 0; + +reg S, C; + +assign SUM = S ^ CIN; +assign COUT = S? CIN : C; + +always @(I0, I1, I3,CIN) begin + case (ALU_MODE) + ADD: begin // adder; LSB CIN must be 1'b0 + S = I0 ^ I1; + C = I0; + end + SUB: begin // subtractor; LSB CIN must be 1'b1 + S = I0 ^ ~I1; + C = I0; + end + ADDSUB: begin // adder subtractor; + S = I3? I0 ^ I1 : I0 ^ ~I1; + C = I0; + end + NE: begin // not equal to; LSB CIN must be 1'b0 + S = I0 ^ ~I1; + C = 1'b1; + end + GE: begin // greater than or equal to; LSB CIN must be 1'b1 + S = I0 ^ ~I1; + C = I0; + end + LE: begin // less than or equal to; LSB CIN must be 1'b1 + S = ~I0 ^ I1; + C = I1; + end + CUP: begin // up counter; LSB CIN must be 1'b1 + S = I0; + C = 1'b0; // or equivalently, I0 + end + CDN: begin // down counter; LSB CIN must be 1'b0 + S = ~I0; + C = 1'b1; // or equivalently, I0 + end + CUPCDN: begin // up down counter; I3 as select bit - 1'b0: down counter, LSB CIN must be 1'b0; 1'b1: up counter, LSB CIN must be 1'b1 + S = I3? I0 : ~I0; + C = I0; + end + MULT: begin // multiplier; LSB CIN must be 1'b0 + S = I0 & I1; + C = I0 & I1; + end + default: begin + // $display ("%d: Unsupported ALU mode\n", ALU_PARAM); + // $finish; + end + endcase +end + +endmodule // ALU: 2-input arithmetic logic unit + + +// Flip-Flops +module DFF (Q, D, CLK); + +input D, CLK; +output Q; + +parameter INIT = 1'b0; +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(gsrt)begin + if(!gsrt) + assign Q_reg = INIT; + else + deassign Q_reg; +end + +always @(posedge CLK) begin + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DFF (positive clock edge) + + +module DFFE (Q, D, CLK, CE); + +input D, CLK, CE; +output Q; + +parameter INIT = 1'b0; + +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(gsrt)begin + if(!gsrt) + assign Q_reg = INIT; + else + deassign Q_reg; +end + +always @(posedge CLK) begin + if (CE) + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DFFE (positive clock edge; clock enable) + + +module DFFS (Q, D, CLK, SET); + +input D, CLK, SET; +output Q; + +parameter INIT = 1'b1; +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(gsrt)begin + if(!gsrt) + assign Q_reg = INIT; + else + deassign Q_reg; +end + +always @(posedge CLK) begin + if (SET) + Q_reg <= 1'b1; + else + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DFFS (positive clock edge; synchronous set) + + +module DFFSE (Q, D, CLK, CE, SET); + +input D, CLK, SET, CE; +output Q; + +parameter INIT = 1'b1; +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(gsrt)begin + if(!gsrt) + assign Q_reg = INIT; + else + deassign Q_reg; +end + +always @(posedge CLK) begin + if (SET) + Q_reg <= 1'b1; + else if (CE) + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DFFSE (positive clock edge; synchronous set takes precedence over clock enable) + + +module DFFR (Q, D, CLK, RESET); + +input D, CLK, RESET; +output Q; + +parameter INIT = 1'b0; +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(gsrt) begin + if(!gsrt) + assign Q_reg = INIT; + else + deassign Q_reg; +end + +always @(posedge CLK) begin + if (RESET) + Q_reg <= 1'b0; + else + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DFFR (positive clock edge; synchronous reset) + + +module DFFRE (Q, D, CLK, CE, RESET); + +input D, CLK, RESET, CE; +output Q; + +parameter INIT = 1'b0; +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(gsrt) begin + if(!gsrt) + assign Q_reg = INIT; + else + deassign Q_reg; +end + +always @(posedge CLK) begin + if (RESET) + Q_reg <= 1'b0; + else if (CE) + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DFFRE (positive clock edge; synchronous reset takes precedence over clock enable) + + +module DFFP (Q, D, CLK, PRESET); + +input D, CLK, PRESET; +output Q; + +parameter INIT = 1'b1; +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(gsrt or PRESET) begin + if(!gsrt) + assign Q_reg = INIT; + else if(PRESET) + assign Q_reg = 1'b1; + else + deassign Q_reg; +end + +always @(posedge CLK) begin + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DFFP (positive clock edge; asynchronous preset) + + +module DFFPE (Q, D, CLK, CE, PRESET); + +input D, CLK, PRESET, CE; +output Q; + +parameter INIT = 1'b1; +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(gsrt or PRESET) begin + if(!gsrt) + assign Q_reg = INIT; + else if(PRESET) + assign Q_reg = 1'b1; + else + deassign Q_reg; +end + +always @(posedge CLK) begin + if (CE) + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DFFPE (positive clock edge; asynchronous preset; clock enable) + + +module DFFC (Q, D, CLK, CLEAR); + +input D, CLK, CLEAR; +output Q; + +parameter INIT = 1'b0; +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(gsrt or CLEAR)begin + if(!gsrt) + assign Q_reg = INIT; + else if(CLEAR) + assign Q_reg = 1'b0; + else + deassign Q_reg; +end + +always @(posedge CLK) begin + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DFFC (positive clock edge; asynchronous clear) + + +module DFFCE (Q, D, CLK, CE, CLEAR); + +input D, CLK, CLEAR, CE; +output Q; + +parameter INIT = 1'b0; +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(gsrt or CLEAR) begin + if(!gsrt) + assign Q_reg = INIT; + else if(CLEAR) + assign Q_reg = 1'b0; + else + deassign Q_reg; +end + +always @(posedge CLK) begin + if (CE) + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DFFCE (positive clock edge; asynchronous clear; clock enable) + + +module DFFN (Q, D, CLK); + +input D, CLK; +output Q; + +parameter INIT = 1'b0; +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(gsrt)begin + if(!gsrt) + assign Q_reg = INIT; + else + deassign Q_reg; +end + +always @(negedge CLK) begin + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DFFN (negative clock edge) + + +module DFFNE (Q, D, CLK, CE); + +input D, CLK, CE; +output Q; + +parameter INIT = 1'b0; + +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(gsrt)begin + if(!gsrt) + assign Q_reg = INIT; + else + deassign Q_reg; +end + +always @(negedge CLK) begin + if (CE) + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DFFNE (negative clock edge; clock enable) + + +module DFFNS (Q, D, CLK, SET); + +input D, CLK, SET; +output Q; + +parameter INIT = 1'b1; +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(gsrt)begin + if(!gsrt) + assign Q_reg = INIT; + else + deassign Q_reg; +end + +always @(negedge CLK) begin + if (SET) + Q_reg <= 1'b1; + else + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DFFNS (negative clock edge; synchronous set) + + +module DFFNSE (Q, D, CLK, CE, SET); + +input D, CLK, SET, CE; +output Q; + +parameter INIT = 1'b1; +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(gsrt)begin + if(!gsrt) + assign Q_reg = INIT; + else + deassign Q_reg; +end + +always @(negedge CLK) begin + if (SET) + Q_reg <= 1'b1; + else if (CE) + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DFFNSE (negative clock edge; synchronous set takes precedence over clock enable) + + +module DFFNR (Q, D, CLK, RESET); + +input D, CLK, RESET; +output Q; + +parameter INIT = 1'b0; +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(gsrt) begin + if(!gsrt) + assign Q_reg = INIT; + else + deassign Q_reg; +end + +always @(negedge CLK) begin + if (RESET) + Q_reg <= 1'b0; + else + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DFFNR (negative clock edge; synchronous reset) + + +module DFFNRE (Q, D, CLK, CE, RESET); + +input D, CLK, RESET, CE; +output Q; + +parameter INIT = 1'b0; +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(gsrt) begin + if(!gsrt) + assign Q_reg = INIT; + else + deassign Q_reg; +end + +always @(negedge CLK) begin + if (RESET) + Q_reg <= 1'b0; + else if (CE) + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DFFNRE (negative clock edge; synchronous reset takes precedence over clock enable) + + +module DFFNP (Q, D, CLK, PRESET); + +input D, CLK, PRESET; +output Q; + +parameter INIT = 1'b1; +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(gsrt or PRESET) begin + if(!gsrt) + assign Q_reg = INIT; + else if(PRESET) + assign Q_reg = 1'b1; + else + deassign Q_reg; +end + +always @(negedge CLK) begin + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DFFNP (negative clock edge; asynchronous preset) + + +module DFFNPE (Q, D, CLK, CE, PRESET); + +input D, CLK, PRESET, CE; +output Q; + +parameter INIT = 1'b1; +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(gsrt or PRESET) begin + if(!gsrt) + assign Q_reg = INIT; + else if(PRESET) + assign Q_reg = 1'b1; + else + deassign Q_reg; +end + +always @(negedge CLK) begin + if (CE) + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DFFNPE (negative clock edge; asynchronous preset; clock enable) + + +module DFFNC (Q, D, CLK, CLEAR); + +input D, CLK, CLEAR; +output Q; + +parameter INIT = 1'b0; +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(gsrt or CLEAR)begin + if(!gsrt) + assign Q_reg = INIT; + else if(CLEAR) + assign Q_reg = 1'b0; + else + deassign Q_reg; +end + +always @(negedge CLK) begin + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DFFNC (negative clock edge; asynchronous clear) + + +module DFFNCE (Q, D, CLK, CE, CLEAR); + +input D, CLK, CLEAR, CE; +output Q; + +parameter INIT = 1'b0; +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(gsrt or CLEAR) begin + if(!gsrt) + assign Q_reg = INIT; + else if(CLEAR) + assign Q_reg = 1'b0; + else + deassign Q_reg; +end + +always @(negedge CLK) begin + if (CE) + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DFFNCE (negative clock edge; asynchronous clear; clock enable) + + +//Latches +module DL (Q, D, G); + +input D, G; +output Q; + +parameter INIT = 1'b0; +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(D or G or gsrt) begin + if (!gsrt) + Q_reg <= INIT; + else if (G) + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DL (high active latch) + + +module DLE (Q, D, G, CE); + +input D, G, CE; +output Q; + +parameter INIT = 1'b0; +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(D or G or CE or gsrt) begin + if (!gsrt) + Q_reg <= INIT; + else if(G && CE) + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DLE (high active latch; latch enable) + + +module DLC (Q, D, G, CLEAR); + +input D, G, CLEAR; +output Q; + +parameter INIT = 1'b0; +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(D or G or CLEAR or gsrt) begin + if (!gsrt) + Q_reg <= INIT; + else if (CLEAR) + Q_reg <= 1'b0; + else if (G) + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DLC (high active latch; asynchronous clear) + + +module DLCE (Q, D, G, CE, CLEAR); + +input D, G, CLEAR, CE; +output Q; + +parameter INIT = 1'b0; +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(D or G or CLEAR or CE or gsrt) begin + if (!gsrt) + Q_reg <= INIT; + else if (CLEAR) + Q_reg <= 1'b0; + else if (G && CE) + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DLCE (high active latch; asynchronous clear; latch enable) + + +module DLP (Q, D, G, PRESET); + +input D, G, PRESET; +output Q; + +parameter INIT = 1'b1; +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(D or G or PRESET or gsrt) begin + if (!gsrt) + Q_reg <= INIT; + else if (PRESET) + Q_reg <= 1'b1; + else if (G) + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DLP (high active latch; asynchronous preset) + + +module DLPE (Q, D, G, CE, PRESET); + +input D, G, PRESET, CE; +output Q; + +parameter INIT = 1'b1; +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(D or G or PRESET or CE or gsrt) begin + if (!gsrt) + Q_reg <= INIT; + else if (PRESET) + Q_reg <= 1'b1; + else if (G && CE) + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DLPE (high active latch; asynchronous preset; latch enable) + + +module DLN (Q, D, G); + +input D, G; +output Q; + +parameter INIT = 1'b0; +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(D or G or gsrt) begin + if (!gsrt) + Q_reg <= INIT; + else if (!G) + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DLN (low active latch) + + +module DLNE (Q, D, G, CE); + +input D, G, CE; +output Q; + +parameter INIT = 1'b0; +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(D or G or CE or gsrt) begin + if (!gsrt) + Q_reg <= INIT; + else if(!G && CE) + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DLNE (low active latch; latch enable) + + +module DLNC (Q, D, G, CLEAR); + +input D, G, CLEAR; +output Q; + +parameter INIT = 1'b0; +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(D or G or CLEAR or gsrt) begin + if (!gsrt) + Q_reg <= INIT; + else if (CLEAR) + Q_reg <= 1'b0; + else if (!G) + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DLNC (low active latch; asynchronous clear) + + +module DLNCE (Q, D, G, CE, CLEAR); + +input D, G, CLEAR, CE; +output Q; + +parameter INIT = 1'b0; +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(D or G or CLEAR or CE or gsrt) begin + if (!gsrt) + Q_reg <= INIT; + else if (CLEAR) + Q_reg <= 1'b0; + else if (!G && CE) + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DLNCE (low active latch; asynchronous clear; latch enable) + + +module DLNP (Q, D, G, PRESET); + +input D, G, PRESET; +output Q; + +parameter INIT = 1'b1; +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(D or G or PRESET or gsrt) begin + if (!gsrt) + Q_reg <= INIT; + else if (PRESET) + Q_reg <= 1'b1; + else if (!G) + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DLNP (low active latch; asynchronous preset) + + +module DLNPE (Q, D, G, CE, PRESET); + +input D, G, PRESET, CE; +output Q; + +parameter INIT = 1'b1; +reg Q_reg; +wire gsrt = GSR.GSRO; + +initial Q_reg = INIT; + +always @(D or G or PRESET or CE or gsrt) begin + if (!gsrt) + Q_reg <= INIT; + else if (PRESET) + Q_reg <= 1'b1; + else if (!G && CE) + Q_reg <= D; +end + +assign Q = Q_reg; + +endmodule // DLNPE (low active latch; asynchronous preset; latch enable) + +// Inverter +module INV (O, I); + +input I; +output O; + +assign O = !I; + +endmodule // inv +//IOBs +module IBUF (O, I); + +input I; +output O; + +buf IB (O, I); + +endmodule //IBUF (input buffer) + + +module OBUF (O, I); + +input I; +output O; + +buf OB (O, I); + +endmodule //OBUF (output buffer) + + +module TBUF (O, I, OEN); + +input I, OEN; +output O; + +bufif0 TB (O, I, OEN); + +endmodule // TBUF (output buffer with tri-state control) + + +module IOBUF (O, IO, I, OEN); + +input I,OEN; +output O; +inout IO; + +buf OB (O, IO); +bufif0 IB (IO,I,OEN); + +endmodule //IOBUF (inout buffer) + + +module IDDR(Q0, Q1, D, CLK); + +input D; +input CLK; +output Q0; +output Q1; + +parameter Q0_INIT = 1'b0; +parameter Q1_INIT = 1'b0; + +wire gsrt = GSR.GSRO; + +reg Q0_oreg, Q1_oreg,Q0_reg, Q1_reg; + +initial begin + Q0_reg = Q0_INIT; + Q1_reg = Q1_INIT; + Q0_oreg = Q0_INIT; + Q1_oreg = Q1_INIT; +end + +assign Q0 = Q0_reg; +assign Q1 = Q1_reg; + +always @(gsrt) begin + if(!gsrt) begin + assign Q0_reg = Q0_INIT; + assign Q1_reg = Q1_INIT; + assign Q0_oreg = Q0_INIT; + assign Q1_oreg = Q1_INIT; + end + else begin + deassign Q0_reg; + deassign Q1_reg; + deassign Q0_oreg; + deassign Q1_oreg; + end +end + +always @(posedge CLK) begin + Q0_oreg <= D; + Q0_reg <= Q0_oreg; + Q1_reg <= Q1_oreg; + +end + +always @(negedge CLK) begin + Q1_oreg <= D; +end + +endmodule //IDDR (ddr input) + +module IDDRC(Q0, Q1, D, CLK, CLEAR); + +input D; +input CLK; +input CLEAR; +output Q0; +output Q1; + +parameter Q0_INIT = 1'b0; +parameter Q1_INIT = 1'b0; + +wire gsrt = GSR.GSRO; + +reg Q0_oreg, Q1_oreg,Q0_reg, Q1_reg; + +initial begin + Q0_reg = Q0_INIT; + Q1_reg = Q1_INIT; + Q0_oreg = Q0_INIT; + Q1_oreg = Q1_INIT; +end + +assign Q0 = Q0_reg; +assign Q1 = Q1_reg; + +always @(gsrt or CLEAR) begin + if(!gsrt) begin + assign Q0_reg = Q0_INIT; + assign Q1_reg = Q1_INIT; + assign Q0_oreg = Q0_INIT; + assign Q1_oreg = Q1_INIT; + end + else if (CLEAR) begin + assign Q0_reg = 1'b0; + assign Q1_reg = 1'b0; + assign Q0_oreg = 1'b0; + assign Q1_oreg = 1'b0; + end + else begin + deassign Q0_reg; + deassign Q1_reg; + deassign Q0_oreg; + deassign Q1_oreg; + end +end + +always @(posedge CLK) begin + Q0_oreg <= D; + Q0_reg <= Q0_oreg; + Q1_reg <= Q1_oreg; +end + +always @(negedge CLK) begin + Q1_oreg <= D; +end + +endmodule //IDDRC (ddr input, asynchronous clear) + + +module IDDR_MEM (Q0, Q1, D, WADDR, RADDR, PCLK, ICLK, RESET); + +parameter GSREN = "false"; //"true"; "false" +parameter LSREN = "true"; //"true"; "false" + +input D, ICLK, PCLK; +input [2:0] WADDR; +input [2:0] RADDR; +input RESET; +output Q0,Q1; +wire grstn; +wire lrstn; +//synthesis translate_off +assign grstn = GSREN == "true" ? GSR.GSRO : 1'b1; +assign lrstn = LSREN == "true" ? (~RESET) : 1'b1; + +reg Dd0; +reg [7:0] D0_mem; +reg [7:0] D1_mem; +reg [1:0] Q_data; + +always @(posedge ICLK or negedge grstn or negedge lrstn) + if (!grstn) + Dd0 <= 0; + else if (!lrstn) + Dd0 <= 0; + else + Dd0 <= D; + +always @(negedge ICLK or negedge grstn or negedge lrstn) + if (!grstn) + D0_mem <= 0; + else if (!lrstn) + D0_mem <= 0; + else + D0_mem[WADDR] <= Dd0; + +always @(negedge ICLK or negedge grstn or negedge lrstn) + if (!grstn) + D1_mem <= 0; + else if (!lrstn) + D1_mem <= 0; + else + D1_mem[WADDR] <= D; + +always @(posedge PCLK or negedge grstn or negedge lrstn) + if (!grstn) + Q_data <= 0; + else if (!lrstn) + Q_data <= 0; + else + Q_data <= {D1_mem[RADDR], D0_mem[RADDR]}; + +assign {Q1,Q0}= Q_data; +//synthesis translate_on + +endmodule // IDDR_MEM (ddr input with memory) + + +module ODDR (Q0, Q1, D0, D1, TX, CLK); + +input D0; +input D1; +input TX; +input CLK; +output Q0; +output Q1; + +parameter TXCLK_POL = 1'b0; //1'b0:Rising edge output; 1'b1:Falling edge output +parameter INIT = 1'b0; + +reg Dd0_0,Dd0_1,Dd0_2; +reg Dd1_0,Dd1_1,Dd1_2; +reg Ttx0,Ttx1,DT0,DT1; +wire gsrt = GSR.GSRO; + +initial begin + Dd0_0 = 1'b0; + Dd0_1 = 1'b0; + Dd0_2 = 1'b0; + Dd1_0 = 1'b0; + Dd1_1 = 1'b0; + Dd1_2 = 1'b0; + Ttx0 = 1'b0; + Ttx1 = 1'b0; + DT0 = 1'b0; + DT1 = 1'b0; +end + +always @(gsrt) begin + if(!gsrt) begin + assign Dd1_2 = INIT; + assign Dd0_2 = INIT; + assign Dd1_1 = INIT; + assign Dd0_1 = INIT; + assign Dd1_0 = INIT; + assign Dd0_0 = INIT; + assign Ttx0 = INIT; + assign Ttx1 = INIT; + assign DT0 = INIT; + assign DT1 = INIT; + end + else begin + deassign Dd1_2; + deassign Dd0_2; + deassign Dd1_1; + deassign Dd0_1; + deassign Dd1_0; + deassign Dd0_0; + deassign Ttx0; + deassign Ttx1; + deassign DT0; + deassign DT1; + end +end + +always @(posedge CLK) begin + Dd0_0 <= D0; + Dd1_0 <= D1; + Dd0_1 <= Dd0_0; + Dd1_1 <= Dd1_0; + Ttx0 <= TX; + Ttx1 <= Ttx0; +end + +always @(posedge CLK) begin + Dd1_2 <= Dd1_1; + DT0 <= DT1; +end + +always @(negedge CLK) begin + Dd0_2 <= Dd0_1; + DT1 <= Ttx1; +end + +assign Q0 = (CLK)? Dd0_2 : Dd1_2; +assign Q1 = (TXCLK_POL == 1'b0) ? DT0 : DT1; + +endmodule // ODDR (ddr output) + +module ODDRC (Q0, Q1, D0, D1, TX, CLK, CLEAR); + +input D0, D1, TX, CLK, CLEAR; +output Q0,Q1; + +parameter TXCLK_POL = 1'b0; //1'b0:Rising edge output; 1'b1:Falling edge output +parameter INIT = 1'b0; + +wire gsrt = GSR.GSRO; + +reg Dd0_0,Dd0_1,Dd0_2; +reg Dd1_0,Dd1_1,Dd1_2; +reg Ttx0,Ttx1,DT0,DT1; + +initial begin + Dd0_0 = 1'b0; + Dd0_1 = 1'b0; + Dd0_2 = 1'b0; + Dd1_0 = 1'b0; + Dd1_1 = 1'b0; + Dd1_2 = 1'b0; + Ttx0 = 1'b0; + Ttx1 = 1'b0; + DT0 = 1'b0; + DT1 = 1'b0; +end + +always @(gsrt or CLEAR) begin + if(!gsrt) begin + assign Dd1_2 = INIT; + assign Dd0_2 = INIT; + assign Dd1_1 = INIT; + assign Dd0_1 = INIT; + assign Dd1_0 = INIT; + assign Dd0_0 = INIT; + assign Ttx0 = INIT; + assign Ttx1 = INIT; + assign DT0 = INIT; + assign DT1 = INIT; + end + else if(CLEAR) begin + assign Dd1_2 = 1'b0; + assign Dd0_2 = 1'b0; + assign Dd1_1 = 1'b0; + assign Dd0_1 = 1'b0; + assign Dd1_0 = 1'b0; + assign Dd0_0 = 1'b0; + assign Ttx0 = INIT; + assign Ttx1 = INIT; + assign DT0 = INIT; + assign DT1 = INIT; + end + else begin + deassign Dd1_2; + deassign Dd0_2; + deassign Dd1_1; + deassign Dd0_1; + deassign Dd1_0; + deassign Dd0_0; + deassign Ttx0; + deassign Ttx1; + deassign DT0; + deassign DT1; + end +end + +always @(posedge CLK) begin + Dd0_0 <= D0; + Dd1_0 <= D1; + Dd0_1 <= Dd0_0; + Dd1_1 <= Dd1_0; + Ttx0 <= TX; + Ttx1 <= Ttx0; +end + +always @(posedge CLK) begin + Dd1_2 <= Dd1_1; + DT0 <= DT1; +end + +always @(negedge CLK) begin + Dd0_2 <= Dd0_1; + DT1 <= Ttx1; +end + +assign Q0 = (CLK)? Dd0_2 : Dd1_2; +assign Q1 = (TXCLK_POL == 1'b0) ? DT0 : DT1; + +endmodule // ODDRC (ddr output with asynchronous clear) + + +module ODDR_MEM (Q0, Q1, D0, D1, TX, PCLK, TCLK, RESET); + +parameter GSREN = "false"; //"true"; "false" +parameter LSREN = "true"; //"true"; "false" +parameter TCLK_SOURCE = "DQSW"; //"DQSW","DQSW270" +parameter TXCLK_POL = 1'b0; //1'b0:Rising edge output; 1'b1:Falling edge output + +input D0, D1; +input TX, PCLK, TCLK, RESET; +output Q0, Q1; + +//synthesis translate_off +reg [1:0] Dd1; +reg Ttx1; +reg [1:0] Dd2; +reg Ttx2; +reg Qq0; +reg DT0,DT1; +reg Qq1; +wire tclk_sig; +wire grstn; +wire lrstn; + +assign grstn = GSREN == "true" ? GSR.GSRO : 1'b1; +assign lrstn = LSREN == "true" ? (~RESET) : 1'b1; +assign tclk_sig = (TCLK_SOURCE == "DQSW") ? TCLK : ~TCLK; + +always @(posedge PCLK or negedge grstn or negedge lrstn) + if (!grstn) begin + Dd1 <= 2'b0; + Ttx1 <= 1'b0; + end + else if (!lrstn) begin + Dd1 <= 0; + Ttx1 <= 0; + end + else begin + Dd1 <= {D1, D0}; + Ttx1 <= TX; + end + +always @(posedge tclk_sig or negedge grstn or negedge lrstn) + if (!grstn) begin + Dd2 <= 2'b0; + Ttx2 <= 1'b0; + end + else if (!lrstn) begin + Dd2 <= 2'b0; + Ttx2 <= 1'b0; + end + else begin + Dd2 <= Dd1; + Ttx2 <= Ttx1; + end + +always @(posedge tclk_sig or negedge grstn or negedge lrstn) + if (!grstn) begin + Qq0 <= 1'b0; + DT0 <= 1'b0; + end + else if (!lrstn) begin + Qq0 <= 1'b0; + DT0 <= 1'b0; + end + else begin + Qq0 <= Dd2[1]; + DT0 <= DT1; + end + +always @(negedge tclk_sig or negedge grstn or negedge lrstn) + if (!grstn) begin + Qq1 <= 1'b0; + DT1 <= 1'b0; + end + else if (!lrstn) begin + Qq1 <= 1'b0; + DT1 <= 1'b0; + end + else begin + Qq1 <= Dd2[0]; + DT1 <= Ttx2; + end + +assign Q0 = tclk_sig ? Qq1 : Qq0; +assign Q1 = (TXCLK_POL == 1'b0) ? DT0 : DT1; + +//synthesis translate_on + +endmodule // ODDR_MEM (ddr output with memory) + +module IDES4 (Q0, Q1, Q2, Q3, D, CALIB, PCLK, FCLK, RESET); + +parameter GSREN = "false"; //"true"; "false" +parameter LSREN = "true"; //"true"; "false" + +input D, FCLK, PCLK, CALIB, RESET; +output Q0,Q1,Q2,Q3; +wire grstn; +wire lrstn; +//synthesis translate_off + +assign grstn = (GSREN == "true") ? GSR.GSRO : 1'b1; +assign lrstn = (LSREN == "true") ? (~RESET) : 1'b1; + +reg Dd0,Dd1; +reg [3:0] D_data,data; +reg D_en1,D_en; +reg Dd_sel,calib_state; +reg [3:0] Q_data; +reg reset_delay; +wire CALIBdata_rising_p; +reg [2:0] CALIBdata; +wire dcnt_en; +reg Dd0_reg0,Dd0_reg1,Dd1_reg0,Dd1_reg1; + +initial begin + calib_state = 1'b0; + D_en1 = 1'b0; + D_en = 1'b0; + Dd_sel = 1'b0; +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) begin + if (!grstn) begin + Dd0 <= 1'b0; + end else if (!lrstn) begin + Dd0 <= 1'b0; + end else begin + Dd0 <= D; + end +end + +always @(negedge FCLK or negedge grstn or negedge lrstn) begin + if (!grstn) begin + Dd1 <= 1'b0; + end else if (!lrstn) begin + Dd1 <= 1'b0; + end else begin + Dd1 <= D; + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) begin + if (!grstn) begin + Dd0_reg0 <= 1'b0; + Dd0_reg1 <= 1'b0; + Dd1_reg0 <= 1'b0; + Dd1_reg1 <= 1'b0; + end else if (!lrstn) begin + Dd0_reg0 <= 1'b0; + Dd0_reg1 <= 1'b0; + Dd1_reg0 <= 1'b0; + Dd1_reg1 <= 1'b0; + end else begin + Dd0_reg0 <= Dd0; + Dd0_reg1 <= Dd0_reg0; + Dd1_reg0 <= Dd1; + Dd1_reg1 <= Dd1_reg0; + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) begin + if (!grstn) begin + reset_delay <= 1'b0; + end else if (!lrstn) begin + reset_delay <= 1'b0; + end else begin + reset_delay <= 1'b1; + end +end + +always @(posedge FCLK or negedge reset_delay) begin + if (!reset_delay) begin + CALIBdata <= 3'b0; + end else begin + CALIBdata <= {CALIBdata[1:0], CALIB}; + end +end + +assign CALIBdata_rising_p = CALIBdata[1] && (~CALIBdata[2]); +assign dcnt_en = ~(CALIBdata_rising_p && calib_state); + +always @(posedge FCLK or negedge reset_delay) begin + if (!reset_delay) begin + calib_state <= 1'b0; + D_en1 <= 1'b0; + D_en <= 1'b0; + Dd_sel <= 1'b0; + end else begin + D_en <= ~D_en1; + if (CALIBdata_rising_p) begin + calib_state <= ~calib_state; + Dd_sel <= ~Dd_sel; + end else begin + calib_state <= calib_state; + Dd_sel <= Dd_sel; + end + + if (dcnt_en) begin + D_en1 <= ~D_en1; + end else begin + D_en1 <= D_en1; + end + end +end + +always @(Dd_sel or Dd0 or Dd0_reg0 or Dd0_reg1 or Dd1_reg0 or Dd1_reg1) begin + if(Dd_sel) begin + D_data[3] = Dd0; + D_data[2] = Dd1_reg0; + D_data[1] = Dd0_reg0; + D_data[0] = Dd1_reg1; + end else begin + D_data[3] = Dd1_reg0; + D_data[2] = Dd0_reg0; + D_data[1] = Dd1_reg1; + D_data[0] = Dd0_reg1; + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) begin + if (!grstn) begin + data <= 4'b0; + end else if (!lrstn) begin + data <= 4'b0; + end else if (D_en) begin + data <= D_data; + end +end + +always @(posedge PCLK or negedge grstn or negedge lrstn) begin + if (!grstn) begin + Q_data <= 4'b0; + end else if (!lrstn) begin + Q_data <= 4'b0; + end else begin + Q_data <= data; + end +end + +assign {Q3,Q2,Q1,Q0} = Q_data; +//synthesis translate_on + +endmodule // IDES4 (4 to 1 deserializer) + + +module IDES4_MEM (Q0, Q1, Q2, Q3, D, WADDR, RADDR, CALIB, PCLK, FCLK, ICLK, RESET); + +parameter GSREN = "false"; //"true"; "false" +parameter LSREN = "true"; //"true"; "false" + +input D, ICLK, FCLK, PCLK; +input [2:0] WADDR; +input [2:0] RADDR; +input RESET,CALIB; +output Q0,Q1,Q2,Q3; +wire grstn,lrstn; +reg Dd0; +reg [3:0] D_data,Q_data, data; +reg D_en1,D_en; +reg Dd_sel,calib_state; +reg reset_delay; +wire CALIBdata_rising_p; +reg [2:0] CALIBdata; +wire dcnt_en; +reg Dd0_reg0,Dd0_reg1,Dd1_reg0,Dd1_reg1; +reg [7:0] D0_mem; +reg [7:0] D1_mem; + +initial begin + calib_state = 1'b0; + D_en1 = 1'b0; + D_en = 1'b0; + Dd_sel = 1'b0; +end + +assign grstn = (GSREN == "true") ? GSR.GSRO : 1'b1; +assign lrstn = (LSREN == "true") ? (~RESET) : 1'b1; + +always @(posedge ICLK or negedge grstn or negedge lrstn) begin + if (!grstn) begin + Dd0 <= 1'b0; + end else if (!lrstn) begin + Dd0 <= 1'b0; + end else begin + Dd0 <= D; + end +end + +always @(negedge ICLK or negedge grstn or negedge lrstn) begin + if (!grstn) begin + D0_mem <= 8'b0; + end else if (!lrstn) begin + D0_mem <= 8'b0; + end else begin + D0_mem[WADDR] <= Dd0; + end +end + +always @(negedge ICLK or negedge grstn or negedge lrstn) begin + if (!grstn) begin + D1_mem <= 8'b0; + end else if (!lrstn) begin + D1_mem <= 8'b0; + end else begin + D1_mem[WADDR] <= D; + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) begin + if (!grstn) begin + Dd0_reg0 <= 1'b0; + Dd0_reg1 <= 1'b0; + Dd1_reg0 <= 1'b0; + Dd1_reg1 <= 1'b0; + end else if (!lrstn) begin + Dd0_reg0 <= 1'b0; + Dd0_reg1 <= 1'b0; + Dd1_reg0 <= 1'b0; + Dd1_reg1 <= 1'b0; + end else begin + Dd0_reg0 <= D0_mem[RADDR]; + Dd0_reg1 <= Dd0_reg0; + Dd1_reg0 <= D1_mem[RADDR]; + Dd1_reg1 <= Dd1_reg0; + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) begin + if (!grstn) begin + reset_delay <= 1'b0; + end else if (!lrstn) begin + reset_delay <= 1'b0; + end else begin + reset_delay <= 1'b1; + end +end + +always @(posedge FCLK or negedge reset_delay) begin + if (!reset_delay) begin + CALIBdata <= 3'b0; + end else begin + CALIBdata <= {CALIBdata[1:0], CALIB}; + end +end + +assign CALIBdata_rising_p = CALIBdata[1] && (~CALIBdata[2]); +assign dcnt_en = ~(CALIBdata_rising_p && calib_state); + +always @(posedge FCLK or negedge reset_delay) begin + if (!reset_delay) begin + calib_state <= 1'b0; + D_en1 <= 1'b0; + D_en <= 1'b0; + Dd_sel <= 1'b0; + end else begin + D_en <= ~D_en1; + if (CALIBdata_rising_p) begin + calib_state <= ~calib_state; + Dd_sel <= ~Dd_sel; + end else begin + calib_state <= calib_state; + Dd_sel <= Dd_sel; + end + + if (dcnt_en) begin + D_en1 <= ~D_en1; + end else begin + D_en1 <= D_en1; + end + end +end + +always @(Dd_sel or D0_mem[RADDR] or Dd0_reg0 or Dd0_reg1 or Dd1_reg0 or Dd1_reg1) begin + if(Dd_sel) begin + D_data[3] = D0_mem[RADDR]; + D_data[2] = Dd1_reg0; + D_data[1] = Dd0_reg0; + D_data[0] = Dd1_reg1; + end else begin + D_data[3] = Dd1_reg0; + D_data[2] = Dd0_reg0; + D_data[1] = Dd1_reg1; + D_data[0] = Dd0_reg1; + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) begin + if (!grstn) begin + data <= 4'b0; + end else if (!lrstn) begin + data <= 4'b0; + end else if (D_en) begin + data <= D_data; + end +end + +always @(posedge PCLK or negedge grstn or negedge lrstn) begin + if (!grstn) begin + Q_data <= 4'b0; + end else if (!lrstn) begin + Q_data <= 4'b0; + end else begin + Q_data <= data; + end +end + +assign {Q3,Q2,Q1,Q0} = Q_data; + +endmodule //IDES4_MEM (4 to 1 deserializer with memory) + + +module IVIDEO (Q0, Q1, Q2, Q3, Q4, Q5, Q6, D, CALIB, PCLK, FCLK, RESET); + +parameter GSREN = "false"; //"true"; "false" +parameter LSREN = "true"; //"true"; "false" + +input D, FCLK, PCLK, CALIB, RESET; +output Q0, Q1, Q2, Q3, Q4, Q5, Q6; +wire grstn; +wire lrstn; +assign grstn = GSREN == "true" ? GSR.GSRO : 1'b1; +assign lrstn = LSREN == "true" ? (~RESET) : 1'b1; + +reg Dd0; +reg Dd1; +reg [6:0] D_data; +reg [6:0] data; +reg [6:0] Q_data; +reg Dd_sel; +reg reset_delay; +wire CALIBdata_rising_p; +reg [2:0] CALIBdata; +wire dcnt_en,dsel_en; +reg Dd0_reg0,Dd0_reg1,Dd0_reg2,Dd1_reg0,Dd1_reg1,Dd1_reg2,Dd1_reg3; +reg D_en,D_en0,D_en1; + +always @(posedge FCLK or negedge grstn or negedge lrstn) + if (!grstn) + Dd0 <= 0; + else if (!lrstn) + Dd0 <= 0; + else + Dd0 <= D; + +always @(negedge FCLK or negedge grstn or negedge lrstn) + if (!grstn) + Dd1 <= 0; + else if (!lrstn) + Dd1 <= 0; + else + Dd1 <= D; + +always @(posedge FCLK or negedge grstn or negedge lrstn) begin + if (!grstn) begin + reset_delay <= 1'b0; + end else if (!lrstn) begin + reset_delay <= 1'b0; + end else begin + reset_delay <= 1'b1; + end +end + +always @(posedge FCLK or negedge reset_delay) begin + if (!reset_delay) begin + CALIBdata <= 3'b0; + end else begin + CALIBdata <= {CALIBdata[1:0], CALIB}; + end +end + +assign CALIBdata_rising_p = CALIBdata[1] && (~CALIBdata[2]); +assign dcnt_en = ~CALIBdata_rising_p; +assign dsel_en = (Dd_sel & D_en1 & (~D_en0) & (~CALIBdata_rising_p)) | ((~Dd_sel) & D_en0 & D_en1); +always @(posedge FCLK or negedge reset_delay) begin + if (!reset_delay) begin + D_en1 <= 1'b0; + D_en0 <= 1'b0; + D_en <= 1'b0; + Dd_sel <= 1'b0; + end else begin + D_en <= (~((~Dd_sel) | D_en0 | D_en1)) | (~(Dd_sel | D_en1 | (~D_en0))); + if (dsel_en) begin + Dd_sel <= ~Dd_sel; + end else begin + Dd_sel <= Dd_sel; + end + + if (dcnt_en) begin + D_en0 <= ~(D_en0 | (Dd_sel & D_en1 & (~D_en0))); + end else begin + D_en0 <= D_en0; + end + + if (dcnt_en) begin + D_en1 <= (~(Dd_sel & D_en1 & (~D_en0))) &(D_en0 ^ D_en1); + end else begin + D_en1 <= D_en1; + end + end + +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) begin + if (!grstn) begin + Dd0_reg0 <= 1'b0; + Dd0_reg1 <= 1'b0; + Dd0_reg2 <= 1'b0; + Dd1_reg0 <= 1'b0; + Dd1_reg1 <= 1'b0; + Dd1_reg2 <= 1'b0; + Dd1_reg3 <= 1'b0; + end else if(!lrstn) begin + Dd0_reg0 <= 1'b0; + Dd0_reg1 <= 1'b0; + Dd0_reg2 <= 1'b0; + Dd1_reg0 <= 1'b0; + Dd1_reg1 <= 1'b0; + Dd1_reg2 <= 1'b0; + Dd1_reg3 <= 1'b0; + end else begin + Dd0_reg0 <= Dd0; + Dd0_reg1 <= Dd0_reg0; + Dd0_reg2 <= Dd0_reg1; + Dd1_reg0 <= Dd1; + Dd1_reg1 <= Dd1_reg0; + Dd1_reg2 <= Dd1_reg1; + Dd1_reg3 <= Dd1_reg2; + end +end + +always @(Dd_sel or Dd0 or Dd0_reg0 or Dd0_reg1 or Dd0_reg2 or Dd1_reg0 or Dd1_reg1 or Dd1_reg2 or Dd1_reg3) begin + if(Dd_sel) begin + D_data[6] <= Dd0; + D_data[5] <= Dd1_reg0; + D_data[4] <= Dd0_reg0; + D_data[3] <= Dd1_reg1; + D_data[2] <= Dd0_reg1; + D_data[1] <= Dd1_reg2; + D_data[0] <= Dd0_reg2; + end else begin + D_data[6] <= Dd1_reg0; + D_data[5] <= Dd0_reg0; + D_data[4] <= Dd1_reg1; + D_data[3] <= Dd0_reg1; + D_data[2] <= Dd1_reg2; + D_data[1] <= Dd0_reg2; + D_data[0] <= Dd1_reg3; + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) + if (!grstn) + data <= 0; + else if (!lrstn) + data <= 0; + else if (D_en) + data <= D_data; + + +always @(posedge PCLK or negedge grstn or negedge lrstn) + if (!grstn) + Q_data <= 0; + else if (!lrstn) + Q_data <= 0; + else + Q_data <= data; + +assign {Q6, Q5, Q4, Q3, Q2, Q1, Q0} = Q_data; + +endmodule //IVIDEO (7 to 1 deserializer) + +module IDES8 (Q0, Q1, Q2, Q3, Q4, Q5, Q6, Q7, D, CALIB, PCLK, FCLK, RESET); + +parameter GSREN = "false"; //"true"; "false" +parameter LSREN = "true"; //"true"; "false" + +input D, FCLK, PCLK, CALIB,RESET; +output Q0, Q1, Q2, Q3, Q4, Q5, Q6, Q7; +wire grstn; +wire lrstn; +assign grstn = GSREN == "true" ? GSR.GSRO : 1'b1; +assign lrstn = LSREN == "true" ? (~RESET) : 1'b1; + +reg Dd0; +reg Dd1; +reg [7:0] D_data; +reg [7:0] data; +reg D_en,D_en0,D_en1; +reg [7:0] Q_data; +reg Dd_sel,calib_state; +reg reset_delay; +wire CALIBdata_rising_p; +reg [2:0] CALIBdata; +wire dcnt_en; +reg Dd0_reg0,Dd0_reg1,Dd0_reg2,Dd0_reg3,Dd1_reg0,Dd1_reg1,Dd1_reg2,Dd1_reg3; + +initial begin + calib_state = 1'b0; + D_en0 = 1'b0; + D_en1 = 1'b0; + D_en = 1'b0; + Dd_sel = 1'b0; +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) begin + if (!grstn) begin + Dd0 <= 0; + end else if (!lrstn) begin + Dd0 <= 0; + end else begin + Dd0 <= D; + end +end + +always @(negedge FCLK or negedge grstn or negedge lrstn) begin + if (!grstn) begin + Dd1 <= 0; + end else if (!lrstn) begin + Dd1 <= 0; + end else begin + Dd1 <= D; + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) begin + if (!grstn) begin + reset_delay <= 1'b0; + end else if (!lrstn) begin + reset_delay <= 1'b0; + end else begin + reset_delay <= 1'b1; + end +end + +always @(posedge FCLK or negedge reset_delay) begin + if (!reset_delay) begin + CALIBdata <= 3'b0; + end else begin + CALIBdata <= {CALIBdata[1:0], CALIB}; + end +end + +assign CALIBdata_rising_p = CALIBdata[1] && (~CALIBdata[2]); +assign dcnt_en = ~(CALIBdata_rising_p && calib_state); + +always @(posedge FCLK or negedge reset_delay) begin + if (!reset_delay) begin + calib_state <= 1'b0; + D_en1 <= 1'b0; + D_en0 <= 1'b0; + D_en <= 1'b0; + Dd_sel <= 1'b0; + end else begin + D_en <= D_en0 & (~D_en1); + if (CALIBdata_rising_p) begin + calib_state <= ~calib_state; + Dd_sel <= ~Dd_sel; + end else begin + calib_state <= calib_state; + Dd_sel <= Dd_sel; + end + + if (dcnt_en) begin + D_en0 <= ~D_en0; + end else begin + D_en0 <= D_en0; + end + + if (dcnt_en) begin + D_en1 <= D_en0 ^ D_en1; + end else begin + D_en1 <= D_en1; + end + end + +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) begin + if (!grstn) begin + Dd0_reg0 <= 1'b0; + Dd0_reg1 <= 1'b0; + Dd0_reg2 <= 1'b0; + Dd0_reg3 <= 1'b0; + Dd1_reg0 <= 1'b0; + Dd1_reg1 <= 1'b0; + Dd1_reg2 <= 1'b0; + Dd1_reg3 <= 1'b0; + end else if(!lrstn) begin + Dd0_reg0 <= 1'b0; + Dd0_reg1 <= 1'b0; + Dd0_reg2 <= 1'b0; + Dd0_reg3 <= 1'b0; + Dd1_reg0 <= 1'b0; + Dd1_reg1 <= 1'b0; + Dd1_reg2 <= 1'b0; + Dd1_reg3 <= 1'b0; + end else begin + Dd0_reg0 <= Dd0; + Dd0_reg1 <= Dd0_reg0; + Dd0_reg2 <= Dd0_reg1; + Dd0_reg3 <= Dd0_reg2; + Dd1_reg0 <= Dd1; + Dd1_reg1 <= Dd1_reg0; + Dd1_reg2 <= Dd1_reg1; + Dd1_reg3 <= Dd1_reg2; + end +end + +always @(Dd_sel or Dd0 or Dd0_reg0 or Dd0_reg1 or Dd0_reg2 or Dd0_reg3 or Dd1_reg0 or Dd1_reg1 or Dd1_reg2 or Dd1_reg3) begin + if(Dd_sel) begin + D_data[7] <= Dd0; + D_data[6] <= Dd1_reg0; + D_data[5] <= Dd0_reg0; + D_data[4] <= Dd1_reg1; + D_data[3] <= Dd0_reg1; + D_data[2] <= Dd1_reg2; + D_data[1] <= Dd0_reg2; + D_data[0] <= Dd1_reg3; + end else begin + D_data[7] <= Dd1_reg0; + D_data[6] <= Dd0_reg0; + D_data[5] <= Dd1_reg1; + D_data[4] <= Dd0_reg1; + D_data[3] <= Dd1_reg2; + D_data[2] <= Dd0_reg2; + D_data[1] <= Dd1_reg3; + D_data[0] <= Dd0_reg3; + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) + if (!grstn) + data <= 0; + else if (!lrstn) + data <= 0; + else if (D_en) + data <= D_data; + +always @(posedge PCLK or negedge grstn or negedge lrstn) + if (!grstn) + Q_data <= 0; + else if (!lrstn) + Q_data <= 0; + else + Q_data <= data; + +assign {Q7, Q6, Q5, Q4, Q3, Q2, Q1, Q0} = Q_data; + +endmodule // IDES8 (8 to 1 deserializer) + + +module IDES8_MEM (Q0, Q1, Q2, Q3, Q4, Q5, Q6, Q7, D, WADDR, RADDR, CALIB, PCLK, FCLK, ICLK, RESET); + +parameter GSREN = "false"; //"true"; "false" +parameter LSREN = "true"; //"true"; "false" + +input D, ICLK, FCLK, PCLK; +input [2:0] WADDR; +input [2:0] RADDR; +input RESET,CALIB; +output Q0, Q1, Q2, Q3, Q4, Q5, Q6, Q7; +wire grstn; +wire lrstn; +//synthesis translate_off +assign grstn = GSREN == "true" ? GSR.GSRO : 1'b1; +assign lrstn = LSREN == "true" ? (~RESET) : 1'b1; + +reg Dd0; +reg [7:0] Dd0_mem; +reg [7:0] Dd1_mem; +reg [7:0] Q_data; +reg [7:0] D_data; +reg [7:0] data; +reg D_en,D_en0,D_en1; +reg Dd_sel,calib_state; +reg reset_delay; +wire CALIBdata_rising_p; +reg [2:0] CALIBdata; +wire dcnt_en; +reg Dd0_reg0,Dd0_reg1,Dd0_reg2,Dd0_reg3,Dd1_reg0,Dd1_reg1,Dd1_reg2,Dd1_reg3; + +initial begin + calib_state = 1'b0; + D_en0 = 1'b0; + D_en1 = 1'b0; + D_en = 1'b0; + Dd_sel = 1'b0; +end + +always @(posedge ICLK or negedge grstn or negedge lrstn) + if (!grstn) + Dd0 <= 0; + else if (!lrstn) + Dd0 <= 0; + else + Dd0 <= D; + +always @(negedge ICLK or negedge grstn or negedge lrstn) + if (!grstn) + Dd0_mem <= 0; + else if (!lrstn) + Dd0_mem <= 0; + else + Dd0_mem[WADDR] <= Dd0; + +always @(negedge ICLK or negedge grstn or negedge lrstn) + if (!grstn) + Dd1_mem <= 0; + else if (!lrstn) + Dd1_mem <= 0; + else + Dd1_mem[WADDR] <= D; + +always @(posedge FCLK or negedge grstn or negedge lrstn) begin + if (!grstn) begin + reset_delay <= 1'b0; + end else if (!lrstn) begin + reset_delay <= 1'b0; + end else begin + reset_delay <= 1'b1; + end +end + +always @(posedge FCLK or negedge reset_delay) begin + if (!reset_delay) begin + CALIBdata <= 3'b0; + end else begin + CALIBdata <= {CALIBdata[1:0], CALIB}; + end +end + +assign CALIBdata_rising_p = CALIBdata[1] && (~CALIBdata[2]); +assign dcnt_en = ~(CALIBdata_rising_p && calib_state); + +always @(posedge FCLK or negedge reset_delay) begin + if (!reset_delay) begin + calib_state <= 1'b0; + D_en1 <= 1'b0; + D_en0 <= 1'b0; + D_en <= 1'b0; + Dd_sel <= 1'b0; + end else begin + D_en <= D_en0 & (~D_en1); + if (CALIBdata_rising_p) begin + calib_state <= ~calib_state; + Dd_sel <= ~Dd_sel; + end else begin + calib_state <= calib_state; + Dd_sel <= Dd_sel; + end + + if (dcnt_en) begin + D_en0 <= ~D_en0; + end else begin + D_en0 <= D_en0; + end + + if (dcnt_en) begin + D_en1 <= D_en0 ^ D_en1; + end else begin + D_en1 <= D_en1; + end + end + +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) begin + if (!grstn) begin + Dd0_reg0 <= 1'b0; + Dd0_reg1 <= 1'b0; + Dd0_reg2 <= 1'b0; + Dd0_reg3 <= 1'b0; + Dd1_reg0 <= 1'b0; + Dd1_reg1 <= 1'b0; + Dd1_reg2 <= 1'b0; + Dd1_reg3 <= 1'b0; + end else if(!lrstn) begin + Dd0_reg0 <= 1'b0; + Dd0_reg1 <= 1'b0; + Dd0_reg2 <= 1'b0; + Dd0_reg3 <= 1'b0; + Dd1_reg0 <= 1'b0; + Dd1_reg1 <= 1'b0; + Dd1_reg2 <= 1'b0; + Dd1_reg3 <= 1'b0; + end else begin + Dd0_reg0 <= Dd0_mem[RADDR]; + Dd0_reg1 <= Dd0_reg0; + Dd0_reg2 <= Dd0_reg1; + Dd0_reg3 <= Dd0_reg2; + Dd1_reg0 <= Dd1_mem[RADDR]; + Dd1_reg1 <= Dd1_reg0; + Dd1_reg2 <= Dd1_reg1; + Dd1_reg3 <= Dd1_reg2; + end +end + +always @(Dd_sel or Dd0_mem[RADDR] or Dd0_reg0 or Dd0_reg1 or Dd0_reg2 or Dd0_reg3 or Dd1_reg0 or Dd1_reg1 or Dd1_reg2 or Dd1_reg3) begin + if(Dd_sel) begin + D_data[7] <= Dd0_mem[RADDR]; + D_data[6] <= Dd1_reg0; + D_data[5] <= Dd0_reg0; + D_data[4] <= Dd1_reg1; + D_data[3] <= Dd0_reg1; + D_data[2] <= Dd1_reg2; + D_data[1] <= Dd0_reg2; + D_data[0] <= Dd1_reg3; + end else begin + D_data[7] <= Dd1_reg0; + D_data[6] <= Dd0_reg0; + D_data[5] <= Dd1_reg1; + D_data[4] <= Dd0_reg1; + D_data[3] <= Dd1_reg2; + D_data[2] <= Dd0_reg2; + D_data[1] <= Dd1_reg3; + D_data[0] <= Dd0_reg3; + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) + if (!grstn) + data <= 0; + else if (!lrstn) + data <= 0; + else if (D_en) + data <= D_data; + +always @(posedge PCLK or negedge grstn or negedge lrstn) + if (!grstn) + Q_data <= 0; + else if (!lrstn) + Q_data <= 0; + else + Q_data <= data; + +assign {Q7, Q6, Q5, Q4, Q3, Q2, Q1, Q0} = Q_data; + +//synthesis translate_on + +endmodule // IDES8_MEM (8 to 1 deserializer with memory) + + +module IDES10 (Q0, Q1, Q2, Q3, Q4, Q5, Q6, Q7, Q8, Q9, D, CALIB, PCLK, FCLK, RESET); + +parameter GSREN = "false"; //"true"; "false" +parameter LSREN = "true"; //"true"; "false" + +input D, FCLK, PCLK, CALIB, RESET; +output Q0, Q1, Q2, Q3, Q4, Q5, Q6, Q7, Q8, Q9; +wire grstn; +wire lrstn; +//synthesis translate_off +assign grstn = GSREN == "true" ? GSR.GSRO : 1'b1; +assign lrstn = LSREN == "true" ? (~RESET) : 1'b1; + +reg Dd0; +reg Dd1; +reg [9:0] D_data; +reg [9:0] data; +reg D_en,D_en0,D_en1,D_en2; +reg [9:0] Q_data; +reg Dd_sel,calib_state; +reg reset_delay; +wire CALIBdata_rising_p; +reg [2:0] CALIBdata; +wire dcnt_en,dcnt_reset; +reg Dd0_reg0,Dd0_reg1,Dd0_reg2,Dd0_reg3,Dd0_reg4,Dd1_reg0,Dd1_reg1,Dd1_reg2,Dd1_reg3,Dd1_reg4; + +initial begin + calib_state = 1'b0; + D_en0 = 1'b0; + D_en1 = 1'b0; + D_en2 = 1'b0; + D_en = 1'b0; + Dd_sel = 1'b0; + +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) + if (!grstn) + Dd0 <= 0; + else if (!lrstn) + Dd0 <= 0; + else + Dd0 <= D; + +always @(negedge FCLK or negedge grstn or negedge lrstn) + if (!grstn) + Dd1 <= 0; + else if (!lrstn) + Dd1 <= 0; + else + Dd1 <= D; + +always @(posedge FCLK or negedge grstn or negedge lrstn) begin + if (!grstn) begin + reset_delay <= 1'b0; + end else if (!lrstn) begin + reset_delay <= 1'b0; + end else begin + reset_delay <= 1'b1; + end +end + +always @(posedge FCLK or negedge reset_delay) begin + if (!reset_delay) begin + CALIBdata <= 3'b0; + end else begin + CALIBdata <= {CALIBdata[1:0], CALIB}; + end +end + +assign CALIBdata_rising_p = CALIBdata[1] && (~CALIBdata[2]); +assign dcnt_en = ~(CALIBdata_rising_p && calib_state); +assign dcnt_reset = D_en2 & (~D_en1) & (~D_en0); + +always @(posedge FCLK or negedge reset_delay) begin + if (!reset_delay) begin + calib_state <= 1'b0; + D_en0 <= 1'b0; + D_en1 <= 1'b0; + D_en2 <= 1'b0; + D_en <= 1'b0; + Dd_sel <= 1'b0; + end else begin + D_en <= (~D_en0) & D_en1; + if (CALIBdata_rising_p) begin + calib_state <= ~calib_state; + Dd_sel <= ~Dd_sel; + end else begin + calib_state <= calib_state; + Dd_sel <= Dd_sel; + end + + if (dcnt_en) begin + D_en0 <= ~(dcnt_reset | D_en0); + end else begin + D_en0 <= D_en0; + end + + if (dcnt_en) begin + D_en1 <= D_en0 ^ D_en1; + end else begin + D_en1 <= D_en1; + end + + if (dcnt_en) begin + D_en2 <= ((D_en0&D_en1) ^ D_en2) & (~dcnt_reset); + end else begin + D_en2 <= D_en2; + end + + end + +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) begin + if (!grstn) begin + Dd0_reg0 <= 1'b0; + Dd0_reg1 <= 1'b0; + Dd0_reg2 <= 1'b0; + Dd0_reg3 <= 1'b0; + Dd0_reg4 <= 1'b0; + Dd1_reg0 <= 1'b0; + Dd1_reg1 <= 1'b0; + Dd1_reg2 <= 1'b0; + Dd1_reg3 <= 1'b0; + Dd1_reg4 <= 1'b0; + end else if(!lrstn) begin + Dd0_reg0 <= 1'b0; + Dd0_reg1 <= 1'b0; + Dd0_reg2 <= 1'b0; + Dd0_reg3 <= 1'b0; + Dd0_reg4 <= 1'b0; + Dd1_reg0 <= 1'b0; + Dd1_reg1 <= 1'b0; + Dd1_reg2 <= 1'b0; + Dd1_reg3 <= 1'b0; + Dd1_reg4 <= 1'b0; + end else begin + Dd0_reg0 <= Dd0; + Dd0_reg1 <= Dd0_reg0; + Dd0_reg2 <= Dd0_reg1; + Dd0_reg3 <= Dd0_reg2; + Dd0_reg4 <= Dd0_reg3; + Dd1_reg0 <= Dd1; + Dd1_reg1 <= Dd1_reg0; + Dd1_reg2 <= Dd1_reg1; + Dd1_reg3 <= Dd1_reg2; + Dd1_reg4 <= Dd1_reg3; + end +end + +always @(Dd_sel or Dd0 or Dd0_reg0 or Dd0_reg1 or Dd0_reg2 or Dd0_reg3 or Dd0_reg4 or Dd1_reg0 or Dd1_reg1 or Dd1_reg2 or Dd1_reg3 or Dd1_reg4) begin + if(Dd_sel) begin + D_data[9] <= Dd0; + D_data[8] <= Dd1_reg0; + D_data[7] <= Dd0_reg0; + D_data[6] <= Dd1_reg1; + D_data[5] <= Dd0_reg1; + D_data[4] <= Dd1_reg2; + D_data[3] <= Dd0_reg2; + D_data[2] <= Dd1_reg3; + D_data[1] <= Dd0_reg3; + D_data[0] <= Dd1_reg4; + end else begin + D_data[9] <= Dd1_reg0; + D_data[8] <= Dd0_reg0; + D_data[7] <= Dd1_reg1; + D_data[6] <= Dd0_reg1; + D_data[5] <= Dd1_reg2; + D_data[4] <= Dd0_reg2; + D_data[3] <= Dd1_reg3; + D_data[2] <= Dd0_reg3; + D_data[1] <= Dd1_reg4; + D_data[0] <= Dd0_reg4; + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) + if (!grstn) + data <= 0; + else if (!lrstn) + data <= 0; + else if (D_en) + data <= D_data; + +always @(posedge PCLK or negedge grstn or negedge lrstn) + if (!grstn) + Q_data <= 0; + else if (!lrstn) + Q_data <= 0; + else + Q_data <= data; + +assign {Q9, Q8, Q7, Q6, Q5, Q4, Q3, Q2, Q1, Q0} = Q_data; + +//synthesis translate_on + +endmodule // IDES10 (10 to 1 deserializer) + +//OSER4 +module OSER4 (Q0, Q1, D0, D1, D2, D3, TX0, TX1, PCLK, FCLK, RESET); + +parameter GSREN = "false"; //"true"; "false" +parameter LSREN = "true"; //"true"; "false" +parameter HWL = "false"; //"true"; "false" +parameter TXCLK_POL = 1'b0; //1'b0:Rising edge output; 1'b1:Falling edge output + +input D3, D2, D1, D0; +input TX1, TX0; +input PCLK, FCLK, RESET; +output Q0, Q1; + +//synthesis translate_off +reg [3:0] Dd1,Dd2,Dd3; +reg [1:0] Ttx1,Ttx2,Ttx3; +reg rstn_dsel,dsel,d_up0,d_up1; +wire d_en0,d_en1; +reg Qq_n,Q_data_n,Qq_p,Q_data_p; +wire grstn,lrstn; + +initial begin + dsel = 1'b0; +end + +assign grstn = GSREN == "true" ? GSR.GSRO : 1'b1; +assign lrstn = LSREN == "true" ? (~RESET) : 1'b1; + +always @(posedge PCLK or negedge grstn or negedge lrstn) +begin + if (!grstn) begin + Dd1 <= 4'b0; + Ttx1 <= 2'b0; + end + else if (!lrstn) begin + Dd1 <= 4'b0; + Ttx1 <= 2'b0; + end + else begin + Dd1 <= {D3,D2,D1,D0}; + Ttx1 <= {TX1,TX0}; + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) +begin + if(!grstn) begin + rstn_dsel <= 1'b0; + end + else if (!lrstn) begin + rstn_dsel <= 1'b0; + end + else begin + rstn_dsel <= 1'b1; + end +end + +always @(posedge FCLK or negedge rstn_dsel) +begin + if (!rstn_dsel) begin + dsel <= 1'b0; + end else begin + dsel <= ~dsel; + end +end + +assign d_en0 = ~dsel; +assign d_en1 = (HWL == "true") ? (~dsel) : dsel; + +always @(posedge FCLK or negedge rstn_dsel) +begin + if (!rstn_dsel) begin + d_up0 <= 1'b0; + d_up1 <= 1'b0; + end else begin + if(d_en0)begin + d_up0 <= 1'b1; + end else begin + d_up0 <= 1'b0; + end + + if(d_en1)begin + d_up1 <= 1'b1; + end else begin + d_up1 <= 1'b0; + end + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) +begin + if (!grstn) begin + Dd2 <= 4'b0; + Ttx2 <= 2'b0; + end else if (!lrstn) begin + Dd2 <= 4'b0; + Ttx2 <= 2'b0; + end else begin + if(d_up0)begin + Dd2 <= Dd1; + Ttx2 <= Ttx1; + end else begin + Dd2 <= Dd2; + Ttx2 <= Ttx2; + end + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn)begin + if (!grstn) begin + Dd3 <= 4'b0; + Ttx3 <= 2'b0; + end else if (!lrstn) begin + Dd3 <= 4'b0; + Ttx3 <= 2'b0; + end else begin + if(d_up1)begin + Dd3 <= Dd2; + Ttx3 <= Ttx2; + end else begin + Dd3[0] <= Dd3[2]; + Dd3[2] <= 1'b0; + Dd3[1] <= Dd3[3]; + Dd3[3] <= 1'b0; + Ttx3[0] <= Ttx3[1]; + Ttx3[1] <= 1'b0; + end + end +end + +always @(negedge FCLK or negedge grstn or negedge lrstn) +begin + if (!grstn) begin + Qq_n <= 1'b0; + Q_data_n <= 1'b0; + end else if (!lrstn) begin + Qq_n <= 1'b0; + Q_data_n <= 1'b0; + end else begin + Qq_n <= Dd3[0]; + Q_data_n <= Ttx3[0]; + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) +begin + if (!grstn) begin + Qq_p <= 1'b0; + end else if(!lrstn) begin + Qq_p <= 1'b0; + end else begin + Qq_p <= Dd3[1]; + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) +begin + if (!grstn) begin + Q_data_p <= 1'b0; + end else if (!lrstn) begin + Q_data_p <= 1'b0; + end else begin + Q_data_p <= Q_data_n; + end +end + +assign Q0 = FCLK ? Qq_n : Qq_p; +assign Q1 = (TXCLK_POL == 1'b0) ? Q_data_p : Q_data_n; + +//synthesis translate_on + +endmodule // OSER4 (4 to 1 serializer) + + +module OSER4_MEM (Q0, Q1, D0, D1, D2, D3, TX0, TX1, PCLK, FCLK, TCLK, RESET); + +parameter GSREN = "false"; //"true"; "false" +parameter LSREN = "true"; //"true"; "false" +parameter HWL = "false"; //"true"; "false" +parameter TCLK_SOURCE = "DQSW"; //"DQSW","DQSW270" +parameter TXCLK_POL = 1'b0; //1'b0:Rising edge output; 1'b1:Falling edge output + +input D0, D1, D2, D3; +input TX0, TX1; +input PCLK, FCLK, TCLK, RESET; +output Q0, Q1; + +//synthesis translate_off +reg [3:0] Dd1,Dd2,Dd3; +reg [1:0] Ttx1,Ttx2,Ttx3; +reg rstn_dsel0,dsel0,d_up0; +reg rstn_dsel1,dsel1,d_up1; +wire d_en0,d_en1; +reg Qq_n,Q_data_n,Qq_p,Q_data_p; +wire tclk_sig; +wire grstn,lrstn; + +initial begin + dsel0 = 1'b0; + dsel1 = 1'b0; +end + +assign grstn = GSREN == "true" ? GSR.GSRO : 1'b1; +assign lrstn = LSREN == "true" ? (~RESET) : 1'b1; +assign tclk_sig = (TCLK_SOURCE == "DQSW") ? TCLK : ~TCLK; + +always @(posedge PCLK or negedge grstn or negedge lrstn) +begin + if (!grstn) begin + Dd1 <= 4'b0; + Ttx1 <= 2'b0; + end + else if (!lrstn) begin + Dd1 <= 4'b0; + Ttx1 <= 2'b0; + end + else begin + Dd1 <= {D3,D2,D1,D0}; + Ttx1 <= {TX1,TX0}; + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) +begin + if(!grstn) begin + rstn_dsel0 <= 1'b0; + end + else if (!lrstn) begin + rstn_dsel0 <= 1'b0; + end + else begin + rstn_dsel0 <= 1'b1; + end +end + +always @(posedge FCLK or negedge rstn_dsel0) +begin + if (!rstn_dsel0) begin + dsel0 <= 1'b0; + end else begin + dsel0 <= ~dsel0; + end +end + +assign d_en0 = ~dsel0; + +always @(posedge FCLK or negedge rstn_dsel0) +begin + if (!rstn_dsel0) begin + d_up0 <= 1'b0; + end else begin + if(d_en0)begin + d_up0 <= 1'b1; + end else begin + d_up0 <= 1'b0; + end + end +end + +always @(posedge tclk_sig or negedge grstn or negedge lrstn) +begin + if(!grstn) begin + rstn_dsel1 <= 1'b0; + end + else if (!lrstn) begin + rstn_dsel1 <= 1'b0; + end + else begin + rstn_dsel1 <= 1'b1; + end +end + +always @(posedge tclk_sig or negedge rstn_dsel1) +begin + if (!rstn_dsel1) begin + dsel1 <= 1'b0; + end else begin + dsel1 <= ~dsel1; + end +end + +assign d_en1 = (HWL == "true") ? ~dsel1 : dsel1; + +always @(posedge tclk_sig or negedge rstn_dsel1) +begin + if (!rstn_dsel1) begin + d_up1 <= 1'b0; + end else begin + if(d_en1)begin + d_up1 <= 1'b1; + end else begin + d_up1 <= 1'b0; + end + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) +begin + if (!grstn) begin + Dd2 <= 4'b0; + Ttx2 <= 2'b0; + end else if (!lrstn) begin + Dd2 <= 4'b0; + Ttx2 <= 2'b0; + end else begin + if(d_up0)begin + Dd2 <= Dd1; + Ttx2 <= Ttx1; + end else begin + Dd2 <= Dd2; + Ttx2 <= Ttx2; + end + end +end + +always @(posedge tclk_sig or negedge grstn or negedge lrstn)begin + if (!grstn) begin + Dd3 <= 4'b0; + Ttx3 <= 2'b0; + end else if (!lrstn) begin + Dd3 <= 4'b0; + Ttx3 <= 2'b0; + end else begin + if(d_up1)begin + Dd3 <= Dd2; + Ttx3 <= Ttx2; + end else begin + Dd3[0] <= Dd3[2]; + Dd3[2] <= 1'b0; + Dd3[1] <= Dd3[3]; + Dd3[3] <= 1'b0; + Ttx3[0] <= Ttx3[1]; + Ttx3[1] <= 1'b0; + end + end +end + +always @(negedge tclk_sig or negedge grstn or negedge lrstn) +begin + if (!grstn) begin + Qq_n <= 1'b0; + Q_data_n <= 1'b0; + end else if (!lrstn) begin + Qq_n <= 1'b0; + Q_data_n <= 1'b0; + end else begin + Qq_n <= Dd3[0]; + Q_data_n <= Ttx3[0]; + end +end + +always @(posedge tclk_sig or negedge grstn or negedge lrstn) +begin + if (!grstn) begin + Qq_p <= 1'b0; + end else if(!lrstn) begin + Qq_p <= 1'b0; + end else begin + Qq_p <= Dd3[1]; + end +end + +always @(posedge tclk_sig or negedge grstn or negedge lrstn) +begin + if (!grstn) begin + Q_data_p <= 1'b0; + end else if (!lrstn) begin + Q_data_p <= 1'b0; + end else begin + Q_data_p <= Q_data_n; + end +end + +assign Q0 = tclk_sig ? Qq_n : Qq_p; +assign Q1 = (TXCLK_POL == 1'b0) ? Q_data_p : Q_data_n; + +//synthesis translate_on + +endmodule // OSER4_MEM (4 to 1 serializer with memory) + +//OVIDEO +module OVIDEO (Q, D0, D1, D2, D3, D4, D5, D6, PCLK, FCLK, RESET); + +parameter GSREN = "false"; //"true"; "false" +parameter LSREN = "true"; //"true"; "false" + +input D6, D5, D4, D3, D2, D1, D0; +input PCLK, FCLK, RESET; +output Q; + +//synthesis translate_off +reg [6:0] Dd1; +reg rstn_dsel,dcnt0,dcnt1,dsel; +wire dsel_en,dcnt_reset,d_en0,d_en1; +reg d_up0,d_up1; +reg [7:0] Dd2,Dd3,Dd4; +reg Qq_p,Qq_n; +wire grstn; +wire lrstn; + +initial begin + dcnt0 = 1'b0; + dcnt1 = 1'b0; + dsel = 1'b0; +end + +assign grstn = GSREN == "true" ? GSR.GSRO : 1'b1; +assign lrstn = LSREN == "true" ? (~RESET) : 1'b1; + +always @(posedge PCLK or negedge grstn or negedge lrstn) +begin + if (!grstn) begin + Dd1 <= 0; + end else if (!lrstn) begin + Dd1 <= 0; + end else begin + Dd1 <= {D6,D5,D4,D3,D2,D1,D0}; + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) +begin + if(!grstn) begin + rstn_dsel <= 1'b0; + end + else if (!lrstn) begin + rstn_dsel <= 1'b0; + end + else begin + rstn_dsel <= 1'b1; + end +end + +always @(posedge FCLK or negedge rstn_dsel) +begin + if (!rstn_dsel) begin + dcnt0 <= 1'b0; + dcnt1 <= 1'b0; + end else begin + dcnt0 <= ~(dcnt0 | dcnt_reset); + dcnt1 <= ~((dcnt0 ^~ dcnt1) | dcnt_reset); + end +end + +assign dsel_en = (dsel & dcnt1 & (~dcnt0)) | ((~dsel) & dcnt1 & dcnt0); + +always @(posedge FCLK or negedge rstn_dsel) +begin + if (!rstn_dsel) begin + dsel <= 1'b0; + end else begin + if(dsel_en) begin + dsel <= ~dsel; + end else begin + dsel <= dsel; + end + end +end + +assign dcnt_reset = (~dcnt0) & dcnt1 & dsel; +assign d_en0 = ((~dsel) & (~dcnt1) & dcnt0) | (dsel & (~dcnt1) & (~dcnt0)); + +always @(posedge FCLK or negedge rstn_dsel) +begin + if (!rstn_dsel) begin + d_up0 <= 1'b0; + end else begin + if(d_en0)begin + d_up0 <= 1'b1; + end else begin + d_up0 <= 1'b0; + end + end +end + +assign d_en1 = ((~dsel) & dcnt1 & (~dcnt0)) | (dsel & (~dcnt1) & dcnt0); + +always @(posedge FCLK or negedge rstn_dsel) +begin + if (!rstn_dsel) begin + d_up1 <= 1'b0; + end else begin + if(d_en1)begin + d_up1 <= 1'b1; + end else begin + d_up1 <= 1'b0; + end + end +end + +always @(Dd1 or Dd3 or dsel) +begin + if(dsel) begin + Dd2[0] <= Dd3[6]; + Dd2[1] <= Dd1[0]; + Dd2[2] <= Dd1[1]; + Dd2[3] <= Dd1[2]; + Dd2[4] <= Dd1[3]; + Dd2[5] <= Dd1[4]; + Dd2[6] <= Dd1[5]; + Dd2[7] <= Dd1[6]; + end else begin + Dd2[0] <= Dd1[0]; + Dd2[1] <= Dd1[1]; + Dd2[2] <= Dd1[2]; + Dd2[3] <= Dd1[3]; + Dd2[4] <= Dd1[4]; + Dd2[5] <= Dd1[5]; + Dd2[6] <= Dd1[6]; + Dd2[7] <= 1'b0; + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) +begin + if (!grstn) begin + Dd3 <= 8'b0; + end else if (!lrstn) begin + Dd3 <= 8'b0; + end else begin + if(d_up0)begin + Dd3 <= Dd2; + end else begin + Dd3 <= Dd3; + end + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) +begin + if (!grstn) begin + Dd4 <= 8'b0; + end else if (!lrstn) begin + Dd4 <= 8'b0; + end else begin + if(d_up1)begin + Dd4 <= Dd3; + end else begin + Dd4[0] <= Dd4[2]; + Dd4[1] <= Dd4[3]; + Dd4[2] <= Dd4[4]; + Dd4[3] <= Dd4[5]; + Dd4[4] <= Dd4[6]; + Dd4[5] <= Dd4[7]; + Dd4[6] <= 1'b0; + Dd4[7] <= 1'b0; + end + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) +begin + if (!grstn) begin + Qq_p <= 0; + end else if (!lrstn) begin + Qq_p <= 0; + end else begin + Qq_p <= Dd4[1]; + end +end + +always @(negedge FCLK or negedge grstn or negedge lrstn) +begin + if (!grstn) begin + Qq_n <= 0; + end else if (!lrstn) begin + Qq_n <= 0; + end else begin + Qq_n <= Dd4[0]; + end +end + +assign Q = FCLK ? Qq_n : Qq_p; +//synthesis translate_on + +endmodule // OVIDEO (7 to 1 serializer) + +//OSER8 +module OSER8 (Q0, Q1, D0, D1, D2, D3, D4, D5, D6, D7, TX0, TX1, TX2, TX3, PCLK, FCLK, RESET); + +parameter GSREN = "false"; //"true"; "false" +parameter LSREN = "true"; //"true"; "false" +parameter HWL = "false"; //"true"; "false" +parameter TXCLK_POL = 1'b0; //1'b0:Rising edge output; 1'b1:Falling edge output + +input D0, D1, D2, D3, D4, D5, D6, D7; +input TX0, TX1, TX2, TX3; +input PCLK, FCLK, RESET; +output Q0, Q1; + +//synthesis translate_off +reg [7:0] Dd1,Dd2,Dd3; +reg [3:0] Ttx1,Ttx2,Ttx3; +reg rstn_dsel,dcnt0,dcnt1,d_up0,d_up1; +wire d_en0,d_en1; +reg Qq_p,Qq_n,Q_data_p,Q_data_n; +wire grstn,lrstn; + +initial begin + dcnt0 = 1'b0; + dcnt1 = 1'b0; +end + +assign grstn = GSREN == "true" ? GSR.GSRO : 1'b1; +assign lrstn = LSREN == "true" ? (~RESET) : 1'b1; + +always @(posedge PCLK or negedge grstn or negedge lrstn) +begin + if (!grstn) begin + Dd1 <= 8'b0; + Ttx1 <= 4'b0; + end + else if (!lrstn) begin + Dd1 <= 8'b0; + Ttx1 <= 4'b0; + end + else begin + Dd1 <= {D7,D6,D5,D4,D3,D2,D1,D0}; + Ttx1 <= {TX3,TX2,TX1,TX0}; + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) +begin + if(!grstn) begin + rstn_dsel <= 1'b0; + end + else if (!lrstn) begin + rstn_dsel <= 1'b0; + end + else begin + rstn_dsel <= 1'b1; + end +end + +always @(posedge FCLK or negedge rstn_dsel) +begin + if (!rstn_dsel) begin + dcnt0 <= 1'b0; + dcnt1 <= 1'b0; + end else begin + dcnt0 <= ~dcnt0; + dcnt1 <= dcnt0 ^ dcnt1; + end +end + +assign d_en0 = dcnt0 & (~dcnt1); +assign d_en1 = (HWL == "true") ? (dcnt0 & (~dcnt1)) : ((~dcnt0) & (~dcnt1)); + +always @(posedge FCLK or negedge rstn_dsel) +begin + if (!rstn_dsel) begin + d_up0 <= 1'b0; + end else begin + if(d_en0)begin + d_up0 <= 1'b1; + end else begin + d_up0 <= 1'b0; + end + end +end + +always @(posedge FCLK or negedge rstn_dsel) +begin + if (!rstn_dsel) begin + d_up1 <= 1'b0; + end else begin + if(d_en1)begin + d_up1 <= 1'b1; + end else begin + d_up1 <= 1'b0; + end + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) +begin + if (!grstn) begin + Dd2 <= 8'b0; + Ttx2 <= 4'b0; + end else if (!lrstn) begin + Dd2 <= 8'b0; + Ttx2 <= 4'b0; + end else begin + if(d_up0)begin + Dd2 <= Dd1; + Ttx2 <= Ttx1; + end else begin + Dd2 <= Dd2; + Ttx2 <= Ttx2; + end + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) +begin + if (!grstn) begin + Dd3 <= 8'b0; + Ttx3 <= 4'b0; + end else if (!lrstn) begin + Dd3 <= 8'b0; + Ttx3 <= 4'b0; + end else begin + if(d_up1)begin + Dd3 <= Dd2; + Ttx3 <= Ttx2; + end else begin + Dd3[0] <= Dd3[2]; + Dd3[1] <= Dd3[3]; + Dd3[2] <= Dd3[4]; + Dd3[3] <= Dd3[5]; + Dd3[4] <= Dd3[6]; + Dd3[5] <= Dd3[7]; + Dd3[6] <= 1'b0; + Dd3[7] <= 1'b0; + + Ttx3[0] <= Ttx3[1]; + Ttx3[1] <= Ttx3[2]; + Ttx3[2] <= Ttx3[3]; + Ttx3[3] <= 1'b0; + end + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) +begin + if (!grstn) begin + Qq_p <= 1'b0; + Q_data_p <= 1'b0; + end else if (!lrstn) begin + Qq_p <= 1'b0; + Q_data_p <= 1'b0; + end else begin + Qq_p <= Dd3[1]; + Q_data_p <= Q_data_n; + end +end + +always @(negedge FCLK or negedge grstn or negedge lrstn) +begin + if (!grstn) begin + Qq_n <= 1'b0; + Q_data_n <= 1'b0; + end else if (!lrstn) begin + Qq_n <= 1'b0; + Q_data_n <= 1'b0; + end else begin + Qq_n <= Dd3[0]; + Q_data_n <= Ttx3[0]; + end +end + +assign Q0 = FCLK ? Qq_n : Qq_p; +assign Q1 = (TXCLK_POL == 1'b0) ? Q_data_p : Q_data_n; + +//synthesis translate_on + +endmodule // OSER8 (8 to 1 serializer) + +//OSER8_MEM +module OSER8_MEM (Q0, Q1, D0, D1, D2, D3, D4, D5, D6, D7, TX0, TX1, TX2, TX3, PCLK, FCLK, TCLK, RESET); + +parameter GSREN = "false"; //"true"; "false" +parameter LSREN = "true"; //"true"; "false" +parameter HWL = "false"; //"true"; "false" +parameter TCLK_SOURCE = "DQSW"; //"DQSW","DQSW270" +parameter TXCLK_POL = 1'b0; //1'b0:Rising edge output; 1'b1:Falling edge output + +input D0, D1, D2, D3, D4, D5, D6, D7; +input TX0, TX1, TX2, TX3; +input PCLK, FCLK, TCLK, RESET; +output Q0, Q1; + +//synthesis translate_off +reg [7:0] Dd1,Dd2,Dd3; +reg [3:0] Ttx1,Ttx2,Ttx3; +reg rstn_dsel0,dcnt0,dcnt1,d_up0; +reg rstn_dsel1,hcnt0,hcnt1,d_up1; +wire d_en0,d_en1; +reg Qq_p,Qq_n,Q_data_p,Q_data_n; +wire tclk_sig; +wire grstn,lrstn; + +initial begin + dcnt0 = 1'b0; + dcnt1 = 1'b0; + hcnt0 = 1'b0; + hcnt1 = 1'b0; +end + +assign grstn = GSREN == "true" ? GSR.GSRO : 1'b1; +assign lrstn = LSREN == "true" ? (~RESET) : 1'b1; +assign tclk_sig = (TCLK_SOURCE == "DQSW") ? TCLK : ~TCLK; + +always @(posedge PCLK or negedge grstn or negedge lrstn) +begin + if (!grstn) begin + Dd1 <= 8'b0; + Ttx1 <= 4'b0; + end + else if (!lrstn) begin + Dd1 <= 8'b0; + Ttx1 <= 4'b0; + end + else begin + Dd1 <= {D7,D6,D5,D4,D3,D2,D1,D0}; + Ttx1 <= {TX3,TX2,TX1,TX0}; + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) +begin + if(!grstn) begin + rstn_dsel0 <= 1'b0; + end + else if (!lrstn) begin + rstn_dsel0 <= 1'b0; + end + else begin + rstn_dsel0 <= 1'b1; + end +end + +always @(posedge FCLK or negedge rstn_dsel0) +begin + if (!rstn_dsel0) begin + dcnt0 <= 1'b0; + dcnt1 <= 1'b0; + end else begin + dcnt0 <= ~dcnt0; + dcnt1 <= dcnt0 ^ dcnt1; + end +end + +assign d_en0 = dcnt0 & (~dcnt1); + +always @(posedge FCLK or negedge rstn_dsel0) +begin + if (!rstn_dsel0) begin + d_up0 <= 1'b0; + end else begin + if(d_en0)begin + d_up0 <= 1'b1; + end else begin + d_up0 <= 1'b0; + end + end +end + +always @(posedge tclk_sig or negedge grstn or negedge lrstn) +begin + if(!grstn) begin + rstn_dsel1 <= 1'b0; + end + else if (!lrstn) begin + rstn_dsel1 <= 1'b0; + end + else begin + rstn_dsel1 <= 1'b1; + end +end + +always @(posedge tclk_sig or negedge rstn_dsel1) +begin + if (!rstn_dsel1) begin + hcnt0 <= 1'b0; + hcnt1 <= 1'b0; + end else begin + hcnt0 <= ~hcnt0; + hcnt1 <= hcnt0 ^ hcnt1; + end +end + +assign d_en1 = (HWL == "true") ? (hcnt0 & (~hcnt1)) : ((~hcnt0) & (~hcnt1)); + +always @(posedge tclk_sig or negedge rstn_dsel1) +begin + if (!rstn_dsel1) begin + d_up1 <= 1'b0; + end else begin + if(d_en1)begin + d_up1 <= 1'b1; + end else begin + d_up1 <= 1'b0; + end + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) +begin + if (!grstn) begin + Dd2 <= 8'b0; + Ttx2 <= 4'b0; + end else if (!lrstn) begin + Dd2 <= 8'b0; + Ttx2 <= 4'b0; + end else begin + if(d_up0)begin + Dd2 <= Dd1; + Ttx2 <= Ttx1; + end else begin + Dd2 <= Dd2; + Ttx2 <= Ttx2; + end + end +end + +always @(posedge tclk_sig or negedge grstn or negedge lrstn) +begin + if (!grstn) begin + Dd3 <= 8'b0; + Ttx3 <= 4'b0; + end else if (!lrstn) begin + Dd3 <= 8'b0; + Ttx3 <= 4'b0; + end else begin + if(d_up1)begin + Dd3 <= Dd2; + Ttx3 <= Ttx2; + end else begin + Dd3[0] <= Dd3[2]; + Dd3[1] <= Dd3[3]; + Dd3[2] <= Dd3[4]; + Dd3[3] <= Dd3[5]; + Dd3[4] <= Dd3[6]; + Dd3[5] <= Dd3[7]; + Dd3[6] <= 1'b0; + Dd3[7] <= 1'b0; + + Ttx3[0] <= Ttx3[1]; + Ttx3[1] <= Ttx3[2]; + Ttx3[2] <= Ttx3[3]; + Ttx3[3] <= 1'b0; + end + end +end + +always @(posedge tclk_sig or negedge grstn or negedge lrstn) +begin + if (!grstn) begin + Qq_p <= 1'b0; + Q_data_p <= 1'b0; + end else if (!lrstn) begin + Qq_p <= 1'b0; + Q_data_p <= 1'b0; + end else begin + Qq_p <= Dd3[1]; + Q_data_p <= Q_data_n; + end +end + +always @(negedge tclk_sig or negedge grstn or negedge lrstn) +begin + if (!grstn) begin + Qq_n <= 1'b0; + Q_data_n <= 1'b0; + end else if (!lrstn) begin + Qq_n <= 1'b0; + Q_data_n <= 1'b0; + end else begin + Qq_n <= Dd3[0]; + Q_data_n <= Ttx3[0]; + end +end + +assign Q0 = tclk_sig ? Qq_n : Qq_p; +assign Q1 = (TXCLK_POL == 1'b0) ? Q_data_p : Q_data_n; + +//synthesis translate_on + +endmodule // OSER8_MEM (8 to 1 serializer with memory) + +//OSER10 +module OSER10 (Q, D0, D1, D2, D3, D4, D5, D6, D7, D8, D9, PCLK, FCLK, RESET); + +parameter GSREN = "false"; //"true"; "false" +parameter LSREN = "true"; //"true"; "false" + +input D0, D1, D2, D3, D4, D5, D6, D7, D8, D9; +input PCLK, FCLK, RESET; +output Q; + +//synthesis translate_off +reg [9:0] Dd1,Dd2,Dd3; +reg rstn_dsel,dcnt0,dcnt1,dcnt2,d_up0,d_up1; +wire d_en,dcnt_reset; +reg Qq_p,Qq_n; +wire grstn,lrstn; + +initial begin + dcnt0 = 1'b0; + dcnt1 = 1'b0; + dcnt2 = 1'b0; +end + +assign grstn = GSREN == "true" ? GSR.GSRO : 1'b1; +assign lrstn = LSREN == "true" ? (~RESET) : 1'b1; + +always @(posedge PCLK or negedge grstn or negedge lrstn) +begin + if (!grstn) begin + Dd1 <= 0; + end else if (!lrstn) begin + Dd1 <= 0; + end else begin + Dd1 <= {D9,D8,D7,D6,D5,D4,D3,D2,D1,D0}; + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) +begin + if(!grstn) begin + rstn_dsel <= 1'b0; + end + else if (!lrstn) begin + rstn_dsel <= 1'b0; + end + else begin + rstn_dsel <= 1'b1; + end +end + +always @(posedge FCLK or negedge rstn_dsel) +begin + if (!rstn_dsel) begin + dcnt0 <= 1'b0; + dcnt1 <= 1'b0; + dcnt2 <= 1'b0; + end else begin + dcnt0 <= ~(dcnt0 | dcnt_reset); + dcnt1 <= (dcnt0 ^ dcnt1) & (~dcnt_reset); + dcnt2 <= (dcnt2 ^ (dcnt0 & dcnt1)) & (~dcnt_reset); + end +end + +assign dcnt_reset = (~dcnt0) & (~dcnt1) & dcnt2; +assign d_en = (~dcnt0) & dcnt1; + +always @(posedge FCLK or negedge rstn_dsel) +begin + if (!rstn_dsel) begin + d_up0 <= 1'b0; + d_up1 <= 1'b0; + end else begin + if(d_en)begin + d_up0 <= 1'b1; + d_up1 <= 1'b1; + end else begin + d_up0 <= 1'b0; + d_up1 <= 1'b0; + end + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) +begin + if (!grstn) begin + Dd2 <= 10'b0; + end else if (!lrstn) begin + Dd2 <= 10'b0; + end else begin + if(d_up0)begin + Dd2 <= Dd1; + end else begin + Dd2 <= Dd2; + end + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) +begin + if (!grstn) begin + Dd3 <= 10'b0; + end else if (!lrstn) begin + Dd3 <= 10'b0; + end else begin + if(d_up1)begin + Dd3 <= Dd2; + end else begin + Dd3[0] <= Dd3[2]; + Dd3[1] <= Dd3[3]; + Dd3[2] <= Dd3[4]; + Dd3[3] <= Dd3[5]; + Dd3[4] <= Dd3[6]; + Dd3[5] <= Dd3[7]; + Dd3[6] <= Dd3[8]; + Dd3[7] <= Dd3[9]; + Dd3[8] <= 1'b0; + Dd3[9] <= 1'b0; + end + end +end + +always @(posedge FCLK or negedge grstn or negedge lrstn) +begin + if (!grstn) begin + Qq_p <= 1'b0; + end else if (!lrstn) begin + Qq_p <= 1'b0; + end else begin + Qq_p <= Dd3[1]; + end +end + +always @(negedge FCLK or negedge grstn or negedge lrstn) +begin + if (!grstn) begin + Qq_n <= 1'b0; + end else if (!lrstn) begin + Qq_n <= 1'b0; + end else begin + Qq_n <= Dd3[0]; + end +end + +assign Q = FCLK ? Qq_n : Qq_p; + +//synthesis translate_on +endmodule // OSER10 (10 to 1 serializer) + +//Input IO logic +module IODELAY (DO, DF, DI, SDTAP, VALUE, SETN); + +parameter C_STATIC_DLY = 0; //integer, 0~127 + +input DI; +input SDTAP; +input SETN; +input VALUE; +output DF; +output DO; + +reg [6:0] delay_data; +realtime delay_time; +wire [127:0] delay_in; +reg pre_value; + +always @(SDTAP or VALUE) begin + if (!SDTAP) begin + delay_data <= C_STATIC_DLY; + end else begin + if(pre_value == 1'b1 && VALUE == 1'b0) begin + if (SDTAP) begin + if (SETN && (delay_data != 7'd0)) + delay_data <= delay_data - 1; + else if ((!SETN) && (delay_data != 7'd127)) + delay_data <= delay_data + 1; + end + end + end +end + +always @(VALUE) begin + pre_value <= VALUE; +end + +assign DF = (SETN && (delay_data == 7'd0)) || ((!SETN) && (delay_data == 7'd127)); + +assign #0.025 delay_in[0] = DI; +generate + genvar i; + for(i=1;i<128;i=i+1) begin: gen_delay + assign #0.025 delay_in[i] = delay_in[i-1]; + end +endgenerate + +assign DO = (delay_data == 0) ? DI : delay_in[delay_data-1]; + +endmodule // IODELAY (input delay in IOB) + + +module IEM (LAG, LEAD, D, CLK, MCLK, RESET); + +parameter WINSIZE = "SMALL"; //"SMALL"; "MIDSMALL"; "MIDLARGE"; "LARGE" +parameter GSREN = "false"; //"true"; "false" +parameter LSREN = "true"; //"true"; "false" + +input D, CLK, RESET, MCLK; +output LAG, LEAD; + +//synthesis translate_off +reg Dd1; +reg Dd2; +reg Dd3; +reg Dd4; +reg Dd5; +reg Dd6; +reg Dd7; +reg Dd8; +reg Dd_lead; +reg Dd_lag; +reg Dd_lead0; +reg Dd_mid0; +reg Dd_lag0; +reg Dd_lead1; +reg Dd_mid1; +reg Dd_lag1; +reg LEAD_reg; +reg LAG_reg; +wire grstn; +wire lrstn; + +assign grstn = GSREN == "true" ? GSR.GSRO : 1'b1; +assign lrstn = LSREN == "true" ? (~RESET) : 1'b1; + +always @(D) begin + Dd1 = #0.05 D; + Dd2 = #0.05 Dd1; + Dd3 = #0.05 Dd2; + Dd4 = #0.05 Dd3; + Dd5 = #0.05 Dd4; + Dd6 = #0.05 Dd5; + Dd7 = #0.05 Dd6; + Dd8 = #0.05 Dd7; +end + +always @(*) begin + case (WINSIZE) + "SMALL" : begin Dd_lead = Dd3; Dd_lag = Dd5; end + "MIDSMALL": begin Dd_lead = Dd2; Dd_lag = Dd6; end + "MIDLARGE": begin Dd_lead = Dd1; Dd_lag = Dd7; end + "LARGE" : begin Dd_lead = D; Dd_lag = Dd8; end + default: $display ("Warning! Invalid IEM window size setting"); + endcase +end + +assign Dd_mid = Dd3; + +always @(posedge CLK or grstn or lrstn) + if (!grstn) begin + Dd_lead0 <= 0; + Dd_mid0 <= 0; + Dd_lag0 <= 0; + end + else if (!lrstn) begin + Dd_lead0 <= 0; + Dd_mid0 <= 0; + Dd_lag0 <= 0; + end + else begin + Dd_lead0 <= Dd_lead; + Dd_mid0 <= Dd_mid; + Dd_lag0 <= Dd_lag; + end + +always @(negedge CLK or grstn or lrstn) + if (!grstn) begin + Dd_lead1 <= 0; + Dd_mid1 <= 0; + Dd_lag1 <= 0; + end + else if (!lrstn) begin + Dd_lead1 <= 0; + Dd_mid1 <= 0; + Dd_lag1 <= 0; + end + else begin + Dd_lead1 <= Dd_lead; + Dd_mid1 <= Dd_mid; + Dd_lag1 <= Dd_lag; + end + +assign lead0 = Dd_lead0 ^ Dd_mid0; +assign lead1 = Dd_lead1 ^ Dd_mid1; +assign lag0 = Dd_mid0 ^ Dd_lag0; +assign lag = Dd_mid1 ^ Dd_lag1; + +assign lead_sel = lead0 | lead1; +assign lag_sel = lag0 | lag; + +always @(lead_sel or MCLK) + if (lead_sel) + LEAD_reg <= 1'b1; + else if (MCLK) + LEAD_reg <= 1'b0; + +always @(lag_sel or MCLK) + if (lag_sel) + LAG_reg <= 1'b1; + else if (MCLK) + LAG_reg <= 1'b0; + +assign LEAD = LEAD_reg; +assign LAG = LAG_reg; +//synthesis translate_on + +endmodule // IEM + +// RAM16S1 +module RAM16S1 (DO, DI, AD, WRE, CLK); + +input CLK; +input WRE; + +input [3:0] AD; +input DI; +output DO; + +parameter INIT_0 = 16'h0000; + +reg [15:0] mem = INIT_0; + +assign DO = mem [AD]; + +always @(posedge CLK) begin + if (WRE) begin + mem [AD] <= DI; + end +end + +endmodule // RAM16S1: single-port S-SRAM(16X1) + +//RAM16S2 +module RAM16S2 (DO, DI, AD, WRE, CLK); + +input CLK; +input WRE; + +input [3:0] AD; +input [1:0] DI; +output [1:0] DO; + +parameter INIT_0 = 16'h0000; +parameter INIT_1 = 16'h0000; + +reg [15:0] mem0; +reg [15:0] mem1; + +initial begin + mem0 = INIT_0; + mem1 = INIT_1; +end + +assign DO[0] = mem0[AD]; +assign DO[1] = mem1[AD]; + +always @(posedge CLK) begin + if (WRE) begin + mem0[AD] <= DI[0]; + mem1[AD] <= DI[1]; + end +end + +endmodule // RAM16S2: single-port S-SRAM(16X2) + +//RAM16S4 +module RAM16S4 (DO, DI, AD, WRE, CLK); + +input CLK; +input WRE; + +input [3:0] AD; +input [3:0] DI; +output [3:0] DO; + +parameter INIT_0 = 16'h0000; +parameter INIT_1 = 16'h0000; +parameter INIT_2 = 16'h0000; +parameter INIT_3 = 16'h0000; + +reg [15:0] mem0; +reg [15:0] mem1; +reg [15:0] mem2; +reg [15:0] mem3; + +initial begin + mem0 = INIT_0; + mem1 = INIT_1; + mem2 = INIT_2; + mem3 = INIT_3; +end + +assign DO[0] = mem0[AD]; +assign DO[1] = mem1[AD]; +assign DO[2] = mem2[AD]; +assign DO[3] = mem3[AD]; + +always @(posedge CLK) begin + if (WRE) begin + mem0[AD] <= DI[0]; + mem1[AD] <= DI[1]; + mem2[AD] <= DI[2]; + mem3[AD] <= DI[3]; + end +end + +endmodule // RAM16S4: single-port S-SRAM(16X4) + + +module RAM16SDP1 (DO, DI, WAD, RAD, WRE, CLK); + +input CLK; +input WRE; +input [3:0] WAD; +input DI; +input [3:0] RAD; +output DO; + +parameter INIT_0 = 16'h0000; + +reg [15:0] mem; + +initial mem = INIT_0; + +assign DO = mem[RAD]; + +always @(posedge CLK) begin + if (WRE) + mem[WAD] <= DI; +end + +endmodule // RAM16SDP1: Semi dual-port S-SRAM(16X1) + + +module RAM16SDP2 (DO, DI, WAD, RAD, WRE, CLK); + +input CLK; +input WRE; +input [3:0] WAD; +input [1:0] DI; +input [3:0] RAD; +output [1:0] DO; + +parameter INIT_0 = 16'h0000; +parameter INIT_1 = 16'h0000; + +reg [15:0] mem0; +reg [15:0] mem1; + +initial begin + mem0 = INIT_0; + mem1 = INIT_1; +end + +assign DO[0] = mem0[RAD]; +assign DO[1] = mem1[RAD]; + +always @(posedge CLK) begin + if (WRE) begin + mem0[WAD] <= DI[0]; + mem1[WAD] <= DI[1]; + end +end + +endmodule // RAM16SDP2: Semi dual-port S-SRAM(16X2) + + +module RAM16SDP4 (DO, DI, WAD, RAD, WRE, CLK); + +input CLK; +input WRE; + +input [3:0] WAD; +input [3:0] DI; +input [3:0] RAD; +output [3:0] DO; + +parameter INIT_0 = 16'h0000; +parameter INIT_1 = 16'h0000; +parameter INIT_2 = 16'h0000; +parameter INIT_3 = 16'h0000; + +reg [15:0] mem0; +reg [15:0] mem1; +reg [15:0] mem2; +reg [15:0] mem3; + +initial begin + mem0 = INIT_0; + mem1 = INIT_1; + mem2 = INIT_2; + mem3 = INIT_3; +end + +assign DO[0] = mem0[RAD]; +assign DO[1] = mem1[RAD]; +assign DO[2] = mem2[RAD]; +assign DO[3] = mem3[RAD]; + +always @(posedge CLK) begin + if (WRE) begin + mem0[WAD] <= DI[0]; + mem1[WAD] <= DI[1]; + mem2[WAD] <= DI[2]; + mem3[WAD] <= DI[3]; + end +end + +endmodule // RAM16SDP4: Semi dual-port S-SRAM(16X4) + + +module ROM16 (DO, AD); + +parameter INIT_0 = 16'h0000; + +input [3:0] AD; +output DO; + +reg DO; +reg [15:0] mem; + +initial mem = INIT_0; + +always @(AD) begin + DO <= mem [AD]; +end + +endmodule // ROM16: signal-port shadow ROM(16 bit) + + +//Block SRAM +module SP (DO, DI, BLKSEL, AD, WRE, CLK, CE, OCE, RESET); + +parameter READ_MODE = 1'b0; // 1'b0: bypass mode; 1'b1: pipeline mode +parameter WRITE_MODE = 2'b00; // 2'b00: normal mode; 2'b01: write-through mode; 2'b10: read-before-write mode +parameter BIT_WIDTH = 32; // 1, 2, 4, 8, 16, 32 +parameter BLK_SEL = 3'b000; +parameter RESET_MODE = "SYNC"; // SYNC, ASYNC +parameter INIT_RAM_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2F = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_30 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_31 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_32 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_33 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_34 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_35 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_36 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_37 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_38 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_39 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000; +input CLK, CE; +input OCE; // clock enable of memory output register +input RESET; // resets output registers, not memory contents +input WRE; // 1'b0: read enabled; 1'b1: write enabled +input [13:0] AD; +input [31:0] DI; +input [2:0] BLKSEL; +output [31:0] DO; + +reg [31:0] pl_reg,pl_reg_async,pl_reg_sync; +reg [31:0] bp_reg,bp_reg_async,bp_reg_sync; +reg bs_en; +wire pce; +reg [16383:0] ram_MEM = {INIT_RAM_3F, INIT_RAM_3E, INIT_RAM_3D, INIT_RAM_3C,INIT_RAM_3B, INIT_RAM_3A, INIT_RAM_39, INIT_RAM_38,INIT_RAM_37, INIT_RAM_36, INIT_RAM_35, INIT_RAM_34,INIT_RAM_33, INIT_RAM_32, INIT_RAM_31, INIT_RAM_30,INIT_RAM_2F, INIT_RAM_2E, INIT_RAM_2D, INIT_RAM_2C,INIT_RAM_2B, INIT_RAM_2A, INIT_RAM_29, INIT_RAM_28,INIT_RAM_27, INIT_RAM_26, INIT_RAM_25, INIT_RAM_24,INIT_RAM_23, INIT_RAM_22, INIT_RAM_21, INIT_RAM_20,INIT_RAM_1F, INIT_RAM_1E, INIT_RAM_1D, INIT_RAM_1C,INIT_RAM_1B, INIT_RAM_1A, INIT_RAM_19, INIT_RAM_18,INIT_RAM_17, INIT_RAM_16, INIT_RAM_15, INIT_RAM_14,INIT_RAM_13, INIT_RAM_12, INIT_RAM_11, INIT_RAM_10,INIT_RAM_0F, INIT_RAM_0E, INIT_RAM_0D, INIT_RAM_0C, INIT_RAM_0B, INIT_RAM_0A, INIT_RAM_09, INIT_RAM_08,INIT_RAM_07, INIT_RAM_06, INIT_RAM_05, INIT_RAM_04,INIT_RAM_03, INIT_RAM_02, INIT_RAM_01, INIT_RAM_00}; +reg [BIT_WIDTH-1:0] mem_t; +reg mc; +reg [13:0] addr; +integer dwidth = BIT_WIDTH; +integer awidth; // ADDR_WIDTH + +initial begin + bp_reg = 0; + pl_reg = 0; + bp_reg_async = 0; + bp_reg_sync = 0; + pl_reg_async = 0; + pl_reg_sync = 0; + mc = 1'b0; +end + +initial begin + case(dwidth) + 1: awidth = 14; + 2: awidth = 13; + 4: awidth = 12; + 8: awidth = 11; + 16: awidth = 10; + 32: awidth = 9; + default: begin + // $display ("%d: Unsupported data width\n", dwidth); + // $finish; + end + endcase +end + +assign DO = (READ_MODE == 1'b0)? bp_reg : pl_reg; + +assign pce = CE && bs_en; +always @ (BLKSEL) +begin + if(BLKSEL == BLK_SEL) begin + bs_en = 1; + end else begin + bs_en = 0; + end +end + +always@(awidth,AD,WRE,mc)begin + if(awidth==14)begin + addr[13:0] = AD[13:0]; + mem_t[0] =ram_MEM[addr]; + end + else if(awidth==13)begin + addr[13:0] = {AD[13:1],1'b0}; + mem_t[1:0] ={ram_MEM[addr+1],ram_MEM[addr]}; + end + else if(awidth==12)begin + addr[13:0] = {AD[13:2],2'b00}; + mem_t[3:0] ={ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]}; + end + else if(awidth==11)begin + addr[13:0] = {AD[13:3],3'b000}; + mem_t[7:0] ={ram_MEM[addr+7],ram_MEM[addr+6],ram_MEM[addr+5],ram_MEM[addr+4],ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]}; + end + else if(awidth==10)begin + addr[13:0] = {AD[13:4],4'b0000}; + mem_t[15:0] ={ram_MEM[addr+15],ram_MEM[addr+14],ram_MEM[addr+13],ram_MEM[addr+12],ram_MEM[addr+11],ram_MEM[addr+10],ram_MEM[addr+9],ram_MEM[addr+8],ram_MEM[addr+7],ram_MEM[addr+6],ram_MEM[addr+5],ram_MEM[addr+4],ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]}; + end + else if(awidth==9)begin + addr[13:0] = {AD[13:5],5'b00000}; + mem_t[31:0]={ram_MEM[addr+31],ram_MEM[addr+30],ram_MEM[addr+29],ram_MEM[addr+28],ram_MEM[addr+27],ram_MEM[addr+26],ram_MEM[addr+25],ram_MEM[addr+24],ram_MEM[addr+23],ram_MEM[addr+22],ram_MEM[addr+21],ram_MEM[addr+20],ram_MEM[addr+19],ram_MEM[addr+18],ram_MEM[addr+17],ram_MEM[addr+16],ram_MEM[addr+15],ram_MEM[addr+14],ram_MEM[addr+13],ram_MEM[addr+12],ram_MEM[addr+11],ram_MEM[addr+10],ram_MEM[addr+9],ram_MEM[addr+8],ram_MEM[addr+7],ram_MEM[addr+6],ram_MEM[addr+5],ram_MEM[addr+4],ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]}; + end +end + +//write and read +always @(posedge CLK) begin + if (pce) begin + if(WRE) begin + if(dwidth==1) + ram_MEM[addr] <= DI[0]; + else if(dwidth==2) + {ram_MEM[addr+1],ram_MEM[addr]}<=DI[BIT_WIDTH-1:0]; + else if(dwidth==4) + {ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]}<=DI[BIT_WIDTH-1:0]; + else if(dwidth==8) + {ram_MEM[addr+7],ram_MEM[addr+6],ram_MEM[addr+5],ram_MEM[addr+4],ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]}<=DI[7:0]; + + else if(dwidth==16) begin + if(AD[0] == 1'b1) + {ram_MEM[addr+7],ram_MEM[addr+6],ram_MEM[addr+5],ram_MEM[addr+4],ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]} <= DI[7:0]; + if(AD[1] == 1'b1) + {ram_MEM[addr+15],ram_MEM[addr+14],ram_MEM[addr+13],ram_MEM[addr+12],ram_MEM[addr+11],ram_MEM[addr+10],ram_MEM[addr+9],ram_MEM[addr+8]} <= DI[15:8]; + end + else if(dwidth==32) begin + if(AD[0] == 1'b1) + {ram_MEM[addr+7],ram_MEM[addr+6],ram_MEM[addr+5],ram_MEM[addr+4],ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]}<=DI[7:0]; + if(AD[1] == 1'b1) + {ram_MEM[addr+15],ram_MEM[addr+14],ram_MEM[addr+13],ram_MEM[addr+12],ram_MEM[addr+11],ram_MEM[addr+10],ram_MEM[addr+9],ram_MEM[addr+8]}<=DI[15:8]; + if(AD[2] == 1'b1) + {ram_MEM[addr+23],ram_MEM[addr+22],ram_MEM[addr+21],ram_MEM[addr+20],ram_MEM[addr+19],ram_MEM[addr+18],ram_MEM[addr+17],ram_MEM[addr+16]} <= DI[23:16]; + if(AD[3] == 1'b1) + {ram_MEM[addr+31],ram_MEM[addr+30],ram_MEM[addr+29],ram_MEM[addr+28],ram_MEM[addr+27],ram_MEM[addr+26],ram_MEM[addr+25],ram_MEM[addr+24]} <= DI[31:24]; + end + mc <= ~mc; + end + end +end + +always @ (bp_reg_async or bp_reg_sync or pl_reg_async or pl_reg_sync) begin + if(RESET_MODE == "ASYNC") begin + bp_reg <= bp_reg_async; + pl_reg <= pl_reg_async; + end + else begin + bp_reg <= bp_reg_sync; + pl_reg <= pl_reg_sync; + end +end + +always @(posedge CLK or posedge RESET) begin + if (RESET) begin + bp_reg_async <= 0; + end else begin + if (pce) begin + if(WRE) begin + if (WRITE_MODE == 2'b01) begin + bp_reg_async[BIT_WIDTH-1:0] <= mem_t[BIT_WIDTH-1:0]; + if(dwidth <= 8) begin + bp_reg_async[BIT_WIDTH-1:0] <= DI[BIT_WIDTH-1:0]; + end else if(dwidth==16) begin + if(AD[0] == 1'b1) + bp_reg_async[7:0] <= DI[7:0]; + if(AD[1] == 1'b1) + bp_reg_async[15:8] <= DI[15:8]; + end else if(dwidth==32) begin + if(AD[0] == 1'b1) + bp_reg_async[7:0] <= DI[7:0]; + if(AD[1] == 1'b1) + bp_reg_async[15:8] <= DI[15:8]; + if(AD[2] == 1'b1) + bp_reg_async[23:16] <= DI[23:16]; + if(AD[3] == 1'b1) + bp_reg_async[31:24] <= DI[31:24]; + end + end + + if (WRITE_MODE == 2'b10) begin + bp_reg_async[BIT_WIDTH-1:0] <= mem_t[BIT_WIDTH-1:0]; + end + + end else begin // WRE==0, read + bp_reg_async[BIT_WIDTH-1:0] <= mem_t[BIT_WIDTH-1:0]; + end + end + end +end + +always @(posedge CLK) begin + if (RESET) begin + bp_reg_sync <= 0; + end else begin + if (pce) begin + if(WRE) begin + if (WRITE_MODE == 2'b01) begin + bp_reg_sync[BIT_WIDTH-1:0] <= mem_t[BIT_WIDTH-1:0]; + if(dwidth <= 8) begin + bp_reg_sync[BIT_WIDTH-1:0] <= DI[BIT_WIDTH-1:0]; + end else if(dwidth==16) begin + if(AD[0] == 1'b1) + bp_reg_sync[7:0] <= DI[7:0]; + if(AD[1] == 1'b1) + bp_reg_sync[15:8] <= DI[15:8]; + end else if(dwidth==32) begin + if(AD[0] == 1'b1) + bp_reg_sync[7:0] <= DI[7:0]; + if(AD[1] == 1'b1) + bp_reg_sync[15:8] <= DI[15:8]; + if(AD[2] == 1'b1) + bp_reg_sync[23:16] <= DI[23:16]; + if(AD[3] == 1'b1) + bp_reg_sync[31:24] <= DI[31:24]; + end + end + + if (WRITE_MODE == 2'b10) begin + bp_reg_sync[BIT_WIDTH-1:0] <= mem_t[BIT_WIDTH-1:0]; + end + + end else begin // WRE==0, read + bp_reg_sync[BIT_WIDTH-1:0] <= mem_t[BIT_WIDTH-1:0]; + end + end + end +end + +always @(posedge CLK or posedge RESET) begin + if (RESET) begin + pl_reg_async <= 0; + end else begin + if(OCE) begin + pl_reg_async <= bp_reg; + end + end +end + +always @(posedge CLK) begin + if (RESET) begin + pl_reg_sync <= 0; + end else begin + if(OCE) begin + pl_reg_sync <= bp_reg; + end + end +end + +endmodule // SP: single port 16k Block SRAM + +module SPX9 (DO, DI, BLKSEL, AD, WRE, CLK, CE, OCE, RESET); + +parameter READ_MODE = 1'b0; // 1'b0: bypass mode; 1'b1: pipeline mode +parameter WRITE_MODE = 2'b00; // 2'b00: normal mode; 2'b01: write-through mode; 2'b10: read-before-write mode +parameter BIT_WIDTH = 36; // 9, 18, 36 +parameter BLK_SEL = 3'b000; +parameter RESET_MODE = "SYNC"; // SYNC, ASYNC +parameter INIT_RAM_00 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_01 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_02 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_03 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_04 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_05 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_06 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_07 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_08 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_09 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_10 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_11 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_12 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_13 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_14 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_15 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_16 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_17 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_18 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_19 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_20 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_21 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_22 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_23 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_24 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_25 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_26 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_27 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_28 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_29 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_30 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_31 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_32 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_33 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_34 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_35 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_36 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_37 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_38 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_39 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; + +input CLK, CE; +input OCE; // clock enable of memory output register +input RESET; // resets output registers, not memory contents +input WRE; // 1'b0: read enabled; 1'b1: write enabled +input [2:0] BLKSEL; +input [13:0] AD; +input [35:0] DI; +output [35:0] DO; + +reg [35:0] pl_reg,pl_reg_async,pl_reg_sync; +reg [35:0] bp_reg,bp_reg_async,bp_reg_sync; +reg [18431:0] ram_MEM = {INIT_RAM_3F, INIT_RAM_3E, INIT_RAM_3D, INIT_RAM_3C,INIT_RAM_3B, INIT_RAM_3A, INIT_RAM_39, INIT_RAM_38,INIT_RAM_37, INIT_RAM_36, INIT_RAM_35, INIT_RAM_34,INIT_RAM_33, INIT_RAM_32, INIT_RAM_31, INIT_RAM_30,INIT_RAM_2F, INIT_RAM_2E, INIT_RAM_2D, INIT_RAM_2C,INIT_RAM_2B, INIT_RAM_2A, INIT_RAM_29, INIT_RAM_28,INIT_RAM_27, INIT_RAM_26, INIT_RAM_25, INIT_RAM_24,INIT_RAM_23, INIT_RAM_22, INIT_RAM_21, INIT_RAM_20,INIT_RAM_1F, INIT_RAM_1E, INIT_RAM_1D, INIT_RAM_1C,INIT_RAM_1B, INIT_RAM_1A, INIT_RAM_19, INIT_RAM_18,INIT_RAM_17, INIT_RAM_16, INIT_RAM_15, INIT_RAM_14,INIT_RAM_13, INIT_RAM_12, INIT_RAM_11, INIT_RAM_10,INIT_RAM_0F, INIT_RAM_0E, INIT_RAM_0D, INIT_RAM_0C, INIT_RAM_0B, INIT_RAM_0A, INIT_RAM_09, INIT_RAM_08,INIT_RAM_07, INIT_RAM_06, INIT_RAM_05, INIT_RAM_04,INIT_RAM_03, INIT_RAM_02, INIT_RAM_01, INIT_RAM_00} ; +reg [BIT_WIDTH-1:0] mem_t; +reg [14:0] addr; +reg mc,bs_en; +wire pce; + +integer dwidth = BIT_WIDTH; +integer awidth; // ADDR_WIDTH + +initial begin + bp_reg = 0; + pl_reg = 0; + bp_reg_async = 0; + bp_reg_sync = 0; + pl_reg_async = 0; + pl_reg_sync = 0; + mc = 1'b0; +end + +initial begin + case(dwidth) + 9: awidth = 11; + 18: awidth = 10; + 36: awidth = 9; + default: begin + // $display ("%d: Unsupported data width\n", dwidth); + // $finish; + end + endcase +end + +assign DO = (READ_MODE == 1'b0)? bp_reg : pl_reg; + +assign pce = CE && bs_en; +always @ (BLKSEL) +begin + if(BLKSEL == BLK_SEL) begin + bs_en = 1; + end else begin + bs_en = 0; + end +end + +always@(AD,awidth,WRE,mc)begin + if(awidth==11)begin + addr[14:0] = AD[13:3]*dwidth; + mem_t[8:0] = {ram_MEM[addr+8],ram_MEM[addr+7],ram_MEM[addr+6],ram_MEM[addr+5],ram_MEM[addr+4],ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]}; + end + else if(awidth==10)begin + addr[14:0] = AD[13:4]*dwidth; + mem_t[17:0] = {ram_MEM[addr+17],ram_MEM[addr+16],ram_MEM[addr+15],ram_MEM[addr+14],ram_MEM[addr+13],ram_MEM[addr+12],ram_MEM[addr+11],ram_MEM[addr+10],ram_MEM[addr+9],ram_MEM[addr+8],ram_MEM[addr+7],ram_MEM[addr+6],ram_MEM[addr+5],ram_MEM[addr+4],ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]}; + end + else if(awidth==9)begin + addr[14:0] = AD[13:5]*dwidth; + mem_t[35:0]={ram_MEM[addr+35],ram_MEM[addr+34],ram_MEM[addr+33],ram_MEM[addr+32],ram_MEM[addr+31],ram_MEM[addr+30],ram_MEM[addr+29],ram_MEM[addr+28],ram_MEM[addr+27],ram_MEM[addr+26],ram_MEM[addr+25],ram_MEM[addr+24],ram_MEM[addr+23],ram_MEM[addr+22],ram_MEM[addr+21],ram_MEM[addr+20],ram_MEM[addr+19],ram_MEM[addr+18],ram_MEM[addr+17],ram_MEM[addr+16],ram_MEM[addr+15],ram_MEM[addr+14],ram_MEM[addr+13],ram_MEM[addr+12],ram_MEM[addr+11],ram_MEM[addr+10],ram_MEM[addr+9],ram_MEM[addr+8],ram_MEM[addr+7],ram_MEM[addr+6],ram_MEM[addr+5],ram_MEM[addr+4],ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]}; + + end +end + +// write and read +always @(posedge CLK) begin + if (pce) begin + if (WRE) begin + if (dwidth == 9) + {ram_MEM [addr+8],ram_MEM [addr+7], ram_MEM [addr+6], ram_MEM [addr+5], ram_MEM [addr+4], ram_MEM [addr+3], ram_MEM [addr+2], ram_MEM [addr+1], ram_MEM [addr]} <= DI[8:0]; + else if(dwidth == 18) begin + if(AD[0] == 1'b1) + {ram_MEM [addr+8],ram_MEM [addr+7], ram_MEM [addr+6], ram_MEM [addr+5], ram_MEM [addr+4], ram_MEM [addr+3], ram_MEM [addr+2], ram_MEM [addr+1], ram_MEM [addr]} <= DI[8:0]; + if(AD[1] == 1'b1) + {ram_MEM [addr+17],ram_MEM [addr+16], ram_MEM [addr+15], ram_MEM [addr+14], ram_MEM [addr+13], ram_MEM [addr+12], ram_MEM [addr+11], ram_MEM [addr+10], ram_MEM [addr+9]} <= DI[17:9]; + end + else if(dwidth == 36) begin + if(AD[0] == 1'b1) + {ram_MEM [addr+8],ram_MEM [addr+7], ram_MEM [addr+6], ram_MEM [addr+5], ram_MEM [addr+4], ram_MEM [addr+3], ram_MEM [addr+2], ram_MEM [addr+1], ram_MEM [addr]} <= DI[8:0]; + if(AD[1] == 1'b1) + {ram_MEM [addr+17],ram_MEM [addr+16], ram_MEM [addr+15], ram_MEM [addr+14], ram_MEM [addr+13], ram_MEM [addr+12], ram_MEM [addr+11], ram_MEM [addr+10], ram_MEM [addr+9]} <= DI[17:9]; + if(AD[2] == 1'b1) + {ram_MEM [addr+26],ram_MEM [addr+25], ram_MEM [addr+24], ram_MEM [addr+23], ram_MEM [addr+22], ram_MEM [addr+21], ram_MEM [addr+20], ram_MEM [addr+19], ram_MEM [addr+18]} <= DI[26:18]; + if(AD[3] == 1'b1) + {ram_MEM [addr+35],ram_MEM [addr+34], ram_MEM [addr+33], ram_MEM [addr+32], ram_MEM [addr+31], ram_MEM [addr+30], ram_MEM [addr+29], ram_MEM [addr+28], ram_MEM [addr+27]} <= DI[35:27]; + end + mc <= ~mc; + end + end +end + +always @ (bp_reg_async or bp_reg_sync or pl_reg_async or pl_reg_sync) begin + if(RESET_MODE == "ASYNC") begin + bp_reg <= bp_reg_async; + pl_reg <= pl_reg_async; + end + else begin + bp_reg <= bp_reg_sync; + pl_reg <= pl_reg_sync; + end +end + +always @(posedge CLK or posedge RESET) begin + if (RESET) begin + bp_reg_async <= 0; + end else begin + if (pce) begin + if (WRE) begin + if (WRITE_MODE == 2'b01) begin + bp_reg_async[BIT_WIDTH-1:0] <= mem_t[BIT_WIDTH-1:0]; + if(dwidth == 9) begin + bp_reg_async[BIT_WIDTH-1:0] <= DI[BIT_WIDTH-1:0]; + end else if(dwidth==18) begin + if(AD[0] == 1'b1) + bp_reg_async[8:0] <= DI[8:0]; + if(AD[1] == 1'b1) + bp_reg_async[17:9] <= DI[17:9]; + end else if(dwidth==36) begin + if(AD[0] == 1'b1) + bp_reg_async[8:0] <= DI[8:0]; + if(AD[1] == 1'b1) + bp_reg_async[17:9] <= DI[17:9]; + if(AD[2] == 1'b1) + bp_reg_async[26:18] <= DI[26:18]; + if(AD[3] == 1'b1) + bp_reg_async[35:27] <= DI[35:27]; + end + + end + if (WRITE_MODE == 2'b10) begin + bp_reg_async[BIT_WIDTH-1:0] <= mem_t[BIT_WIDTH-1:0]; + end + + end else begin // WRE==0, read + bp_reg_async[BIT_WIDTH-1:0] <= mem_t[BIT_WIDTH-1:0]; + end + end + end +end + +always @(posedge CLK) begin + if (RESET) begin + bp_reg_sync <= 0; + end else begin + if (pce) begin + if (WRE) begin + if (WRITE_MODE == 2'b01) begin + bp_reg_sync[BIT_WIDTH-1:0] <= mem_t[BIT_WIDTH-1:0]; + if(dwidth == 9) begin + bp_reg_sync[BIT_WIDTH-1:0] <= DI[BIT_WIDTH-1:0]; + end else if(dwidth==18) begin + if(AD[0] == 1'b1) + bp_reg_sync[8:0] <= DI[8:0]; + if(AD[1] == 1'b1) + bp_reg_sync[17:9] <= DI[17:9]; + end else if(dwidth==36) begin + if(AD[0] == 1'b1) + bp_reg_sync[8:0] <= DI[8:0]; + if(AD[1] == 1'b1) + bp_reg_sync[17:9] <= DI[17:9]; + if(AD[2] == 1'b1) + bp_reg_sync[26:18] <= DI[26:18]; + if(AD[3] == 1'b1) + bp_reg_sync[35:27] <= DI[35:27]; + end + + end + if (WRITE_MODE == 2'b10) begin + bp_reg_sync[BIT_WIDTH-1:0] <= mem_t[BIT_WIDTH-1:0]; + end + + end else begin // WRE==0, read + bp_reg_sync[BIT_WIDTH-1:0] <= mem_t[BIT_WIDTH-1:0]; + end + end + end +end + + +always @(posedge CLK or posedge RESET) begin + if (RESET) begin + pl_reg_async <= 0; + end else begin + if(OCE) begin + pl_reg_async <= bp_reg; + end + end +end + +always @(posedge CLK) begin + if (RESET) begin + pl_reg_sync <= 0; + end else begin + if(OCE) begin + pl_reg_sync <= bp_reg; + end + end +end + +endmodule // SPX9: single port 18k Block SRAM + + +module SDP (DO, DI, BLKSEL, ADA, ADB, WREA, WREB, CLKA, CLKB, CEA, CEB, OCE, RESETA, RESETB); + +parameter READ_MODE = 1'b0; // 1'b0: bypass mode; 1'b1: pipeline mode +parameter BIT_WIDTH_0 = 32; // 1, 2, 4, 8, 16, 32 +parameter BIT_WIDTH_1 = 32; // 1, 2, 4, 8, 16, 32 +parameter BLK_SEL = 3'b000; +parameter RESET_MODE = "SYNC"; //SYNC,ASYNC +parameter INIT_RAM_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2F = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_30 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_31 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_32 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_33 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_34 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_35 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_36 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_37 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_38 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_39 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + +input CLKA, CEA, CLKB, CEB; +input OCE; // clock enable of memory output register +input RESETA, RESETB; // resets output registers, not memory contents +input WREA, WREB; // 1'b0: read enabled; 1'b1: write enabled +input [13:0] ADA, ADB; +input [31:0] DI; +input [2:0] BLKSEL; +output [31:0] DO; + +reg [31:0] pl_reg,pl_reg_async,pl_reg_sync; +reg [31:0] bp_reg,bp_reg_async,bp_reg_sync; +reg [16383:0] ram_MEM ={INIT_RAM_3F, INIT_RAM_3E, INIT_RAM_3D, INIT_RAM_3C,INIT_RAM_3B, INIT_RAM_3A, INIT_RAM_39, INIT_RAM_38,INIT_RAM_37, INIT_RAM_36, INIT_RAM_35, INIT_RAM_34,INIT_RAM_33, INIT_RAM_32, INIT_RAM_31, INIT_RAM_30,INIT_RAM_2F, INIT_RAM_2E, INIT_RAM_2D, INIT_RAM_2C,INIT_RAM_2B, INIT_RAM_2A, INIT_RAM_29, INIT_RAM_28,INIT_RAM_27, INIT_RAM_26, INIT_RAM_25, INIT_RAM_24,INIT_RAM_23, INIT_RAM_22, INIT_RAM_21, INIT_RAM_20,INIT_RAM_1F, INIT_RAM_1E, INIT_RAM_1D, INIT_RAM_1C,INIT_RAM_1B, INIT_RAM_1A, INIT_RAM_19, INIT_RAM_18,INIT_RAM_17, INIT_RAM_16, INIT_RAM_15, INIT_RAM_14,INIT_RAM_13, INIT_RAM_12, INIT_RAM_11, INIT_RAM_10,INIT_RAM_0F, INIT_RAM_0E, INIT_RAM_0D, INIT_RAM_0C, INIT_RAM_0B, INIT_RAM_0A, INIT_RAM_09, INIT_RAM_08,INIT_RAM_07, INIT_RAM_06, INIT_RAM_05, INIT_RAM_04,INIT_RAM_03, INIT_RAM_02, INIT_RAM_01, INIT_RAM_00} ; +reg [BIT_WIDTH_0-1:0] mem_a; +reg [BIT_WIDTH_1-1:0] mem_b; +reg [13:0] addr_a, addr_b; +reg mc,bs_en; +wire pcea; +wire pceb; + +integer bit_width_d0 = BIT_WIDTH_0; +integer bit_width_d1 = BIT_WIDTH_1; +integer bit_width_a0, bit_width_a1; // ADDR_WIDTH + +initial begin + bp_reg = 0; + pl_reg = 0; + bp_reg_async = 0; + bp_reg_sync = 0; + pl_reg_async = 0; + pl_reg_sync = 0; + mc = 1'b0; +end + +initial begin + case(bit_width_d0) + 1: bit_width_a0 = 14; + 2: bit_width_a0 = 13; + 4: bit_width_a0 = 12; + 8: bit_width_a0 = 11; + 16: bit_width_a0 = 10; + 32: bit_width_a0 = 9; + default: begin + // $display ("%d: Unsupported data width\n", bit_width_d0); + // $finish; + end + endcase + case(bit_width_d1) + 1: bit_width_a1 = 14; + 2: bit_width_a1 = 13; + 4: bit_width_a1 = 12; + 8: bit_width_a1 = 11; + 16: bit_width_a1 = 10; + 32: bit_width_a1 = 9; + default: begin + // $display ("%d: Unsupported data width\n", bit_width_d1); + // $finish; + end + endcase +end + +assign DO = (READ_MODE == 1'b0)? bp_reg: pl_reg; + +assign pcea = CEA && bs_en; +assign pceb = CEB && bs_en; +always @ (BLKSEL) +begin + if(BLKSEL == BLK_SEL) begin + bs_en = 1; + end else begin + bs_en = 0; + end +end + +always@(ADA,ADB,bit_width_a0,bit_width_a1,WREA,WREB,mc)begin + if(bit_width_a0==14)begin + addr_a[13:0] = ADA[13:0]; + mem_a[0] = ram_MEM[addr_a]; + end + else if(bit_width_a0==13)begin + addr_a[13:0] = {ADA[13:1],1'b0}; + mem_a[1:0] = {ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + else if(bit_width_a0==12)begin + addr_a[13:0] = {ADA[13:2],2'b00}; + mem_a[3:0] = {ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + else if(bit_width_a0==11)begin + addr_a[13:0] = {ADA[13:3],3'b000}; + mem_a[7:0] = {ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + else if(bit_width_a0==10)begin + addr_a[13:0] = {ADA[13:4],4'b0000}; + mem_a[15:0] = {ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9],ram_MEM[addr_a+8],ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + else if(bit_width_a0==9)begin + addr_a[13:0] = {ADA[13:5],5'b00000}; + mem_a[31:0] = {ram_MEM[addr_a+31],ram_MEM[addr_a+30],ram_MEM[addr_a+29],ram_MEM[addr_a+28],ram_MEM[addr_a+27],ram_MEM[addr_a+26],ram_MEM[addr_a+25],ram_MEM[addr_a+24],ram_MEM[addr_a+23],ram_MEM[addr_a+22],ram_MEM[addr_a+21],ram_MEM[addr_a+20],ram_MEM[addr_a+19],ram_MEM[addr_a+18],ram_MEM[addr_a+17],ram_MEM[addr_a+16],ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9],ram_MEM[addr_a+8],ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + if(bit_width_a1==14)begin + addr_b[13:0] = ADB[13:0]; + mem_b[0]=ram_MEM[addr_b]; + end + else if(bit_width_a1==13)begin + addr_b[13:0] = {ADB[13:1],1'b0}; + mem_b[1:0]={ram_MEM[addr_b+1],ram_MEM[addr_b]}; + end + else if(bit_width_a1==12)begin + addr_b[13:0] = {ADB[13:2],2'b00}; + mem_b[3:0]={ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}; + end + else if(bit_width_a1==11)begin + addr_b[13:0] = {ADB[13:3],3'b000}; + mem_b[7:0]={ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}; + end + else if(bit_width_a1==10)begin + addr_b[13:0] = {ADB[13:4],4'b0000}; + mem_b[15:0]={ram_MEM[addr_b+15],ram_MEM[addr_b+14],ram_MEM[addr_b+13],ram_MEM[addr_b+12],ram_MEM[addr_b+11],ram_MEM[addr_b+10],ram_MEM[addr_b+9],ram_MEM[addr_b+8],ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}; + + end + else if(bit_width_a1==9)begin + addr_b[13:0] = {ADB[13:5],5'b00000}; + mem_b[31:0]={ ram_MEM[addr_b+31],ram_MEM[addr_b+30],ram_MEM[addr_b+29],ram_MEM[addr_b+28],ram_MEM[addr_b+27],ram_MEM[addr_b+26],ram_MEM[addr_b+25],ram_MEM[addr_b+24],ram_MEM[addr_b+23],ram_MEM[addr_b+22],ram_MEM[addr_b+21],ram_MEM[addr_b+20],ram_MEM[addr_b+19],ram_MEM[addr_b+18],ram_MEM[addr_b+17],ram_MEM[addr_b+16],ram_MEM[addr_b+15],ram_MEM[addr_b+14],ram_MEM[addr_b+13],ram_MEM[addr_b+12],ram_MEM[addr_b+11],ram_MEM[addr_b+10],ram_MEM[addr_b+9],ram_MEM[addr_b+8],ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}; + + end +end + +always @(posedge CLKA) begin + if (pcea) begin + if (WREA) begin + if(bit_width_d0==1) + ram_MEM[addr_a] <= DI[0]; + else if(bit_width_d0==2) + {ram_MEM[addr_a+1],ram_MEM[addr_a]}<=DI[BIT_WIDTH_0-1:0]; + else if(bit_width_d0==4) + {ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}<=DI[BIT_WIDTH_0-1:0]; + else if(bit_width_d0==8) + {ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}<=DI[BIT_WIDTH_0-1:0]; + else if(bit_width_d0==16) begin + if(ADA[0] == 1'b1) + {ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}<=DI[7:0]; + if(ADA[1] ==1'b1) + {ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9],ram_MEM[addr_a+8]}<=DI[15:8]; + end + else if(bit_width_d0==32) begin + if(ADA[0] == 1'b1) + {ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}<=DI[7:0]; + if(ADA[1] == 1'b1) + {ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9],ram_MEM[addr_a+8]}<=DI[15:8]; + if(ADA[2] == 1'b1) + {ram_MEM[addr_a+23],ram_MEM[addr_a+22],ram_MEM[addr_a+21],ram_MEM[addr_a+20],ram_MEM[addr_a+19],ram_MEM[addr_a+18],ram_MEM[addr_a+17],ram_MEM[addr_a+16]} <=DI[23:16]; + if(ADA[3] == 1'b1) + {ram_MEM[addr_a+31],ram_MEM[addr_a+30],ram_MEM[addr_a+29],ram_MEM[addr_a+28],ram_MEM[addr_a+27],ram_MEM[addr_a+26],ram_MEM[addr_a+25],ram_MEM[addr_a+24]} <=DI[31:24]; + end + mc <= ~mc; + end + end +end + +always @ (bp_reg_async or bp_reg_sync or pl_reg_async or pl_reg_sync) begin + if(RESET_MODE == "ASYNC") begin + bp_reg <= bp_reg_async; + pl_reg <= pl_reg_async; + end + else begin + bp_reg <= bp_reg_sync; + pl_reg <= pl_reg_sync; + end +end + +always @(posedge CLKB or posedge RESETB) begin + if (RESETB) begin + pl_reg_async <= 0; + bp_reg_async <= 0; + end else begin + if(OCE) begin + pl_reg_async <= bp_reg; + end + if (pceb && !WREB) begin + bp_reg_async[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + end + end +end + +always @(posedge CLKB) begin + if (RESETB) begin + pl_reg_sync <= 0; + bp_reg_sync <= 0; + end else begin + if(OCE) begin + pl_reg_sync <= bp_reg; + end + if (pceb && !WREB) begin + bp_reg_sync[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + end + end +end + +endmodule // SDP: Semi dual port 16k Block SRAM + +module SDPX9 (DO, DI, BLKSEL, ADA, ADB, WREA, WREB, CLKA, CLKB, CEA, CEB, OCE, RESETA, RESETB); + +parameter READ_MODE = 1'b0; // 1'b0: bypass mode; 1'b1: pipeline mode +parameter BIT_WIDTH_0 = 36; // 9, 18, 36 +parameter BIT_WIDTH_1 = 36; // 9, 18, 36 +parameter BLK_SEL = 3'b000; +parameter RESET_MODE = "SYNC"; //SYNC,ASYNC +parameter INIT_RAM_00 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_01 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_02 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_03 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_04 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_05 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_06 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_07 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_08 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_09 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_10 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_11 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_12 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_13 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_14 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_15 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_16 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_17 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_18 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_19 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_20 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_21 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_22 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_23 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_24 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_25 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_26 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_27 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_28 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_29 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_30 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_31 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_32 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_33 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_34 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_35 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_36 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_37 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_38 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_39 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; + +input CLKA, CEA, CLKB, CEB; +input OCE; // clock enable of memory output register +input RESETA, RESETB; // resets output registers, not memory contents +input WREA, WREB; // 1'b0: read enabled; 1'b1: write enabled +input [13:0] ADA, ADB; +input [2:0] BLKSEL; +input [35:0] DI; +output [35:0] DO; + +reg [35:0] bp_reg,bp_reg_async,bp_reg_sync; +reg [35:0] pl_reg,pl_reg_async,pl_reg_sync; +reg [18431:0] ram_MEM = {INIT_RAM_3F, INIT_RAM_3E, INIT_RAM_3D, INIT_RAM_3C,INIT_RAM_3B, INIT_RAM_3A, INIT_RAM_39, INIT_RAM_38,INIT_RAM_37, INIT_RAM_36, INIT_RAM_35, INIT_RAM_34,INIT_RAM_33, INIT_RAM_32, INIT_RAM_31, INIT_RAM_30,INIT_RAM_2F, INIT_RAM_2E, INIT_RAM_2D, INIT_RAM_2C,INIT_RAM_2B, INIT_RAM_2A, INIT_RAM_29, INIT_RAM_28,INIT_RAM_27, INIT_RAM_26, INIT_RAM_25, INIT_RAM_24,INIT_RAM_23, INIT_RAM_22, INIT_RAM_21, INIT_RAM_20,INIT_RAM_1F, INIT_RAM_1E, INIT_RAM_1D, INIT_RAM_1C,INIT_RAM_1B, INIT_RAM_1A, INIT_RAM_19, INIT_RAM_18,INIT_RAM_17, INIT_RAM_16, INIT_RAM_15, INIT_RAM_14,INIT_RAM_13, INIT_RAM_12, INIT_RAM_11, INIT_RAM_10,INIT_RAM_0F, INIT_RAM_0E, INIT_RAM_0D, INIT_RAM_0C, INIT_RAM_0B, INIT_RAM_0A, INIT_RAM_09, INIT_RAM_08,INIT_RAM_07, INIT_RAM_06, INIT_RAM_05, INIT_RAM_04,INIT_RAM_03, INIT_RAM_02, INIT_RAM_01, INIT_RAM_00}; +reg [BIT_WIDTH_0-1:0] mem_a; +reg [BIT_WIDTH_1-1:0] mem_b; +reg [14:0] addr_a, addr_b; +reg mc,bs_en; +wire pcea,pceb; +integer bit_width_d0 = BIT_WIDTH_0; +integer bit_width_d1 = BIT_WIDTH_1; +integer bit_width_a0, bit_width_a1; // ADDR_WIDTH + +initial begin + bp_reg = 0; + pl_reg = 0; + bp_reg_async = 0; + bp_reg_sync = 0; + pl_reg_async = 0; + pl_reg_sync = 0; + mc = 1'b0; +end + +initial begin + case(bit_width_d0) + 9: bit_width_a0 = 11; + 18: bit_width_a0 = 10; + 36: bit_width_a0 = 9; + default: begin + // $display ("%d: Unsupported data width\n", bit_width_d0); + // $finish; + end + endcase + case(bit_width_d1) + 9: bit_width_a1 = 11; + 18: bit_width_a1 = 10; + 36: bit_width_a1 = 9; + default: begin + // $display ("%d: Unsupported data width\n", bit_width_d1); + // $finish; + end + endcase +end + +assign DO = (READ_MODE == 1'b0)? bp_reg: pl_reg; + +assign pcea = CEA && bs_en; +assign pceb = CEB && bs_en; +always @ (BLKSEL) +begin + if(BLKSEL == BLK_SEL) begin + bs_en = 1; + end else begin + bs_en = 0; + end +end + +always@(ADA,ADB,bit_width_a0,bit_width_a1,WREA,WREB,mc)begin + if(bit_width_a0==11)begin + addr_a[14:0] = ADA[13:3]*bit_width_d0; + mem_a[8:0] = {ram_MEM[addr_a+8],ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + else if(bit_width_a0==10)begin + addr_a[14:0] = ADA[13:4]*bit_width_d0; + mem_a[17:0] = {ram_MEM[addr_a+17],ram_MEM[addr_a+16],ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9],ram_MEM[addr_a+8],ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + else if(bit_width_a0==9)begin + addr_a[14:0] = ADA[13:5]*bit_width_d0; + mem_a[35:0] = {ram_MEM[addr_a+35],ram_MEM[addr_a+34],ram_MEM[addr_a+33],ram_MEM[addr_a+32],ram_MEM[addr_a+31],ram_MEM[addr_a+30],ram_MEM[addr_a+29],ram_MEM[addr_a+28],ram_MEM[addr_a+27],ram_MEM[addr_a+26],ram_MEM[addr_a+25],ram_MEM[addr_a+24],ram_MEM[addr_a+23],ram_MEM[addr_a+22],ram_MEM[addr_a+21],ram_MEM[addr_a+20],ram_MEM[addr_a+19],ram_MEM[addr_a+18],ram_MEM[addr_a+17],ram_MEM[addr_a+16],ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9],ram_MEM[addr_a+8],ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + + if(bit_width_a1==11)begin + addr_b[14:0] = ADB[13:3]*bit_width_d1; + mem_b[8:0] = {ram_MEM[addr_b+8],ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}; + end + else if(bit_width_a1==10)begin + addr_b[14:0] = ADB[13:4]*bit_width_d1; + mem_b[17:0] = {ram_MEM[addr_b+17],ram_MEM[addr_b+16],ram_MEM[addr_b+15],ram_MEM[addr_b+14],ram_MEM[addr_b+13],ram_MEM[addr_b+12],ram_MEM[addr_b+11],ram_MEM[addr_b+10],ram_MEM[addr_b+9],ram_MEM[addr_b+8],ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}; + end + else if(bit_width_a1==9)begin + addr_b[14:0] = ADB[13:5]*bit_width_d1; + mem_b[35:0] = {ram_MEM[addr_b+35],ram_MEM[addr_b+34],ram_MEM[addr_b+33],ram_MEM[addr_b+32],ram_MEM[addr_b+31],ram_MEM[addr_b+30],ram_MEM[addr_b+29],ram_MEM[addr_b+28],ram_MEM[addr_b+27],ram_MEM[addr_b+26],ram_MEM[addr_b+25],ram_MEM[addr_b+24],ram_MEM[addr_b+23],ram_MEM[addr_b+22],ram_MEM[addr_b+21],ram_MEM[addr_b+20],ram_MEM[addr_b+19],ram_MEM[addr_b+18],ram_MEM[addr_b+17],ram_MEM[addr_b+16],ram_MEM[addr_b+15],ram_MEM[addr_b+14],ram_MEM[addr_b+13],ram_MEM[addr_b+12],ram_MEM[addr_b+11],ram_MEM[addr_b+10],ram_MEM[addr_b+9],ram_MEM[addr_b+8],ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}; + end +end + +always @(posedge CLKA) begin + if (pcea) begin + if (WREA) begin + if(bit_width_d0 == 9) begin + {ram_MEM [addr_a+8],ram_MEM [addr_a+7], ram_MEM [addr_a+6], ram_MEM [addr_a+5], ram_MEM [addr_a+4], ram_MEM [addr_a+3], ram_MEM [addr_a+2], ram_MEM [addr_a+1], ram_MEM [addr_a]} <= DI[8:0]; + end else if(bit_width_d0 == 18) begin + if(ADA[0] == 1'b1) + {ram_MEM [addr_a+8],ram_MEM [addr_a+7], ram_MEM [addr_a+6], ram_MEM [addr_a+5], ram_MEM [addr_a+4], ram_MEM [addr_a+3], ram_MEM [addr_a+2], ram_MEM [addr_a+1], ram_MEM [addr_a]} <= DI[8:0]; + if(ADA[1] == 1'b1) + {ram_MEM[addr_a+17],ram_MEM[addr_a+16],ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9]} <= DI[17:9]; + end + else if(bit_width_d0 == 36) begin + if(ADA[0] == 1'b1) + {ram_MEM [addr_a+8],ram_MEM [addr_a+7], ram_MEM [addr_a+6], ram_MEM [addr_a+5], ram_MEM [addr_a+4], ram_MEM [addr_a+3], ram_MEM [addr_a+2], ram_MEM [addr_a+1], ram_MEM [addr_a]} <= DI[8:0]; + if(ADA[1] == 1'b1) + {ram_MEM[addr_a+17],ram_MEM[addr_a+16],ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9]} <= DI[17:9]; + if(ADA[2] == 1'b1) + {ram_MEM[addr_a+26],ram_MEM[addr_a+25],ram_MEM[addr_a+24],ram_MEM[addr_a+23],ram_MEM[addr_a+22],ram_MEM[addr_a+21],ram_MEM[addr_a+20],ram_MEM[addr_a+19],ram_MEM[addr_a+18]} <= DI[26:18]; + if(ADA[3] == 1'b1) + {ram_MEM[addr_a+35],ram_MEM[addr_a+34],ram_MEM[addr_a+33],ram_MEM[addr_a+32],ram_MEM[addr_a+31],ram_MEM[addr_a+30],ram_MEM[addr_a+29],ram_MEM[addr_a+28],ram_MEM[addr_a+27]} <= DI[35:27]; + end + mc <= ~mc; + end + end +end + +always @ (bp_reg_async or bp_reg_sync or pl_reg_async or pl_reg_sync) begin + if(RESET_MODE == "ASYNC") begin + bp_reg <= bp_reg_async; + pl_reg <= pl_reg_async; + end + else begin + bp_reg <= bp_reg_sync; + pl_reg <= pl_reg_sync; + end +end + +always @(posedge CLKB or posedge RESETB) begin + if (RESETB) begin + bp_reg_async <=0; + pl_reg_async <= 0; + end else begin + if(OCE) begin + pl_reg_async <= bp_reg; + end + if (pceb && !WREB) begin + bp_reg_async[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + end + end +end + +always @(posedge CLKB) begin + if (RESETB) begin + bp_reg_sync <=0; + pl_reg_sync <= 0; + end else begin + if(OCE) begin + pl_reg_sync <= bp_reg; + end + if (pceb && !WREB) begin + bp_reg_sync[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + end + end +end + +endmodule // SDPX9: Semi dual port 18k Block SRAM + +module DP (DOA, DOB, DIA, DIB, BLKSEL, ADA, ADB, WREA, WREB, CLKA, CLKB, CEA, CEB, OCEA, OCEB, RESETA, RESETB); + +parameter READ_MODE0 = 1'b0; // 1'b0: bypass mode; 1'b1: pipeline mode +parameter READ_MODE1 = 1'b0; // 1'b0: bypass mode; 1'b1: pipeline mode +parameter WRITE_MODE0 = 2'b00; // 2'b00: normal mode; 2'b01: write-through mode; 2'b10: read-before-write mode +parameter WRITE_MODE1 = 2'b00; // 2'b00: normal mode; 2'b01: write-through mode; 2'b10: read-before-write mode +parameter BIT_WIDTH_0 = 16; // 1, 2, 4, 8, 16 +parameter BIT_WIDTH_1 = 16; // 1, 2, 4, 8, 16 +parameter BLK_SEL = 3'b000; +parameter RESET_MODE = "SYNC"; //SYNC, ASYNC +parameter INIT_RAM_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2F = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_30 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_31 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_32 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_33 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_34 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_35 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_36 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_37 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_38 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_39 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + +input CLKA, CEA, CLKB, CEB; +input OCEA, OCEB; // clock enable of memory output register +input RESETA, RESETB; // resets output registers, not memory contents +input WREA, WREB; // 1'b0: read enabled; 1'b1: write enabled +input [13:0] ADA, ADB; +input [2:0] BLKSEL; +input [15:0] DIA, DIB; +output [15:0] DOA, DOB; + +reg [15:0] bpa_reg,bpa_reg_async,bpa_reg_sync; +reg [15:0] pla_reg,pla_reg_async,pla_reg_sync; +reg [15:0] bpb_reg, plb_reg,bpb_reg_async,bpb_reg_sync,plb_reg_async,plb_reg_sync; +reg [16383:0] ram_MEM = {INIT_RAM_3F, INIT_RAM_3E, INIT_RAM_3D, INIT_RAM_3C,INIT_RAM_3B, INIT_RAM_3A, INIT_RAM_39, INIT_RAM_38,INIT_RAM_37, INIT_RAM_36, INIT_RAM_35, INIT_RAM_34,INIT_RAM_33, INIT_RAM_32, INIT_RAM_31, INIT_RAM_30,INIT_RAM_2F, INIT_RAM_2E, INIT_RAM_2D, INIT_RAM_2C,INIT_RAM_2B, INIT_RAM_2A, INIT_RAM_29, INIT_RAM_28,INIT_RAM_27, INIT_RAM_26, INIT_RAM_25, INIT_RAM_24,INIT_RAM_23, INIT_RAM_22, INIT_RAM_21, INIT_RAM_20,INIT_RAM_1F, INIT_RAM_1E, INIT_RAM_1D, INIT_RAM_1C,INIT_RAM_1B, INIT_RAM_1A, INIT_RAM_19, INIT_RAM_18,INIT_RAM_17, INIT_RAM_16, INIT_RAM_15, INIT_RAM_14,INIT_RAM_13, INIT_RAM_12, INIT_RAM_11, INIT_RAM_10,INIT_RAM_0F, INIT_RAM_0E, INIT_RAM_0D, INIT_RAM_0C, INIT_RAM_0B, INIT_RAM_0A, INIT_RAM_09, INIT_RAM_08,INIT_RAM_07, INIT_RAM_06, INIT_RAM_05, INIT_RAM_04,INIT_RAM_03, INIT_RAM_02, INIT_RAM_01, INIT_RAM_00} ; +reg [BIT_WIDTH_0-1:0] mem_a; +reg [BIT_WIDTH_1-1:0] mem_b; +reg mc,bs_en; +wire pcea,pceb; +reg [13:0] addr_a, addr_b; +integer bit_width_d0 = BIT_WIDTH_0; +integer bit_width_d1 = BIT_WIDTH_1; +integer bit_width_a0, bit_width_a1; // ADDR_WIDTH + +initial begin + bpa_reg = 0; + pla_reg = 0; + bpb_reg = 0; + plb_reg = 0; + bpa_reg_async = 0; + bpa_reg_sync = 0; + pla_reg_async = 0; + pla_reg_sync = 0; + bpb_reg_async = 0; + bpb_reg_sync = 0; + plb_reg_async = 0; + plb_reg_sync = 0; + mc = 1'b0; +end + +initial begin + case(bit_width_d0) + 1: bit_width_a0 = 14; + 2: bit_width_a0 = 13; + 4: bit_width_a0 = 12; + 8: bit_width_a0 = 11; + 16: bit_width_a0 = 10; + default: begin + // $display ("%d: Unsupported data width\n", bit_width_d0); + // $finish; + end + endcase + case(bit_width_d1) + 1: bit_width_a1 = 14; + 2: bit_width_a1 = 13; + 4: bit_width_a1 = 12; + 8: bit_width_a1 = 11; + 16: bit_width_a1 = 10; + default: begin + // $display ("%d: Unsupported data width\n", bit_width_d1); + // $finish; + end + endcase +end + +assign DOA = (READ_MODE0 == 1'b0)? bpa_reg : pla_reg; +assign DOB = (READ_MODE1 == 1'b0)? bpb_reg : plb_reg; + +assign pcea = CEA && bs_en; +assign pceb = CEB && bs_en; +always @ (BLKSEL) +begin + if(BLKSEL == BLK_SEL) begin + bs_en = 1; + end else begin + bs_en = 0; + end +end + +always@(ADA,ADB,bit_width_a0,bit_width_a1,WREA,WREB,mc)begin + if(bit_width_a0==14)begin + addr_a[13:0] = ADA[13:0]; + mem_a[0]=ram_MEM[addr_a]; + end + else if(bit_width_a0==13)begin + addr_a[13:0] = {ADA[13:1],1'b0}; + mem_a[1:0]={ ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + else if(bit_width_a0==12)begin + addr_a[13:0] = {ADA[13:2],2'b00}; + mem_a[3:0]={ ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + else if(bit_width_a0==11)begin + addr_a[13:0] = {ADA[13:3],3'b000}; + mem_a[7:0]={ ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + else if(bit_width_a0==10)begin + addr_a[13:0] = {ADA[13:4],4'b0000}; + mem_a[15:0]={ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9],ram_MEM[addr_a+8],ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + if(bit_width_a1==14)begin + addr_b[13:0] = ADB[13:0]; + mem_b[0]=ram_MEM[addr_b]; + end + else if(bit_width_a1==13)begin + addr_b[13:0] = {ADB[13:1],1'b0}; + mem_b[1:0]={ram_MEM[addr_b+1],ram_MEM[addr_b]}; + end + else if(bit_width_a1==12)begin + addr_b[13:0] = {ADB[13:2],2'b00}; + mem_b[3:0]={ ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}; + end + else if(bit_width_a1==11)begin + addr_b[13:0] = {ADB[13:3],3'b000}; + mem_b[7:0]={ ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}; + end + else if(bit_width_a1==10)begin + addr_b[13:0] = {ADB[13:4],4'b0000}; + mem_b[15:0]={ram_MEM[addr_b+15],ram_MEM[addr_b+14],ram_MEM[addr_b+13],ram_MEM[addr_b+12],ram_MEM[addr_b+11],ram_MEM[addr_b+10],ram_MEM[addr_b+9],ram_MEM[addr_b+8],ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}; + end +end + +always @ (bpa_reg_async or bpa_reg_sync or pla_reg_async or pla_reg_sync or bpb_reg_async or bpb_reg_sync or plb_reg_async or plb_reg_sync) begin + if(RESET_MODE == "ASYNC") begin + bpa_reg <= bpa_reg_async; + pla_reg <= pla_reg_async; + bpb_reg <= bpb_reg_async; + plb_reg <= plb_reg_async; + end + else begin + bpa_reg <= bpa_reg_sync; + pla_reg <= pla_reg_sync; + bpb_reg <= bpb_reg_sync; + plb_reg <= plb_reg_sync; + end +end + +always @(posedge CLKA) begin + if (pcea) begin + if (WREA) begin + if(bit_width_d0==1) + ram_MEM[addr_a] <= DIA[0]; + else if(bit_width_d0==2) + {ram_MEM[addr_a+1],ram_MEM[addr_a]}<=DIA[BIT_WIDTH_0-1:0]; + else if(bit_width_d0==4) + {ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}<=DIA[BIT_WIDTH_0-1:0]; + else if(bit_width_d0==8) + {ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}<=DIA[BIT_WIDTH_0-1:0]; + else if(bit_width_d0==16) begin + if(ADA[0] == 1'b1) + {ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]} <= DIA[7:0]; + if(ADA[1] == 1'b1) + {ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9],ram_MEM[addr_a+8]} <= DIA[15:8]; + end + mc <= ~mc; + end + end +end + +always @(posedge CLKA or posedge RESETA) begin + if (RESETA) begin + pla_reg_async <= 0; + bpa_reg_async <= 0; + end else begin + if(OCEA) begin + pla_reg_async <= bpa_reg; + end + if (pcea) begin + if (WREA) begin + if (WRITE_MODE0 == 2'b01) begin + bpa_reg_async[BIT_WIDTH_0-1:0] <= mem_a[BIT_WIDTH_0-1:0]; + if(bit_width_d0<=8) + bpa_reg_async[BIT_WIDTH_0-1:0] <= DIA[BIT_WIDTH_0-1:0]; + else if(bit_width_d0==16) begin + if(ADA[0] == 1'b1) + bpa_reg_async[7:0] <= DIA[7:0]; + if(ADA[1] == 1'b1) + bpa_reg_async[15:8] <= DIA[15:8]; + end + end + + if (WRITE_MODE0 == 2'b10) begin + bpa_reg_async[BIT_WIDTH_0-1:0] <= mem_a[BIT_WIDTH_0-1:0]; + end + + end + else begin // WREA==0, read + bpa_reg_async[BIT_WIDTH_0-1:0] <= mem_a[BIT_WIDTH_0-1:0]; + end + end + end +end + +always @(posedge CLKA) begin + if (RESETA) begin + pla_reg_sync <= 0; + bpa_reg_sync <= 0; + end else begin + if(OCEA) begin + pla_reg_sync <= bpa_reg; + end + if (pcea) begin + if (WREA) begin + if (WRITE_MODE0 == 2'b01) begin + bpa_reg_sync[BIT_WIDTH_0-1:0] <= mem_a[BIT_WIDTH_0-1:0]; + if(bit_width_d0<=8) + bpa_reg_sync[BIT_WIDTH_0-1:0] <= DIA[BIT_WIDTH_0-1:0]; + else if(bit_width_d0==16) begin + if(ADA[0] == 1'b1) + bpa_reg_sync[7:0] <= DIA[7:0]; + if(ADA[1] == 1'b1) + bpa_reg_sync[15:8] <= DIA[15:8]; + end + end + + if (WRITE_MODE0 == 2'b10) begin + bpa_reg_sync[BIT_WIDTH_0-1:0] <= mem_a[BIT_WIDTH_0-1:0]; + end + + end + else begin // WREA==0, read + bpa_reg_sync[BIT_WIDTH_0-1:0] <= mem_a[BIT_WIDTH_0-1:0]; + end + end + end +end + +always @(posedge CLKB) begin + if (pceb) begin + if (WREB) begin + if(bit_width_d1==1) + ram_MEM[addr_b] <= DIB[0]; + else if(bit_width_d1==2) + {ram_MEM[addr_b+1],ram_MEM[addr_b]}<=DIB[BIT_WIDTH_1-1:0]; + else if(bit_width_d1==4) + {ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}<=DIB[BIT_WIDTH_1-1:0]; + else if(bit_width_d1==8) + {ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}<=DIB[BIT_WIDTH_1-1:0]; + else if(bit_width_d1==16) begin + if(ADB[0] == 1'b1) + {ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]} <= DIB[7:0]; + if(ADB[1] == 1'b1) + {ram_MEM[addr_b+15],ram_MEM[addr_b+14],ram_MEM[addr_b+13],ram_MEM[addr_b+12],ram_MEM[addr_b+11],ram_MEM[addr_b+10],ram_MEM[addr_b+9],ram_MEM[addr_b+8]} <= DIB[15:8]; + end + mc <= ~mc; + end + end +end + +always @(posedge CLKB or posedge RESETB) begin + if (RESETB) begin + plb_reg_async <= 0; + bpb_reg_async <= 0; + end else begin + if(OCEB) begin + plb_reg_async <= bpb_reg; + end + if (pceb) begin + if (WREB) begin + if (WRITE_MODE1 == 2'b01) begin + bpb_reg_async[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + if(bit_width_d1<=8) + bpb_reg_async[BIT_WIDTH_1-1:0] <= DIB[BIT_WIDTH_1-1:0]; + else if(bit_width_d1==16) begin + if(ADB[0] == 1'b1) + bpb_reg_async[7:0] <= DIB[7:0]; + if(ADB[1] == 1'b1) + bpb_reg_async[15:8] <= DIB[15:8]; + end + end + + if (WRITE_MODE1 == 2'b10) begin + bpb_reg_async[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + end + + end else begin + bpb_reg_async[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + end + end + end +end + +always @(posedge CLKB) begin + if (RESETB) begin + plb_reg_sync <= 0; + bpb_reg_sync <= 0; + end else begin + if(OCEB) begin + plb_reg_sync <= bpb_reg; + end + if (pceb) begin + if (WREB) begin + if (WRITE_MODE1 == 2'b01) begin + bpb_reg_sync[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + if(bit_width_d1<=8) + bpb_reg_sync[BIT_WIDTH_1-1:0] <= DIB[BIT_WIDTH_1-1:0]; + else if(bit_width_d1==16) begin + if(ADB[0] == 1'b1) + bpb_reg_sync[7:0] <= DIB[7:0]; + if(ADB[1] == 1'b1) + bpb_reg_sync[15:8] <= DIB[15:8]; + end + end + + if (WRITE_MODE1 == 2'b10) begin + bpb_reg_sync[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + end + + end else begin + bpb_reg_sync[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + end + end + end +end + +endmodule // DP: true dual port 16k Block SRAM + +module DPX9 (DOA, DOB, DIA, DIB, BLKSEL, ADA, ADB, WREA, WREB, CLKA, CLKB, CEA, CEB, OCEA, OCEB, RESETA, RESETB); + +parameter READ_MODE0 = 1'b0; // 1'b0: bypass mode; 1'b1: pipeline mode +parameter READ_MODE1 = 1'b0; // 1'b0: bypass mode; 1'b1: pipeline mode +parameter WRITE_MODE0 = 2'b00; // 2'b00: normal mode; 2'b01: write-through mode; 2'b10: read-before-write mode +parameter WRITE_MODE1 = 2'b00; // 2'b00: normal mode; 2'b01: write-through mode; 2'b10: read-before-write mode +parameter BIT_WIDTH_0 = 18; // 9, 18 +parameter BIT_WIDTH_1 = 18; // 9, 18 +parameter BLK_SEL = 3'b000; +parameter RESET_MODE = "SYNC"; //SYNC,ASYNC +parameter INIT_RAM_00 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_01 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_02 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_03 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_04 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_05 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_06 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_07 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_08 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_09 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_10 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_11 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_12 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_13 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_14 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_15 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_16 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_17 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_18 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_19 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_20 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_21 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_22 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_23 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_24 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_25 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_26 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_27 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_28 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_29 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_30 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_31 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_32 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_33 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_34 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_35 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_36 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_37 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_38 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_39 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; + +input CLKA, CEA, CLKB, CEB; +input OCEA, OCEB; // clock enable of memory output register +input RESETA, RESETB; // resets output registers, not memory contents +input WREA, WREB; // 1'b0: read enabled; 1'b1: write enabled +input [13:0] ADA, ADB; +input [17:0] DIA, DIB; +input [2:0] BLKSEL; +output [17:0] DOA, DOB; +reg [17:0] bpa_reg, bpb_reg,bpa_reg_async, bpb_reg_async,bpa_reg_sync, bpb_reg_sync; +reg [17:0] pla_reg, plb_reg,pla_reg_async, plb_reg_async,pla_reg_sync, plb_reg_sync; +reg [18431:0] ram_MEM = {INIT_RAM_3F, INIT_RAM_3E, INIT_RAM_3D, INIT_RAM_3C,INIT_RAM_3B, INIT_RAM_3A, INIT_RAM_39, INIT_RAM_38,INIT_RAM_37, INIT_RAM_36, INIT_RAM_35, INIT_RAM_34,INIT_RAM_33, INIT_RAM_32, INIT_RAM_31, INIT_RAM_30,INIT_RAM_2F, INIT_RAM_2E, INIT_RAM_2D, INIT_RAM_2C,INIT_RAM_2B, INIT_RAM_2A, INIT_RAM_29, INIT_RAM_28,INIT_RAM_27, INIT_RAM_26, INIT_RAM_25, INIT_RAM_24,INIT_RAM_23, INIT_RAM_22, INIT_RAM_21, INIT_RAM_20,INIT_RAM_1F, INIT_RAM_1E, INIT_RAM_1D, INIT_RAM_1C,INIT_RAM_1B, INIT_RAM_1A, INIT_RAM_19, INIT_RAM_18,INIT_RAM_17, INIT_RAM_16, INIT_RAM_15, INIT_RAM_14,INIT_RAM_13, INIT_RAM_12, INIT_RAM_11, INIT_RAM_10,INIT_RAM_0F, INIT_RAM_0E, INIT_RAM_0D, INIT_RAM_0C, INIT_RAM_0B, INIT_RAM_0A, INIT_RAM_09, INIT_RAM_08,INIT_RAM_07, INIT_RAM_06, INIT_RAM_05, INIT_RAM_04,INIT_RAM_03, INIT_RAM_02, INIT_RAM_01, INIT_RAM_00}; +reg [BIT_WIDTH_0-1:0] mem_a; +reg [BIT_WIDTH_1-1:0] mem_b; +reg mc, bs_en; +wire pcea,pceb; +integer bit_width_d0 = BIT_WIDTH_0; +integer bit_width_d1 = BIT_WIDTH_1; +integer bit_width_a0, bit_width_a1; // ADDR_WIDTH +reg [14:0] addr_a, addr_b; + +initial begin + bpa_reg = 0; + bpb_reg = 0; + pla_reg = 0; + plb_reg = 0; + bpa_reg_async = 0; + bpa_reg_sync = 0; + pla_reg_async = 0; + pla_reg_sync = 0; + bpb_reg_async = 0; + bpb_reg_sync = 0; + plb_reg_async = 0; + plb_reg_sync = 0; + mc = 1'b0; +end + +initial begin + case(bit_width_d0) + 9: bit_width_a0 = 11; + 18: bit_width_a0 = 10; + default: begin + // $display ("%d: Unsupported data width\n", bit_width_d0); + // $finish; + end + endcase + case(bit_width_d1) + 9: bit_width_a1 = 11; + 18: bit_width_a1 = 10; + default: begin + // $display ("%d: Unsupported data width\n", bit_width_d1); + // $finish; + end + endcase +end + +assign DOA = (READ_MODE0 == 1'b0)? bpa_reg : pla_reg; +assign DOB = (READ_MODE1 == 1'b0)? bpb_reg : plb_reg; + +assign pcea = CEA && bs_en; +assign pceb = CEB && bs_en; +always @ (BLKSEL) +begin + if(BLKSEL == BLK_SEL) begin + bs_en = 1; + end else begin + bs_en = 0; + end +end + +always@(ADA, ADB, bit_width_a0, bit_width_a1,WREA, WREB,mc)begin + if(bit_width_a0==11)begin + addr_a[14:0] = ADA[13:3]*bit_width_d0; + mem_a[8:0]={ ram_MEM[addr_a+8],ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + else if(bit_width_a0==10)begin + addr_a[14:0] = ADA[13:4]*bit_width_d0; + mem_a[17:0]={ram_MEM[addr_a+17],ram_MEM[addr_a+16],ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9],ram_MEM[addr_a+8],ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + + if(bit_width_a1==11)begin + addr_b[14:0] = ADB[13:3]*bit_width_d1; + mem_b[8:0]={ram_MEM[addr_b+8],ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}; + end + else if(bit_width_a1==10)begin + addr_b[14:0] = ADB[13:4]*bit_width_d1; + mem_b[17:0]={ram_MEM[addr_b+17],ram_MEM[addr_b+16],ram_MEM[addr_b+15],ram_MEM[addr_b+14],ram_MEM[addr_b+13],ram_MEM[addr_b+12],ram_MEM[addr_b+11],ram_MEM[addr_b+10],ram_MEM[addr_b+9],ram_MEM[addr_b+8],ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}; + end +end + +always @ (bpa_reg_async or bpa_reg_sync or pla_reg_async or pla_reg_sync or bpb_reg_async or bpb_reg_sync or plb_reg_async or plb_reg_sync) begin + if(RESET_MODE == "ASYNC") begin + bpa_reg <= bpa_reg_async; + pla_reg <= pla_reg_async; + bpb_reg <= bpb_reg_async; + plb_reg <= plb_reg_async; + end + else begin + bpa_reg <= bpa_reg_sync; + pla_reg <= pla_reg_sync; + bpb_reg <= bpb_reg_sync; + plb_reg <= plb_reg_sync; + end +end + +always @(posedge CLKA) begin + if (pcea) begin + if (WREA) begin + if (bit_width_d0 == 9) + { ram_MEM[addr_a+8],ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a] } <= DIA[8:0]; + else if(bit_width_d0 == 18) begin + if(ADA[0] == 1'b1) + { ram_MEM[addr_a+8],ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a] } <= DIA[8:0]; + if(ADA[1] == 1'b1) + { ram_MEM[addr_a+17],ram_MEM[addr_a+16],ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9]} <= DIA[17:9]; + end + mc <= ~mc; + end + end +end + +always @(posedge CLKA or posedge RESETA) begin + if (RESETA) begin + pla_reg_async <= 0; + bpa_reg_async <= 0; + end else begin + if(OCEA) begin + pla_reg_async <= bpa_reg; + end + if (pcea) begin + if (WREA) begin + if (WRITE_MODE0 == 2'b01) begin + bpa_reg_async[BIT_WIDTH_0-1:0] <= mem_a[BIT_WIDTH_0-1:0]; + if (bit_width_d0 == 9) + bpa_reg_async[8:0] <= DIA[8:0]; + else if(bit_width_d0 == 18) begin + if(ADA[0] == 1'b1) + bpa_reg_async[8:0] <= DIA[8:0]; + if(ADA[1] == 1'b1) + bpa_reg_async[17:9] <= DIA[17:9]; + end + end + + if (WRITE_MODE0 == 2'b10) begin + bpa_reg_async[BIT_WIDTH_0-1:0] <= mem_a[BIT_WIDTH_0-1:0]; + end + + end else begin // WREA==0, read + bpa_reg_async[BIT_WIDTH_0-1:0] <= mem_a[BIT_WIDTH_0-1:0]; + end + + end + end +end + +always @(posedge CLKA) begin + if (RESETA) begin + pla_reg_sync <= 0; + bpa_reg_sync <= 0; + end else begin + if(OCEA) begin + pla_reg_sync <= bpa_reg; + end + if (pcea) begin + if (WREA) begin + if (WRITE_MODE0 == 2'b01) begin + bpa_reg_sync[BIT_WIDTH_0-1:0] <= mem_a[BIT_WIDTH_0-1:0]; + if (bit_width_d0 == 9) + bpa_reg_sync[8:0] <= DIA[8:0]; + else if(bit_width_d0 == 18) begin + if(ADA[0] == 1'b1) + bpa_reg_sync[8:0] <= DIA[8:0]; + if(ADA[1] == 1'b1) + bpa_reg_sync[17:9] <= DIA[17:9]; + end + end + + if (WRITE_MODE0 == 2'b10) begin + bpa_reg_sync[BIT_WIDTH_0-1:0] <= mem_a[BIT_WIDTH_0-1:0]; + end + + end else begin // WREA==0, read + bpa_reg_sync[BIT_WIDTH_0-1:0] <= mem_a[BIT_WIDTH_0-1:0]; + end + + end + end +end + +always @(posedge CLKB) begin + if (pceb) begin + if (WREB) begin + if (bit_width_d1 == 9) + { ram_MEM[addr_b+8],ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b] } <= DIB[8:0]; + else if(bit_width_d1 == 18) begin + if(ADB[0] == 1'b1) + { ram_MEM[addr_b+8],ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b] } <= DIB[8:0]; + if(ADB[1] == 1'b1) + {ram_MEM[addr_b+17],ram_MEM[addr_b+16],ram_MEM[addr_b+15],ram_MEM[addr_b+14],ram_MEM[addr_b+13],ram_MEM[addr_b+12],ram_MEM[addr_b+11],ram_MEM[addr_b+10], ram_MEM[addr_b+9]} <= DIB[17:9]; + end + mc <= ~mc; + end + end +end + +always @(posedge CLKB or posedge RESETB) begin + if (RESETB) begin + plb_reg_async <= 0; + bpb_reg_async <= 0; + end else begin + if(OCEB) begin + plb_reg_async <= bpb_reg; + end + if (pceb) begin + if (WREB) begin + if (WRITE_MODE1 == 2'b01) begin + bpb_reg_async[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + if (bit_width_d1 == 9) + bpb_reg_async[8:0] <= DIB[8:0]; + else if(bit_width_d1 == 18) begin + if(ADB[0] == 1'b1) + bpb_reg_async[8:0] <= DIB[8:0]; + if(ADB[1] == 1'b1) + bpb_reg_async[17:9] <= DIB[17:9]; + end + end + + if (WRITE_MODE1 == 2'b10) begin + bpb_reg_async[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + end + + end else begin + bpb_reg_async[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + end + end + end +end + +always @(posedge CLKB) begin + if (RESETB) begin + plb_reg_sync <= 0; + bpb_reg_sync <= 0; + end else begin + if(OCEB) begin + plb_reg_sync <= bpb_reg; + end + if (pceb) begin + if (WREB) begin + if (WRITE_MODE1 == 2'b01) begin + bpb_reg_sync[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + if (bit_width_d1 == 9) + bpb_reg_sync[8:0] <= DIB[8:0]; + else if(bit_width_d1 == 18) begin + if(ADB[0] == 1'b1) + bpb_reg_sync[8:0] <= DIB[8:0]; + if(ADB[1] == 1'b1) + bpb_reg_sync[17:9] <= DIB[17:9]; + end + end + + if (WRITE_MODE1 == 2'b10) begin + bpb_reg_sync[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + end + + end else begin + bpb_reg_sync[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + end + end + end +end + +endmodule // DPX9: true dual port 18k Block SRAM + + +module ROM (DO, BLKSEL, AD, WRE, CLK, CE, OCE, RESET); + +parameter READ_MODE = 1'b0; // 1'b0: bypass mode; 1'b1: pipeline mode +parameter BIT_WIDTH = 32; // 1, 2, 4, 8, 16, 32 +parameter BLK_SEL = 3'b000; +parameter RESET_MODE = "SYNC"; //SYNC, ASYNC +parameter INIT_RAM_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2F = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_30 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_31 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_32 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_33 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_34 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_35 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_36 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_37 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_38 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_39 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + +input CLK, CE; +input OCE; // clock enable of memory output register +input RESET; // resets registers, not memory contents +input WRE; // 1'b0: read enabled; 1'b1: read disabled +input [13:0] AD; +input [2:0] BLKSEL; +output [31:0] DO; +reg [31:0] bp_reg,bp_reg_async,bp_reg_sync; +reg [31:0] pl_reg,pl_reg_async,pl_reg_sync; +reg [16383:0] ram_MEM = {INIT_RAM_3F, INIT_RAM_3E, INIT_RAM_3D, INIT_RAM_3C,INIT_RAM_3B, INIT_RAM_3A, INIT_RAM_39, INIT_RAM_38,INIT_RAM_37, INIT_RAM_36, INIT_RAM_35, INIT_RAM_34,INIT_RAM_33, INIT_RAM_32, INIT_RAM_31, INIT_RAM_30,INIT_RAM_2F, INIT_RAM_2E, INIT_RAM_2D, INIT_RAM_2C,INIT_RAM_2B, INIT_RAM_2A, INIT_RAM_29, INIT_RAM_28,INIT_RAM_27, INIT_RAM_26, INIT_RAM_25, INIT_RAM_24,INIT_RAM_23, INIT_RAM_22, INIT_RAM_21, INIT_RAM_20,INIT_RAM_1F, INIT_RAM_1E, INIT_RAM_1D, INIT_RAM_1C,INIT_RAM_1B, INIT_RAM_1A, INIT_RAM_19, INIT_RAM_18,INIT_RAM_17, INIT_RAM_16, INIT_RAM_15, INIT_RAM_14,INIT_RAM_13, INIT_RAM_12, INIT_RAM_11, INIT_RAM_10,INIT_RAM_0F, INIT_RAM_0E, INIT_RAM_0D, INIT_RAM_0C, INIT_RAM_0B, INIT_RAM_0A, INIT_RAM_09, INIT_RAM_08,INIT_RAM_07, INIT_RAM_06, INIT_RAM_05, INIT_RAM_04,INIT_RAM_03, INIT_RAM_02, INIT_RAM_01, INIT_RAM_00}; +reg [BIT_WIDTH-1:0] mem_t; +reg [13:0] addr; +reg bs_en; +wire pce; +integer dwidth = BIT_WIDTH; +integer awidth; // ADDR_WIDTH + +initial begin + bp_reg = 0; + pl_reg = 0; + bp_reg_async = 0; + bp_reg_sync = 0; + pl_reg_async = 0; + pl_reg_sync = 0; +end + +initial begin + case(dwidth) + 1: begin + awidth = 14; + end + 2: begin + awidth = 13; + end + 4: begin + awidth = 12; + end + 8: begin + awidth = 11; + end + 16: begin + awidth = 10; + end + 32: begin + awidth = 9; + end + default: begin + // $display ("%d: Unsupported data width\n", dwidth); + // $finish; + end + endcase +end + +assign pce = CE && bs_en; +always @ (BLKSEL) begin + if(BLKSEL == BLK_SEL) begin + bs_en = 1; + end else begin + bs_en = 0; + end +end + +always@(AD,awidth,WRE)begin + if(awidth==14)begin + addr[13:0] = AD[13:0]; + mem_t[0] = ram_MEM[addr]; + end + else if(awidth==13)begin + addr[13:0] = {AD[13:1],1'b0}; + mem_t[1:0] = {ram_MEM[addr+1],ram_MEM[addr]}; + end + else if(awidth==12)begin + addr[13:0] = {AD[13:2],2'b00}; + mem_t[3:0] = {ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]}; + end + else if(awidth==11)begin + addr[13:0] = {AD[13:3],3'b000}; + mem_t[7:0] = {ram_MEM[addr+7],ram_MEM[addr+6],ram_MEM[addr+5],ram_MEM[addr+4],ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]}; + end + else if(awidth==10)begin + addr[13:0] = {AD[13:4],4'b0000}; + mem_t[15:0] = {ram_MEM[addr+15],ram_MEM[addr+14],ram_MEM[addr+13],ram_MEM[addr+12],ram_MEM[addr+11],ram_MEM[addr+10],ram_MEM[addr+9],ram_MEM[addr+8],ram_MEM[addr+7],ram_MEM[addr+6],ram_MEM[addr+5],ram_MEM[addr+4],ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]}; + end + else if(awidth==9)begin + addr[13:0] = {AD[13:5],5'b00000}; + mem_t[31:0] = {ram_MEM[addr+31],ram_MEM[addr+30],ram_MEM[addr+29],ram_MEM[addr+28],ram_MEM[addr+27],ram_MEM[addr+26],ram_MEM[addr+25],ram_MEM[addr+24],ram_MEM[addr+23],ram_MEM[addr+22],ram_MEM[addr+21],ram_MEM[addr+20],ram_MEM[addr+19],ram_MEM[addr+18],ram_MEM[addr+17],ram_MEM[addr+16],ram_MEM[addr+15],ram_MEM[addr+14],ram_MEM[addr+13],ram_MEM[addr+12],ram_MEM[addr+11],ram_MEM[addr+10],ram_MEM[addr+9],ram_MEM[addr+8],ram_MEM[addr+7],ram_MEM[addr+6],ram_MEM[addr+5],ram_MEM[addr+4],ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]}; + end +end + +assign DO = (READ_MODE === 1'b0)? bp_reg : pl_reg; + +always @ (bp_reg_async or bp_reg_sync or pl_reg_async or pl_reg_sync) begin + if(RESET_MODE == "ASYNC") begin + bp_reg <= bp_reg_async; + pl_reg <= pl_reg_async; + end + else begin + bp_reg <= bp_reg_sync; + pl_reg <= pl_reg_sync; + end +end + +always @(posedge CLK or posedge RESET) begin + if (RESET) begin + pl_reg_async <= 0; + bp_reg_async <= 0; + end else begin + if(OCE) begin + pl_reg_async <= bp_reg; + end + if (pce && !WRE) begin + bp_reg_async[BIT_WIDTH-1:0] <= mem_t[BIT_WIDTH-1:0]; + end + end +end + +always @(posedge CLK) begin + if (RESET) begin + pl_reg_sync <= 0; + bp_reg_sync <= 0; + end else begin + if(OCE) begin + pl_reg_sync <= bp_reg; + end + if (pce && !WRE) begin + bp_reg_sync[BIT_WIDTH-1:0] <= mem_t[BIT_WIDTH-1:0]; + end + end +end + +endmodule // ROM: 16k Block ROM + +module ROMX9 (DO, BLKSEL, AD, WRE, CLK, CE, OCE, RESET); + +parameter READ_MODE = 1'b0; // 1'b0: bypass mode; 1'b1: pipeline mode +parameter BIT_WIDTH = 36; // 9, 18, 36 +parameter BLK_SEL = 3'b000; +parameter RESET_MODE = "SYNC"; //SYNC,ASYNC +parameter INIT_RAM_00 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_01 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_02 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_03 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_04 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_05 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_06 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_07 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_08 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_09 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_10 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_11 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_12 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_13 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_14 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_15 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_16 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_17 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_18 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_19 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_20 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_21 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_22 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_23 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_24 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_25 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_26 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_27 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_28 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_29 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_30 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_31 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_32 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_33 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_34 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_35 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_36 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_37 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_38 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_39 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; + +input CLK, CE; +input OCE; // clock enable of memory output register +input RESET; // resets registers, not memory contents +input WRE; // 1'b0: read enabled; 1'b1: read disabled +input [13:0] AD; +input [2:0] BLKSEL; +output [35:0] DO; + +reg [35:0] bp_reg,bp_reg_async,bp_reg_sync; +reg [35:0] pl_reg,pl_reg_async,pl_reg_sync; +reg [18431:0] ram_MEM = {INIT_RAM_3F, INIT_RAM_3E, INIT_RAM_3D, INIT_RAM_3C,INIT_RAM_3B, INIT_RAM_3A, INIT_RAM_39, INIT_RAM_38,INIT_RAM_37, INIT_RAM_36, INIT_RAM_35, INIT_RAM_34,INIT_RAM_33, INIT_RAM_32, INIT_RAM_31, INIT_RAM_30,INIT_RAM_2F, INIT_RAM_2E, INIT_RAM_2D, INIT_RAM_2C,INIT_RAM_2B, INIT_RAM_2A, INIT_RAM_29, INIT_RAM_28,INIT_RAM_27, INIT_RAM_26, INIT_RAM_25, INIT_RAM_24,INIT_RAM_23, INIT_RAM_22, INIT_RAM_21, INIT_RAM_20,INIT_RAM_1F, INIT_RAM_1E, INIT_RAM_1D, INIT_RAM_1C,INIT_RAM_1B, INIT_RAM_1A, INIT_RAM_19, INIT_RAM_18,INIT_RAM_17, INIT_RAM_16, INIT_RAM_15, INIT_RAM_14,INIT_RAM_13, INIT_RAM_12, INIT_RAM_11, INIT_RAM_10,INIT_RAM_0F, INIT_RAM_0E, INIT_RAM_0D, INIT_RAM_0C, INIT_RAM_0B, INIT_RAM_0A, INIT_RAM_09, INIT_RAM_08,INIT_RAM_07, INIT_RAM_06, INIT_RAM_05, INIT_RAM_04,INIT_RAM_03, INIT_RAM_02, INIT_RAM_01, INIT_RAM_00}; +reg [BIT_WIDTH-1:0] mem_t; +reg [14:0] addr; +reg bs_en; +wire pce; +integer dwidth = BIT_WIDTH; +integer awidth; // ADDR_WIDTH + +initial begin + bp_reg = 0; + pl_reg = 0; + bp_reg_async = 0; + bp_reg_sync = 0; + pl_reg_async = 0; + pl_reg_sync = 0; +end + +initial begin + case(dwidth) + 9: begin awidth = 11; + end + 18: begin awidth = 10; + end + 36: begin awidth = 9; + end + default: begin + // $display ("%d: Unsupported data width\n", dwidth); + // $finish; + end + endcase +end + +always@(AD,awidth,WRE)begin + if(awidth==11)begin + addr[14:0] = AD[13:3]*dwidth; + mem_t[8:0] = {ram_MEM[addr+8],ram_MEM[addr+7],ram_MEM[addr+6],ram_MEM[addr+5],ram_MEM[addr+4],ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]}; + + end + else if(awidth==10)begin + addr[14:0] = AD[13:4]*dwidth; + mem_t[17:0] = {ram_MEM[addr+17],ram_MEM[addr+16],ram_MEM[addr+15],ram_MEM[addr+14],ram_MEM[addr+13],ram_MEM[addr+12],ram_MEM[addr+11],ram_MEM[addr+10],ram_MEM[addr+9],ram_MEM[addr+8],ram_MEM[addr+7],ram_MEM[addr+6],ram_MEM[addr+5],ram_MEM[addr+4],ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]}; + end + else if(awidth==9)begin + addr[14:0] = AD[13:5]*dwidth; + mem_t[35:0] = {ram_MEM[addr+35],ram_MEM[addr+34],ram_MEM[addr+33],ram_MEM[addr+32],ram_MEM[addr+31],ram_MEM[addr+30],ram_MEM[addr+29],ram_MEM[addr+28],ram_MEM[addr+27],ram_MEM[addr+26],ram_MEM[addr+25],ram_MEM[addr+24],ram_MEM[addr+23],ram_MEM[addr+22],ram_MEM[addr+21],ram_MEM[addr+20],ram_MEM[addr+19],ram_MEM[addr+18],ram_MEM[addr+17],ram_MEM[addr+16],ram_MEM[addr+15],ram_MEM[addr+14],ram_MEM[addr+13],ram_MEM[addr+12],ram_MEM[addr+11],ram_MEM[addr+10],ram_MEM[addr+9],ram_MEM[addr+8],ram_MEM[addr+7],ram_MEM[addr+6],ram_MEM[addr+5],ram_MEM[addr+4],ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]}; + end +end + +assign DO = (READ_MODE === 1'b0)? bp_reg: pl_reg; + +assign pce = CE && bs_en; +always @ (BLKSEL) begin + if(BLKSEL == BLK_SEL) begin + bs_en = 1; + end else begin + bs_en = 0; + end +end + +always @ (bp_reg_async or bp_reg_sync or pl_reg_async or pl_reg_sync) begin + if(RESET_MODE == "ASYNC") begin + bp_reg <= bp_reg_async; + pl_reg <= pl_reg_async; + end + else begin + bp_reg <= bp_reg_sync; + pl_reg <= pl_reg_sync; + end +end + +always @(posedge CLK or posedge RESET) begin + if (RESET) begin + pl_reg_async <= 0; + bp_reg_async <= 0; + end else begin + if(OCE) begin + pl_reg_async <= bp_reg; + end + if (pce && !WRE) begin + bp_reg_async[BIT_WIDTH-1:0] <= mem_t[BIT_WIDTH-1:0]; + end + end +end + +always @(posedge CLK) begin + if (RESET) begin + pl_reg_sync <= 0; + bp_reg_sync <= 0; + end else begin + if(OCE) begin + pl_reg_sync <= bp_reg; + end + if (pce && !WRE) begin + bp_reg_sync[BIT_WIDTH-1:0] <= mem_t[BIT_WIDTH-1:0]; + end + end +end + +endmodule // ROMX9: 18k Block ROM + +//rSDP +module rSDP (DO, DI, BLKSEL, ADA, ADB, CLKA, CLKB, CEA, CEB, OCE, RESETA, RESETB); + +parameter READ_MODE = 1'b0; // 1'b0: bypass mode; 1'b1: pipeline mode +parameter BIT_WIDTH_0 = 32; // 1, 2, 4, 8, 16, 32 +parameter BIT_WIDTH_1 = 32; // 1, 2, 4, 8, 16, 32 +parameter BLK_SEL = 3'b000; +parameter RESET_MODE = "SYNC"; //SYNC,ASYNC +parameter INIT_RAM_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2F = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_30 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_31 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_32 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_33 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_34 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_35 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_36 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_37 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_38 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_39 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + +input CLKA, CEA, CLKB, CEB; +input OCE; // clock enable of memory output register +input RESETA, RESETB; // resets output registers, not memory contents +input [13:0] ADA, ADB; +input [31:0] DI; +input [2:0] BLKSEL; +output [31:0] DO; + +reg [31:0] pl_reg,pl_reg_async,pl_reg_sync; +reg [31:0] bp_reg,bp_reg_async,bp_reg_sync; +reg [16383:0] ram_MEM ={INIT_RAM_3F, INIT_RAM_3E, INIT_RAM_3D, INIT_RAM_3C,INIT_RAM_3B, INIT_RAM_3A, INIT_RAM_39, INIT_RAM_38,INIT_RAM_37, INIT_RAM_36, INIT_RAM_35, INIT_RAM_34,INIT_RAM_33, INIT_RAM_32, INIT_RAM_31, INIT_RAM_30,INIT_RAM_2F, INIT_RAM_2E, INIT_RAM_2D, INIT_RAM_2C,INIT_RAM_2B, INIT_RAM_2A, INIT_RAM_29, INIT_RAM_28,INIT_RAM_27, INIT_RAM_26, INIT_RAM_25, INIT_RAM_24,INIT_RAM_23, INIT_RAM_22, INIT_RAM_21, INIT_RAM_20,INIT_RAM_1F, INIT_RAM_1E, INIT_RAM_1D, INIT_RAM_1C,INIT_RAM_1B, INIT_RAM_1A, INIT_RAM_19, INIT_RAM_18,INIT_RAM_17, INIT_RAM_16, INIT_RAM_15, INIT_RAM_14,INIT_RAM_13, INIT_RAM_12, INIT_RAM_11, INIT_RAM_10,INIT_RAM_0F, INIT_RAM_0E, INIT_RAM_0D, INIT_RAM_0C, INIT_RAM_0B, INIT_RAM_0A, INIT_RAM_09, INIT_RAM_08,INIT_RAM_07, INIT_RAM_06, INIT_RAM_05, INIT_RAM_04,INIT_RAM_03, INIT_RAM_02, INIT_RAM_01, INIT_RAM_00} ; +reg [BIT_WIDTH_0-1:0] mem_a; +reg [BIT_WIDTH_1-1:0] mem_b; +reg [13:0] addr_a, addr_b; +reg mc,bs_en; +wire pcea; +wire pceb; + +integer bit_width_d0 = BIT_WIDTH_0; +integer bit_width_d1 = BIT_WIDTH_1; +integer bit_width_a0, bit_width_a1; // ADDR_WIDTH + +initial begin + bp_reg = 0; + pl_reg = 0; + bp_reg_async = 0; + bp_reg_sync = 0; + pl_reg_async = 0; + pl_reg_sync = 0; + mc = 1'b0; +end + +initial begin + case(bit_width_d0) + 1: bit_width_a0 = 14; + 2: bit_width_a0 = 13; + 4: bit_width_a0 = 12; + 8: bit_width_a0 = 11; + 16: bit_width_a0 = 10; + 32: bit_width_a0 = 9; + default: begin + // $display ("%d: Unsupported data width\n", bit_width_d0); + // $finish; + end + endcase + case(bit_width_d1) + 1: bit_width_a1 = 14; + 2: bit_width_a1 = 13; + 4: bit_width_a1 = 12; + 8: bit_width_a1 = 11; + 16: bit_width_a1 = 10; + 32: bit_width_a1 = 9; + default: begin + // $display ("%d: Unsupported data width\n", bit_width_d1); + // $finish; + end + endcase +end + +assign DO = (READ_MODE == 1'b0)? bp_reg: pl_reg; + +assign pcea = CEA && bs_en; +assign pceb = CEB && bs_en; +always @ (BLKSEL) +begin + if(BLKSEL == BLK_SEL) begin + bs_en = 1; + end else begin + bs_en = 0; + end +end + +always@(ADA,ADB,bit_width_a0,bit_width_a1,mc)begin + if(bit_width_a0==14)begin + addr_a[13:0] = ADA[13:0]; + mem_a[0] = ram_MEM[addr_a]; + end + else if(bit_width_a0==13)begin + addr_a[13:0] = {ADA[13:1],1'b0}; + mem_a[1:0] = {ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + else if(bit_width_a0==12)begin + addr_a[13:0] = {ADA[13:2],2'b00}; + mem_a[3:0] = {ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + else if(bit_width_a0==11)begin + addr_a[13:0] = {ADA[13:3],3'b000}; + mem_a[7:0] = {ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + else if(bit_width_a0==10)begin + addr_a[13:0] = {ADA[13:4],4'b0000}; + mem_a[15:0] = {ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9],ram_MEM[addr_a+8],ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + else if(bit_width_a0==9)begin + addr_a[13:0] = {ADA[13:5],5'b00000}; + mem_a[31:0] = {ram_MEM[addr_a+31],ram_MEM[addr_a+30],ram_MEM[addr_a+29],ram_MEM[addr_a+28],ram_MEM[addr_a+27],ram_MEM[addr_a+26],ram_MEM[addr_a+25],ram_MEM[addr_a+24],ram_MEM[addr_a+23],ram_MEM[addr_a+22],ram_MEM[addr_a+21],ram_MEM[addr_a+20],ram_MEM[addr_a+19],ram_MEM[addr_a+18],ram_MEM[addr_a+17],ram_MEM[addr_a+16],ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9],ram_MEM[addr_a+8],ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + if(bit_width_a1==14)begin + addr_b[13:0] = ADB[13:0]; + mem_b[0]=ram_MEM[addr_b]; + end + else if(bit_width_a1==13)begin + addr_b[13:0] = {ADB[13:1],1'b0}; + mem_b[1:0]={ram_MEM[addr_b+1],ram_MEM[addr_b]}; + end + else if(bit_width_a1==12)begin + addr_b[13:0] = {ADB[13:2],2'b00}; + mem_b[3:0]={ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}; + end + else if(bit_width_a1==11)begin + addr_b[13:0] = {ADB[13:3],3'b000}; + mem_b[7:0]={ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}; + end + else if(bit_width_a1==10)begin + addr_b[13:0] = {ADB[13:4],4'b0000}; + mem_b[15:0]={ram_MEM[addr_b+15],ram_MEM[addr_b+14],ram_MEM[addr_b+13],ram_MEM[addr_b+12],ram_MEM[addr_b+11],ram_MEM[addr_b+10],ram_MEM[addr_b+9],ram_MEM[addr_b+8],ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}; + + end + else if(bit_width_a1==9)begin + addr_b[13:0] = {ADB[13:5],5'b00000}; + mem_b[31:0]={ ram_MEM[addr_b+31],ram_MEM[addr_b+30],ram_MEM[addr_b+29],ram_MEM[addr_b+28],ram_MEM[addr_b+27],ram_MEM[addr_b+26],ram_MEM[addr_b+25],ram_MEM[addr_b+24],ram_MEM[addr_b+23],ram_MEM[addr_b+22],ram_MEM[addr_b+21],ram_MEM[addr_b+20],ram_MEM[addr_b+19],ram_MEM[addr_b+18],ram_MEM[addr_b+17],ram_MEM[addr_b+16],ram_MEM[addr_b+15],ram_MEM[addr_b+14],ram_MEM[addr_b+13],ram_MEM[addr_b+12],ram_MEM[addr_b+11],ram_MEM[addr_b+10],ram_MEM[addr_b+9],ram_MEM[addr_b+8],ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}; + + end +end + +always @(posedge CLKA) begin + if (pcea) begin + if(bit_width_d0==1) + ram_MEM[addr_a] <= DI[0]; + else if(bit_width_d0==2) + {ram_MEM[addr_a+1],ram_MEM[addr_a]}<=DI[BIT_WIDTH_0-1:0]; + else if(bit_width_d0==4) + {ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}<=DI[BIT_WIDTH_0-1:0]; + else if(bit_width_d0==8) + {ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}<=DI[BIT_WIDTH_0-1:0]; + else if(bit_width_d0==16) begin + if(ADA[0] == 1'b1) + {ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}<=DI[7:0]; + if(ADA[1] ==1'b1) + {ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9],ram_MEM[addr_a+8]}<=DI[15:8]; + end + else if(bit_width_d0==32) begin + if(ADA[0] == 1'b1) + {ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}<=DI[7:0]; + if(ADA[1] == 1'b1) + {ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9],ram_MEM[addr_a+8]}<=DI[15:8]; + if(ADA[2] == 1'b1) + {ram_MEM[addr_a+23],ram_MEM[addr_a+22],ram_MEM[addr_a+21],ram_MEM[addr_a+20],ram_MEM[addr_a+19],ram_MEM[addr_a+18],ram_MEM[addr_a+17],ram_MEM[addr_a+16]} <=DI[23:16]; + if(ADA[3] == 1'b1) + {ram_MEM[addr_a+31],ram_MEM[addr_a+30],ram_MEM[addr_a+29],ram_MEM[addr_a+28],ram_MEM[addr_a+27],ram_MEM[addr_a+26],ram_MEM[addr_a+25],ram_MEM[addr_a+24]} <=DI[31:24]; + end + mc <= ~mc; + end +end + +always @ (bp_reg_async or bp_reg_sync or pl_reg_async or pl_reg_sync) begin + if(RESET_MODE == "ASYNC") begin + bp_reg <= bp_reg_async; + pl_reg <= pl_reg_async; + end + else begin + bp_reg <= bp_reg_sync; + pl_reg <= pl_reg_sync; + end +end + +always @(posedge CLKB or posedge RESETB) begin + if (RESETB) begin + pl_reg_async <= 0; + bp_reg_async <= 0; + end else begin + if(OCE) begin + pl_reg_async <= bp_reg; + end + if (pceb) begin + bp_reg_async[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + end + end +end + +always @(posedge CLKB) begin + if (RESETB) begin + pl_reg_sync <= 0; + bp_reg_sync <= 0; + end else begin + if(OCE) begin + pl_reg_sync <= bp_reg; + end + if (pceb) begin + bp_reg_sync[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + end + end +end + +endmodule // rSDP: revision Semi dual port 16k Block SRAM + +//rSDPX9 +module rSDPX9 (DO, DI, BLKSEL, ADA, ADB, CLKA, CLKB, CEA, CEB, OCE, RESETA, RESETB); + +parameter READ_MODE = 1'b0; // 1'b0: bypass mode; 1'b1: pipeline mode +parameter BIT_WIDTH_0 = 36; // 9, 18, 36 +parameter BIT_WIDTH_1 = 36; // 9, 18, 36 +parameter BLK_SEL = 3'b000; +parameter RESET_MODE = "SYNC"; //SYNC,ASYNC +parameter INIT_RAM_00 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_01 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_02 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_03 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_04 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_05 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_06 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_07 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_08 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_09 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_10 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_11 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_12 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_13 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_14 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_15 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_16 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_17 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_18 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_19 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_20 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_21 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_22 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_23 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_24 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_25 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_26 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_27 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_28 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_29 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_30 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_31 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_32 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_33 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_34 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_35 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_36 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_37 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_38 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_39 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; + +input CLKA, CEA, CLKB, CEB; +input OCE; // clock enable of memory output register +input RESETA, RESETB; // resets output registers, not memory contents +input [13:0] ADA, ADB; +input [2:0] BLKSEL; +input [35:0] DI; +output [35:0] DO; + +reg [35:0] bp_reg,bp_reg_async,bp_reg_sync; +reg [35:0] pl_reg,pl_reg_async,pl_reg_sync; +reg [18431:0] ram_MEM = {INIT_RAM_3F, INIT_RAM_3E, INIT_RAM_3D, INIT_RAM_3C,INIT_RAM_3B, INIT_RAM_3A, INIT_RAM_39, INIT_RAM_38,INIT_RAM_37, INIT_RAM_36, INIT_RAM_35, INIT_RAM_34,INIT_RAM_33, INIT_RAM_32, INIT_RAM_31, INIT_RAM_30,INIT_RAM_2F, INIT_RAM_2E, INIT_RAM_2D, INIT_RAM_2C,INIT_RAM_2B, INIT_RAM_2A, INIT_RAM_29, INIT_RAM_28,INIT_RAM_27, INIT_RAM_26, INIT_RAM_25, INIT_RAM_24,INIT_RAM_23, INIT_RAM_22, INIT_RAM_21, INIT_RAM_20,INIT_RAM_1F, INIT_RAM_1E, INIT_RAM_1D, INIT_RAM_1C,INIT_RAM_1B, INIT_RAM_1A, INIT_RAM_19, INIT_RAM_18,INIT_RAM_17, INIT_RAM_16, INIT_RAM_15, INIT_RAM_14,INIT_RAM_13, INIT_RAM_12, INIT_RAM_11, INIT_RAM_10,INIT_RAM_0F, INIT_RAM_0E, INIT_RAM_0D, INIT_RAM_0C, INIT_RAM_0B, INIT_RAM_0A, INIT_RAM_09, INIT_RAM_08,INIT_RAM_07, INIT_RAM_06, INIT_RAM_05, INIT_RAM_04,INIT_RAM_03, INIT_RAM_02, INIT_RAM_01, INIT_RAM_00}; +reg [BIT_WIDTH_0-1:0] mem_a; +reg [BIT_WIDTH_1-1:0] mem_b; +reg [14:0] addr_a, addr_b; +reg mc,bs_en; +wire pcea,pceb; +integer bit_width_d0 = BIT_WIDTH_0; +integer bit_width_d1 = BIT_WIDTH_1; +integer bit_width_a0, bit_width_a1; // ADDR_WIDTH + +initial begin + bp_reg = 0; + pl_reg = 0; + bp_reg_async = 0; + bp_reg_sync = 0; + pl_reg_async = 0; + pl_reg_sync = 0; + mc = 1'b0; +end + +initial begin + case(bit_width_d0) + 9: bit_width_a0 = 11; + 18: bit_width_a0 = 10; + 36: bit_width_a0 = 9; + default: begin + // $display ("%d: Unsupported data width\n", bit_width_d0); + // $finish; + end + endcase + case(bit_width_d1) + 9: bit_width_a1 = 11; + 18: bit_width_a1 = 10; + 36: bit_width_a1 = 9; + default: begin + // $display ("%d: Unsupported data width\n", bit_width_d1); + // $finish; + end + endcase +end + +assign DO = (READ_MODE == 1'b0)? bp_reg: pl_reg; + +assign pcea = CEA && bs_en; +assign pceb = CEB && bs_en; +always @ (BLKSEL) +begin + if(BLKSEL == BLK_SEL) begin + bs_en = 1; + end else begin + bs_en = 0; + end +end + +always@(ADA,ADB,bit_width_a0,bit_width_a1,mc)begin + if(bit_width_a0==11)begin + addr_a[14:0] = ADA[13:3]*bit_width_d0; + mem_a[8:0] = {ram_MEM[addr_a+8],ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + else if(bit_width_a0==10)begin + addr_a[14:0] = ADA[13:4]*bit_width_d0; + mem_a[17:0] = {ram_MEM[addr_a+17],ram_MEM[addr_a+16],ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9],ram_MEM[addr_a+8],ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + else if(bit_width_a0==9)begin + addr_a[14:0] = ADA[13:5]*bit_width_d0; + mem_a[35:0] = {ram_MEM[addr_a+35],ram_MEM[addr_a+34],ram_MEM[addr_a+33],ram_MEM[addr_a+32],ram_MEM[addr_a+31],ram_MEM[addr_a+30],ram_MEM[addr_a+29],ram_MEM[addr_a+28],ram_MEM[addr_a+27],ram_MEM[addr_a+26],ram_MEM[addr_a+25],ram_MEM[addr_a+24],ram_MEM[addr_a+23],ram_MEM[addr_a+22],ram_MEM[addr_a+21],ram_MEM[addr_a+20],ram_MEM[addr_a+19],ram_MEM[addr_a+18],ram_MEM[addr_a+17],ram_MEM[addr_a+16],ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9],ram_MEM[addr_a+8],ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + + if(bit_width_a1==11)begin + addr_b[14:0] = ADB[13:3]*bit_width_d1; + mem_b[8:0] = {ram_MEM[addr_b+8],ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}; + end + else if(bit_width_a1==10)begin + addr_b[14:0] = ADB[13:4]*bit_width_d1; + mem_b[17:0] = {ram_MEM[addr_b+17],ram_MEM[addr_b+16],ram_MEM[addr_b+15],ram_MEM[addr_b+14],ram_MEM[addr_b+13],ram_MEM[addr_b+12],ram_MEM[addr_b+11],ram_MEM[addr_b+10],ram_MEM[addr_b+9],ram_MEM[addr_b+8],ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}; + end + else if(bit_width_a1==9)begin + addr_b[14:0] = ADB[13:5]*bit_width_d1; + mem_b[35:0] = {ram_MEM[addr_b+35],ram_MEM[addr_b+34],ram_MEM[addr_b+33],ram_MEM[addr_b+32],ram_MEM[addr_b+31],ram_MEM[addr_b+30],ram_MEM[addr_b+29],ram_MEM[addr_b+28],ram_MEM[addr_b+27],ram_MEM[addr_b+26],ram_MEM[addr_b+25],ram_MEM[addr_b+24],ram_MEM[addr_b+23],ram_MEM[addr_b+22],ram_MEM[addr_b+21],ram_MEM[addr_b+20],ram_MEM[addr_b+19],ram_MEM[addr_b+18],ram_MEM[addr_b+17],ram_MEM[addr_b+16],ram_MEM[addr_b+15],ram_MEM[addr_b+14],ram_MEM[addr_b+13],ram_MEM[addr_b+12],ram_MEM[addr_b+11],ram_MEM[addr_b+10],ram_MEM[addr_b+9],ram_MEM[addr_b+8],ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}; + end +end + +always @(posedge CLKA) begin + if (pcea) begin + if(bit_width_d0 == 9) begin + {ram_MEM [addr_a+8],ram_MEM [addr_a+7], ram_MEM [addr_a+6], ram_MEM [addr_a+5], ram_MEM [addr_a+4], ram_MEM [addr_a+3], ram_MEM [addr_a+2], ram_MEM [addr_a+1], ram_MEM [addr_a]} <= DI[8:0]; + end else if(bit_width_d0 == 18) begin + if(ADA[0] == 1'b1) + {ram_MEM [addr_a+8],ram_MEM [addr_a+7], ram_MEM [addr_a+6], ram_MEM [addr_a+5], ram_MEM [addr_a+4], ram_MEM [addr_a+3], ram_MEM [addr_a+2], ram_MEM [addr_a+1], ram_MEM [addr_a]} <= DI[8:0]; + if(ADA[1] == 1'b1) + {ram_MEM[addr_a+17],ram_MEM[addr_a+16],ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9]} <= DI[17:9]; + end + else if(bit_width_d0 == 36) begin + if(ADA[0] == 1'b1) + {ram_MEM [addr_a+8],ram_MEM [addr_a+7], ram_MEM [addr_a+6], ram_MEM [addr_a+5], ram_MEM [addr_a+4], ram_MEM [addr_a+3], ram_MEM [addr_a+2], ram_MEM [addr_a+1], ram_MEM [addr_a]} <= DI[8:0]; + if(ADA[1] == 1'b1) + {ram_MEM[addr_a+17],ram_MEM[addr_a+16],ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9]} <= DI[17:9]; + if(ADA[2] == 1'b1) + {ram_MEM[addr_a+26],ram_MEM[addr_a+25],ram_MEM[addr_a+24],ram_MEM[addr_a+23],ram_MEM[addr_a+22],ram_MEM[addr_a+21],ram_MEM[addr_a+20],ram_MEM[addr_a+19],ram_MEM[addr_a+18]} <= DI[26:18]; + if(ADA[3] == 1'b1) + {ram_MEM[addr_a+35],ram_MEM[addr_a+34],ram_MEM[addr_a+33],ram_MEM[addr_a+32],ram_MEM[addr_a+31],ram_MEM[addr_a+30],ram_MEM[addr_a+29],ram_MEM[addr_a+28],ram_MEM[addr_a+27]} <= DI[35:27]; + end + mc <= ~mc; + end +end + +always @ (bp_reg_async or bp_reg_sync or pl_reg_async or pl_reg_sync) begin + if(RESET_MODE == "ASYNC") begin + bp_reg <= bp_reg_async; + pl_reg <= pl_reg_async; + end + else begin + bp_reg <= bp_reg_sync; + pl_reg <= pl_reg_sync; + end +end + +always @(posedge CLKB or posedge RESETB) begin + if (RESETB) begin + bp_reg_async <=0; + pl_reg_async <= 0; + end else begin + if(OCE) begin + pl_reg_async <= bp_reg; + end + if (pceb) begin + bp_reg_async[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + end + end +end + +always @(posedge CLKB) begin + if (RESETB) begin + bp_reg_sync <=0; + pl_reg_sync <= 0; + end else begin + if(OCE) begin + pl_reg_sync <= bp_reg; + end + if (pceb) begin + bp_reg_sync[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + end + end +end + +endmodule // rSDPX9: revision Semi dual port 18k Block SRAM + +//rROM +module rROM (DO, BLKSEL, AD, CLK, CE, OCE, RESET); + +parameter READ_MODE = 1'b0; // 1'b0: bypass mode; 1'b1: pipeline mode +parameter BIT_WIDTH = 32; // 1, 2, 4, 8, 16, 32 +parameter BLK_SEL = 3'b000; +parameter RESET_MODE = "SYNC"; //SYNC, ASYNC +parameter INIT_RAM_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2F = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_30 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_31 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_32 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_33 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_34 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_35 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_36 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_37 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_38 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_39 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + +input CLK, CE; +input OCE; // clock enable of memory output register +input RESET; // resets registers, not memory contents +input [13:0] AD; +input [2:0] BLKSEL; +output [31:0] DO; +reg [31:0] bp_reg,bp_reg_async,bp_reg_sync; +reg [31:0] pl_reg,pl_reg_async,pl_reg_sync; +reg [16383:0] ram_MEM = {INIT_RAM_3F, INIT_RAM_3E, INIT_RAM_3D, INIT_RAM_3C,INIT_RAM_3B, INIT_RAM_3A, INIT_RAM_39, INIT_RAM_38,INIT_RAM_37, INIT_RAM_36, INIT_RAM_35, INIT_RAM_34,INIT_RAM_33, INIT_RAM_32, INIT_RAM_31, INIT_RAM_30,INIT_RAM_2F, INIT_RAM_2E, INIT_RAM_2D, INIT_RAM_2C,INIT_RAM_2B, INIT_RAM_2A, INIT_RAM_29, INIT_RAM_28,INIT_RAM_27, INIT_RAM_26, INIT_RAM_25, INIT_RAM_24,INIT_RAM_23, INIT_RAM_22, INIT_RAM_21, INIT_RAM_20,INIT_RAM_1F, INIT_RAM_1E, INIT_RAM_1D, INIT_RAM_1C,INIT_RAM_1B, INIT_RAM_1A, INIT_RAM_19, INIT_RAM_18,INIT_RAM_17, INIT_RAM_16, INIT_RAM_15, INIT_RAM_14,INIT_RAM_13, INIT_RAM_12, INIT_RAM_11, INIT_RAM_10,INIT_RAM_0F, INIT_RAM_0E, INIT_RAM_0D, INIT_RAM_0C, INIT_RAM_0B, INIT_RAM_0A, INIT_RAM_09, INIT_RAM_08,INIT_RAM_07, INIT_RAM_06, INIT_RAM_05, INIT_RAM_04,INIT_RAM_03, INIT_RAM_02, INIT_RAM_01, INIT_RAM_00}; +reg [BIT_WIDTH-1:0] mem_t; +reg [13:0] addr; +reg bs_en; +wire pce; +integer dwidth = BIT_WIDTH; +integer awidth; // ADDR_WIDTH + +initial begin + bp_reg = 0; + pl_reg = 0; + bp_reg_async = 0; + bp_reg_sync = 0; + pl_reg_async = 0; + pl_reg_sync = 0; +end + +initial begin + case(dwidth) + 1: begin + awidth = 14; + end + 2: begin + awidth = 13; + end + 4: begin + awidth = 12; + end + 8: begin + awidth = 11; + end + 16: begin + awidth = 10; + end + 32: begin + awidth = 9; + end + default: begin + // $display ("%d: Unsupported data width\n", dwidth); + // $finish; + end + endcase +end + +assign pce = CE && bs_en; +always @ (BLKSEL) begin + if(BLKSEL == BLK_SEL) begin + bs_en = 1; + end else begin + bs_en = 0; + end +end + +always@(AD,awidth)begin + if(awidth==14)begin + addr[13:0] = AD[13:0]; + mem_t[0] = ram_MEM[addr]; + end + else if(awidth==13)begin + addr[13:0] = {AD[13:1],1'b0}; + mem_t[1:0] = {ram_MEM[addr+1],ram_MEM[addr]}; + end + else if(awidth==12)begin + addr[13:0] = {AD[13:2],2'b00}; + mem_t[3:0] = {ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]}; + end + else if(awidth==11)begin + addr[13:0] = {AD[13:3],3'b000}; + mem_t[7:0] = {ram_MEM[addr+7],ram_MEM[addr+6],ram_MEM[addr+5],ram_MEM[addr+4],ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]}; + end + else if(awidth==10)begin + addr[13:0] = {AD[13:4],4'b0000}; + mem_t[15:0] = {ram_MEM[addr+15],ram_MEM[addr+14],ram_MEM[addr+13],ram_MEM[addr+12],ram_MEM[addr+11],ram_MEM[addr+10],ram_MEM[addr+9],ram_MEM[addr+8],ram_MEM[addr+7],ram_MEM[addr+6],ram_MEM[addr+5],ram_MEM[addr+4],ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]}; + end + else if(awidth==9)begin + addr[13:0] = {AD[13:5],5'b00000}; + mem_t[31:0] = {ram_MEM[addr+31],ram_MEM[addr+30],ram_MEM[addr+29],ram_MEM[addr+28],ram_MEM[addr+27],ram_MEM[addr+26],ram_MEM[addr+25],ram_MEM[addr+24],ram_MEM[addr+23],ram_MEM[addr+22],ram_MEM[addr+21],ram_MEM[addr+20],ram_MEM[addr+19],ram_MEM[addr+18],ram_MEM[addr+17],ram_MEM[addr+16],ram_MEM[addr+15],ram_MEM[addr+14],ram_MEM[addr+13],ram_MEM[addr+12],ram_MEM[addr+11],ram_MEM[addr+10],ram_MEM[addr+9],ram_MEM[addr+8],ram_MEM[addr+7],ram_MEM[addr+6],ram_MEM[addr+5],ram_MEM[addr+4],ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]}; + end +end + +assign DO = (READ_MODE === 1'b0)? bp_reg : pl_reg; + +always @ (bp_reg_async or bp_reg_sync or pl_reg_async or pl_reg_sync) begin + if(RESET_MODE == "ASYNC") begin + bp_reg <= bp_reg_async; + pl_reg <= pl_reg_async; + end + else begin + bp_reg <= bp_reg_sync; + pl_reg <= pl_reg_sync; + end +end + +always @(posedge CLK or posedge RESET) begin + if (RESET) begin + pl_reg_async <= 0; + bp_reg_async <= 0; + end else begin + if(OCE) begin + pl_reg_async <= bp_reg; + end + if (pce) begin + bp_reg_async[BIT_WIDTH-1:0] <= mem_t[BIT_WIDTH-1:0]; + end + end +end + +always @(posedge CLK) begin + if (RESET) begin + pl_reg_sync <= 0; + bp_reg_sync <= 0; + end else begin + if(OCE) begin + pl_reg_sync <= bp_reg; + end + if (pce) begin + bp_reg_sync[BIT_WIDTH-1:0] <= mem_t[BIT_WIDTH-1:0]; + end + end +end + +endmodule // rROM: revision 16k Block ROM + +//rROMX9 +module rROMX9 (DO, BLKSEL, AD, CLK, CE, OCE, RESET); + +parameter READ_MODE = 1'b0; // 1'b0: bypass mode; 1'b1: pipeline mode +parameter BIT_WIDTH = 36; // 9, 18, 36 +parameter BLK_SEL = 3'b000; +parameter RESET_MODE = "SYNC"; //SYNC,ASYNC +parameter INIT_RAM_00 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_01 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_02 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_03 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_04 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_05 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_06 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_07 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_08 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_09 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_10 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_11 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_12 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_13 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_14 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_15 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_16 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_17 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_18 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_19 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_20 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_21 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_22 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_23 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_24 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_25 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_26 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_27 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_28 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_29 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_30 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_31 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_32 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_33 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_34 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_35 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_36 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_37 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_38 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_39 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; + +input CLK, CE; +input OCE; // clock enable of memory output register +input RESET; // resets registers, not memory contents +input [13:0] AD; +input [2:0] BLKSEL; +output [35:0] DO; + +reg [35:0] bp_reg,bp_reg_async,bp_reg_sync; +reg [35:0] pl_reg,pl_reg_async,pl_reg_sync; +reg [18431:0] ram_MEM = {INIT_RAM_3F, INIT_RAM_3E, INIT_RAM_3D, INIT_RAM_3C,INIT_RAM_3B, INIT_RAM_3A, INIT_RAM_39, INIT_RAM_38,INIT_RAM_37, INIT_RAM_36, INIT_RAM_35, INIT_RAM_34,INIT_RAM_33, INIT_RAM_32, INIT_RAM_31, INIT_RAM_30,INIT_RAM_2F, INIT_RAM_2E, INIT_RAM_2D, INIT_RAM_2C,INIT_RAM_2B, INIT_RAM_2A, INIT_RAM_29, INIT_RAM_28,INIT_RAM_27, INIT_RAM_26, INIT_RAM_25, INIT_RAM_24,INIT_RAM_23, INIT_RAM_22, INIT_RAM_21, INIT_RAM_20,INIT_RAM_1F, INIT_RAM_1E, INIT_RAM_1D, INIT_RAM_1C,INIT_RAM_1B, INIT_RAM_1A, INIT_RAM_19, INIT_RAM_18,INIT_RAM_17, INIT_RAM_16, INIT_RAM_15, INIT_RAM_14,INIT_RAM_13, INIT_RAM_12, INIT_RAM_11, INIT_RAM_10,INIT_RAM_0F, INIT_RAM_0E, INIT_RAM_0D, INIT_RAM_0C, INIT_RAM_0B, INIT_RAM_0A, INIT_RAM_09, INIT_RAM_08,INIT_RAM_07, INIT_RAM_06, INIT_RAM_05, INIT_RAM_04,INIT_RAM_03, INIT_RAM_02, INIT_RAM_01, INIT_RAM_00}; +reg [BIT_WIDTH-1:0] mem_t; +reg [14:0] addr; +reg bs_en; +wire pce; +integer dwidth = BIT_WIDTH; +integer awidth; // ADDR_WIDTH + +initial begin + bp_reg = 0; + pl_reg = 0; + bp_reg_async = 0; + bp_reg_sync = 0; + pl_reg_async = 0; + pl_reg_sync = 0; +end + +initial begin + case(dwidth) + 9: begin awidth = 11; + end + 18: begin awidth = 10; + end + 36: begin awidth = 9; + end + default: begin + // $display ("%d: Unsupported data width\n", dwidth); + // $finish; + end + endcase +end + +always@(AD,awidth)begin + if(awidth==11)begin + addr[14:0] = AD[13:3]*dwidth; + mem_t[8:0] = {ram_MEM[addr+8],ram_MEM[addr+7],ram_MEM[addr+6],ram_MEM[addr+5],ram_MEM[addr+4],ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]}; + + end + else if(awidth==10)begin + addr[14:0] = AD[13:4]*dwidth; + mem_t[17:0] = {ram_MEM[addr+17],ram_MEM[addr+16],ram_MEM[addr+15],ram_MEM[addr+14],ram_MEM[addr+13],ram_MEM[addr+12],ram_MEM[addr+11],ram_MEM[addr+10],ram_MEM[addr+9],ram_MEM[addr+8],ram_MEM[addr+7],ram_MEM[addr+6],ram_MEM[addr+5],ram_MEM[addr+4],ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]}; + end + else if(awidth==9)begin + addr[14:0] = AD[13:5]*dwidth; + mem_t[35:0] = {ram_MEM[addr+35],ram_MEM[addr+34],ram_MEM[addr+33],ram_MEM[addr+32],ram_MEM[addr+31],ram_MEM[addr+30],ram_MEM[addr+29],ram_MEM[addr+28],ram_MEM[addr+27],ram_MEM[addr+26],ram_MEM[addr+25],ram_MEM[addr+24],ram_MEM[addr+23],ram_MEM[addr+22],ram_MEM[addr+21],ram_MEM[addr+20],ram_MEM[addr+19],ram_MEM[addr+18],ram_MEM[addr+17],ram_MEM[addr+16],ram_MEM[addr+15],ram_MEM[addr+14],ram_MEM[addr+13],ram_MEM[addr+12],ram_MEM[addr+11],ram_MEM[addr+10],ram_MEM[addr+9],ram_MEM[addr+8],ram_MEM[addr+7],ram_MEM[addr+6],ram_MEM[addr+5],ram_MEM[addr+4],ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]}; + end +end + +assign DO = (READ_MODE === 1'b0)? bp_reg: pl_reg; + +assign pce = CE && bs_en; +always @ (BLKSEL) begin + if(BLKSEL == BLK_SEL) begin + bs_en = 1; + end else begin + bs_en = 0; + end +end + +always @ (bp_reg_async or bp_reg_sync or pl_reg_async or pl_reg_sync) begin + if(RESET_MODE == "ASYNC") begin + bp_reg <= bp_reg_async; + pl_reg <= pl_reg_async; + end + else begin + bp_reg <= bp_reg_sync; + pl_reg <= pl_reg_sync; + end +end + +always @(posedge CLK or posedge RESET) begin + if (RESET) begin + pl_reg_async <= 0; + bp_reg_async <= 0; + end else begin + if(OCE) begin + pl_reg_async <= bp_reg; + end + if (pce) begin + bp_reg_async[BIT_WIDTH-1:0] <= mem_t[BIT_WIDTH-1:0]; + end + end +end + +always @(posedge CLK) begin + if (RESET) begin + pl_reg_sync <= 0; + bp_reg_sync <= 0; + end else begin + if(OCE) begin + pl_reg_sync <= bp_reg; + end + if (pce) begin + bp_reg_sync[BIT_WIDTH-1:0] <= mem_t[BIT_WIDTH-1:0]; + end + end +end + +endmodule // rROMX9: revision 18k Block ROM + +//pROM +module pROM (DO, AD, CLK, CE, OCE, RESET); + +parameter READ_MODE = 1'b0; // 1'b0: bypass mode; 1'b1: pipeline mode +parameter BIT_WIDTH = 32; // 1, 2, 4, 8, 16, 32 +parameter RESET_MODE = "SYNC"; //SYNC, ASYNC +parameter INIT_RAM_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2F = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_30 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_31 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_32 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_33 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_34 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_35 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_36 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_37 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_38 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_39 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + +input CLK, CE; +input OCE; // clock enable of memory output register +input RESET; // resets registers, not memory contents +input [13:0] AD; +output [31:0] DO; +reg [31:0] bp_reg,bp_reg_async,bp_reg_sync; +reg [31:0] pl_reg,pl_reg_async,pl_reg_sync; +reg [16383:0] ram_MEM = {INIT_RAM_3F, INIT_RAM_3E, INIT_RAM_3D, INIT_RAM_3C,INIT_RAM_3B, INIT_RAM_3A, INIT_RAM_39, INIT_RAM_38,INIT_RAM_37, INIT_RAM_36, INIT_RAM_35, INIT_RAM_34,INIT_RAM_33, INIT_RAM_32, INIT_RAM_31, INIT_RAM_30,INIT_RAM_2F, INIT_RAM_2E, INIT_RAM_2D, INIT_RAM_2C,INIT_RAM_2B, INIT_RAM_2A, INIT_RAM_29, INIT_RAM_28,INIT_RAM_27, INIT_RAM_26, INIT_RAM_25, INIT_RAM_24,INIT_RAM_23, INIT_RAM_22, INIT_RAM_21, INIT_RAM_20,INIT_RAM_1F, INIT_RAM_1E, INIT_RAM_1D, INIT_RAM_1C,INIT_RAM_1B, INIT_RAM_1A, INIT_RAM_19, INIT_RAM_18,INIT_RAM_17, INIT_RAM_16, INIT_RAM_15, INIT_RAM_14,INIT_RAM_13, INIT_RAM_12, INIT_RAM_11, INIT_RAM_10,INIT_RAM_0F, INIT_RAM_0E, INIT_RAM_0D, INIT_RAM_0C, INIT_RAM_0B, INIT_RAM_0A, INIT_RAM_09, INIT_RAM_08,INIT_RAM_07, INIT_RAM_06, INIT_RAM_05, INIT_RAM_04,INIT_RAM_03, INIT_RAM_02, INIT_RAM_01, INIT_RAM_00}; +reg [BIT_WIDTH-1:0] mem_t; +reg [13:0] addr; +integer dwidth = BIT_WIDTH; +integer awidth; // ADDR_WIDTH + +initial begin + bp_reg = 0; + pl_reg = 0; + bp_reg_async = 0; + bp_reg_sync = 0; + pl_reg_async = 0; + pl_reg_sync = 0; +end + +initial begin + case(dwidth) + 1: begin + awidth = 14; + end + 2: begin + awidth = 13; + end + 4: begin + awidth = 12; + end + 8: begin + awidth = 11; + end + 16: begin + awidth = 10; + end + 32: begin + awidth = 9; + end + default: begin + // $display ("%d: Unsupported data width\n", dwidth); + // $finish; + end + endcase +end + +always@(AD,awidth)begin + if(awidth==14)begin + addr[13:0] = AD[13:0]; + mem_t[0] = ram_MEM[addr]; + end + else if(awidth==13)begin + addr[13:0] = {AD[13:1],1'b0}; + mem_t[1:0] = {ram_MEM[addr+1],ram_MEM[addr]}; + end + else if(awidth==12)begin + addr[13:0] = {AD[13:2],2'b00}; + mem_t[3:0] = {ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]}; + end + else if(awidth==11)begin + addr[13:0] = {AD[13:3],3'b000}; + mem_t[7:0] = {ram_MEM[addr+7],ram_MEM[addr+6],ram_MEM[addr+5],ram_MEM[addr+4],ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]}; + end + else if(awidth==10)begin + addr[13:0] = {AD[13:4],4'b0000}; + mem_t[15:0] = {ram_MEM[addr+15],ram_MEM[addr+14],ram_MEM[addr+13],ram_MEM[addr+12],ram_MEM[addr+11],ram_MEM[addr+10],ram_MEM[addr+9],ram_MEM[addr+8],ram_MEM[addr+7],ram_MEM[addr+6],ram_MEM[addr+5],ram_MEM[addr+4],ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]}; + end + else if(awidth==9)begin + addr[13:0] = {AD[13:5],5'b00000}; + mem_t[31:0] = {ram_MEM[addr+31],ram_MEM[addr+30],ram_MEM[addr+29],ram_MEM[addr+28],ram_MEM[addr+27],ram_MEM[addr+26],ram_MEM[addr+25],ram_MEM[addr+24],ram_MEM[addr+23],ram_MEM[addr+22],ram_MEM[addr+21],ram_MEM[addr+20],ram_MEM[addr+19],ram_MEM[addr+18],ram_MEM[addr+17],ram_MEM[addr+16],ram_MEM[addr+15],ram_MEM[addr+14],ram_MEM[addr+13],ram_MEM[addr+12],ram_MEM[addr+11],ram_MEM[addr+10],ram_MEM[addr+9],ram_MEM[addr+8],ram_MEM[addr+7],ram_MEM[addr+6],ram_MEM[addr+5],ram_MEM[addr+4],ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]}; + end +end + +assign DO = (READ_MODE === 1'b0)? bp_reg : pl_reg; + +always @ (bp_reg_async or bp_reg_sync or pl_reg_async or pl_reg_sync) begin + if(RESET_MODE == "ASYNC") begin + bp_reg <= bp_reg_async; + pl_reg <= pl_reg_async; + end + else begin + bp_reg <= bp_reg_sync; + pl_reg <= pl_reg_sync; + end +end + +always @(posedge CLK or posedge RESET) begin + if (RESET) begin + pl_reg_async <= 0; + bp_reg_async <= 0; + end else begin + if(OCE) begin + pl_reg_async <= bp_reg; + end + if (CE) begin + bp_reg_async[BIT_WIDTH-1:0] <= mem_t[BIT_WIDTH-1:0]; + end + end +end + +always @(posedge CLK) begin + if (RESET) begin + pl_reg_sync <= 0; + bp_reg_sync <= 0; + end else begin + if(OCE) begin + pl_reg_sync <= bp_reg; + end + if (CE) begin + bp_reg_sync[BIT_WIDTH-1:0] <= mem_t[BIT_WIDTH-1:0]; + end + end +end + +endmodule //pROM + +//pROMX9 +module pROMX9 (DO, AD, CLK, CE, OCE, RESET); + +parameter READ_MODE = 1'b0; // 1'b0: bypass mode; 1'b1: pipeline mode +parameter BIT_WIDTH = 36; // 9, 18, 36 +parameter RESET_MODE = "SYNC"; //SYNC,ASYNC +parameter INIT_RAM_00 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_01 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_02 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_03 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_04 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_05 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_06 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_07 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_08 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_09 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_10 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_11 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_12 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_13 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_14 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_15 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_16 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_17 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_18 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_19 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_20 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_21 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_22 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_23 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_24 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_25 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_26 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_27 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_28 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_29 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_30 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_31 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_32 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_33 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_34 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_35 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_36 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_37 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_38 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_39 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; + +input CLK, CE; +input OCE; // clock enable of memory output register +input RESET; // resets registers, not memory contents +input [13:0] AD; +output [35:0] DO; + +reg [35:0] bp_reg,bp_reg_async,bp_reg_sync; +reg [35:0] pl_reg,pl_reg_async,pl_reg_sync; +reg [18431:0] ram_MEM = {INIT_RAM_3F, INIT_RAM_3E, INIT_RAM_3D, INIT_RAM_3C,INIT_RAM_3B, INIT_RAM_3A, INIT_RAM_39, INIT_RAM_38,INIT_RAM_37, INIT_RAM_36, INIT_RAM_35, INIT_RAM_34,INIT_RAM_33, INIT_RAM_32, INIT_RAM_31, INIT_RAM_30,INIT_RAM_2F, INIT_RAM_2E, INIT_RAM_2D, INIT_RAM_2C,INIT_RAM_2B, INIT_RAM_2A, INIT_RAM_29, INIT_RAM_28,INIT_RAM_27, INIT_RAM_26, INIT_RAM_25, INIT_RAM_24,INIT_RAM_23, INIT_RAM_22, INIT_RAM_21, INIT_RAM_20,INIT_RAM_1F, INIT_RAM_1E, INIT_RAM_1D, INIT_RAM_1C,INIT_RAM_1B, INIT_RAM_1A, INIT_RAM_19, INIT_RAM_18,INIT_RAM_17, INIT_RAM_16, INIT_RAM_15, INIT_RAM_14,INIT_RAM_13, INIT_RAM_12, INIT_RAM_11, INIT_RAM_10,INIT_RAM_0F, INIT_RAM_0E, INIT_RAM_0D, INIT_RAM_0C, INIT_RAM_0B, INIT_RAM_0A, INIT_RAM_09, INIT_RAM_08,INIT_RAM_07, INIT_RAM_06, INIT_RAM_05, INIT_RAM_04,INIT_RAM_03, INIT_RAM_02, INIT_RAM_01, INIT_RAM_00}; +reg [BIT_WIDTH-1:0] mem_t; +reg [14:0] addr; +integer dwidth = BIT_WIDTH; +integer awidth; // ADDR_WIDTH + +initial begin + bp_reg = 0; + pl_reg = 0; + bp_reg_async = 0; + bp_reg_sync = 0; + pl_reg_async = 0; + pl_reg_sync = 0; +end + +initial begin + case(dwidth) + 9: begin awidth = 11; + end + 18: begin awidth = 10; + end + 36: begin awidth = 9; + end + default: begin + // $display ("%d: Unsupported data width\n", dwidth); + // $finish; + end + endcase +end + +always@(AD,awidth)begin + if(awidth==11)begin + addr[14:0] = AD[13:3]*dwidth; + mem_t[8:0] = {ram_MEM[addr+8],ram_MEM[addr+7],ram_MEM[addr+6],ram_MEM[addr+5],ram_MEM[addr+4],ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]}; + + end + else if(awidth==10)begin + addr[14:0] = AD[13:4]*dwidth; + mem_t[17:0] = {ram_MEM[addr+17],ram_MEM[addr+16],ram_MEM[addr+15],ram_MEM[addr+14],ram_MEM[addr+13],ram_MEM[addr+12],ram_MEM[addr+11],ram_MEM[addr+10],ram_MEM[addr+9],ram_MEM[addr+8],ram_MEM[addr+7],ram_MEM[addr+6],ram_MEM[addr+5],ram_MEM[addr+4],ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]}; + end + else if(awidth==9)begin + addr[14:0] = AD[13:5]*dwidth; + mem_t[35:0] = {ram_MEM[addr+35],ram_MEM[addr+34],ram_MEM[addr+33],ram_MEM[addr+32],ram_MEM[addr+31],ram_MEM[addr+30],ram_MEM[addr+29],ram_MEM[addr+28],ram_MEM[addr+27],ram_MEM[addr+26],ram_MEM[addr+25],ram_MEM[addr+24],ram_MEM[addr+23],ram_MEM[addr+22],ram_MEM[addr+21],ram_MEM[addr+20],ram_MEM[addr+19],ram_MEM[addr+18],ram_MEM[addr+17],ram_MEM[addr+16],ram_MEM[addr+15],ram_MEM[addr+14],ram_MEM[addr+13],ram_MEM[addr+12],ram_MEM[addr+11],ram_MEM[addr+10],ram_MEM[addr+9],ram_MEM[addr+8],ram_MEM[addr+7],ram_MEM[addr+6],ram_MEM[addr+5],ram_MEM[addr+4],ram_MEM[addr+3],ram_MEM[addr+2],ram_MEM[addr+1],ram_MEM[addr]}; + end +end + +assign DO = (READ_MODE === 1'b0)? bp_reg: pl_reg; + +always @ (bp_reg_async or bp_reg_sync or pl_reg_async or pl_reg_sync) begin + if(RESET_MODE == "ASYNC") begin + bp_reg <= bp_reg_async; + pl_reg <= pl_reg_async; + end + else begin + bp_reg <= bp_reg_sync; + pl_reg <= pl_reg_sync; + end +end + +always @(posedge CLK or posedge RESET) begin + if (RESET) begin + pl_reg_async <= 0; + bp_reg_async <= 0; + end else begin + if(OCE) begin + pl_reg_async <= bp_reg; + end + if (CE) begin + bp_reg_async[BIT_WIDTH-1:0] <= mem_t[BIT_WIDTH-1:0]; + end + end +end + +always @(posedge CLK) begin + if (RESET) begin + pl_reg_sync <= 0; + bp_reg_sync <= 0; + end else begin + if(OCE) begin + pl_reg_sync <= bp_reg; + end + if (CE) begin + bp_reg_sync[BIT_WIDTH-1:0] <= mem_t[BIT_WIDTH-1:0]; + end + end +end + +endmodule //pROMX9 + +//SDPB +module SDPB (DO, DI, BLKSELA, BLKSELB, ADA, ADB, CLKA, CLKB, CEA, CEB, OCE, RESETA, RESETB); + +parameter READ_MODE = 1'b0; // 1'b0: bypass mode; 1'b1: pipeline mode +parameter BIT_WIDTH_0 = 32; // 1, 2, 4, 8, 16, 32 +parameter BIT_WIDTH_1 = 32; // 1, 2, 4, 8, 16, 32 +parameter BLK_SEL_0 = 3'b000; +parameter BLK_SEL_1 = 3'b000; +parameter RESET_MODE = "SYNC"; //SYNC,ASYNC +parameter INIT_RAM_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2F = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_30 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_31 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_32 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_33 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_34 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_35 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_36 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_37 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_38 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_39 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + +input CLKA, CEA, CLKB, CEB; +input OCE; // clock enable of memory output register +input RESETA, RESETB; // resets output registers, not memory contents +input [13:0] ADA, ADB; +input [31:0] DI; +input [2:0] BLKSELA, BLKSELB; +output [31:0] DO; + +reg [31:0] pl_reg,pl_reg_async,pl_reg_sync; +reg [31:0] bp_reg,bp_reg_async,bp_reg_sync; +reg [16383:0] ram_MEM ={INIT_RAM_3F, INIT_RAM_3E, INIT_RAM_3D, INIT_RAM_3C,INIT_RAM_3B, INIT_RAM_3A, INIT_RAM_39, INIT_RAM_38,INIT_RAM_37, INIT_RAM_36, INIT_RAM_35, INIT_RAM_34,INIT_RAM_33, INIT_RAM_32, INIT_RAM_31, INIT_RAM_30,INIT_RAM_2F, INIT_RAM_2E, INIT_RAM_2D, INIT_RAM_2C,INIT_RAM_2B, INIT_RAM_2A, INIT_RAM_29, INIT_RAM_28,INIT_RAM_27, INIT_RAM_26, INIT_RAM_25, INIT_RAM_24,INIT_RAM_23, INIT_RAM_22, INIT_RAM_21, INIT_RAM_20,INIT_RAM_1F, INIT_RAM_1E, INIT_RAM_1D, INIT_RAM_1C,INIT_RAM_1B, INIT_RAM_1A, INIT_RAM_19, INIT_RAM_18,INIT_RAM_17, INIT_RAM_16, INIT_RAM_15, INIT_RAM_14,INIT_RAM_13, INIT_RAM_12, INIT_RAM_11, INIT_RAM_10,INIT_RAM_0F, INIT_RAM_0E, INIT_RAM_0D, INIT_RAM_0C, INIT_RAM_0B, INIT_RAM_0A, INIT_RAM_09, INIT_RAM_08,INIT_RAM_07, INIT_RAM_06, INIT_RAM_05, INIT_RAM_04,INIT_RAM_03, INIT_RAM_02, INIT_RAM_01, INIT_RAM_00} ; +reg [BIT_WIDTH_0-1:0] mem_a; +reg [BIT_WIDTH_1-1:0] mem_b; +reg [13:0] addr_a, addr_b; +reg mc,bs_ena,bs_enb; +wire pcea; +wire pceb; + +integer bit_width_d0 = BIT_WIDTH_0; +integer bit_width_d1 = BIT_WIDTH_1; +integer bit_width_a0, bit_width_a1; // ADDR_WIDTH + +initial begin + bp_reg = 0; + pl_reg = 0; + bp_reg_async = 0; + bp_reg_sync = 0; + pl_reg_async = 0; + pl_reg_sync = 0; + mc = 1'b0; +end + +initial begin + case(bit_width_d0) + 1: bit_width_a0 = 14; + 2: bit_width_a0 = 13; + 4: bit_width_a0 = 12; + 8: bit_width_a0 = 11; + 16: bit_width_a0 = 10; + 32: bit_width_a0 = 9; + default: begin + // $display ("%d: Unsupported data width\n", bit_width_d0); + // $finish; + end + endcase + case(bit_width_d1) + 1: bit_width_a1 = 14; + 2: bit_width_a1 = 13; + 4: bit_width_a1 = 12; + 8: bit_width_a1 = 11; + 16: bit_width_a1 = 10; + 32: bit_width_a1 = 9; + default: begin + // $display ("%d: Unsupported data width\n", bit_width_d1); + // $finish; + end + endcase +end + +assign DO = (READ_MODE == 1'b0)? bp_reg: pl_reg; + +assign pcea = CEA && bs_ena; +assign pceb = CEB && bs_enb; + +always @ (BLKSELA, BLKSELB) +begin + if(BLKSELA == BLK_SEL_0) begin + bs_ena = 1; + end else begin + bs_ena = 0; + end + + if(BLKSELB == BLK_SEL_1) begin + bs_enb = 1; + end else begin + bs_enb = 0; + end +end + +always@(ADA,ADB,bit_width_a0,bit_width_a1,mc)begin + if(bit_width_a0==14)begin + addr_a[13:0] = ADA[13:0]; + mem_a[0] = ram_MEM[addr_a]; + end + else if(bit_width_a0==13)begin + addr_a[13:0] = {ADA[13:1],1'b0}; + mem_a[1:0] = {ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + else if(bit_width_a0==12)begin + addr_a[13:0] = {ADA[13:2],2'b00}; + mem_a[3:0] = {ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + else if(bit_width_a0==11)begin + addr_a[13:0] = {ADA[13:3],3'b000}; + mem_a[7:0] = {ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + else if(bit_width_a0==10)begin + addr_a[13:0] = {ADA[13:4],4'b0000}; + mem_a[15:0] = {ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9],ram_MEM[addr_a+8],ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + else if(bit_width_a0==9)begin + addr_a[13:0] = {ADA[13:5],5'b00000}; + mem_a[31:0] = {ram_MEM[addr_a+31],ram_MEM[addr_a+30],ram_MEM[addr_a+29],ram_MEM[addr_a+28],ram_MEM[addr_a+27],ram_MEM[addr_a+26],ram_MEM[addr_a+25],ram_MEM[addr_a+24],ram_MEM[addr_a+23],ram_MEM[addr_a+22],ram_MEM[addr_a+21],ram_MEM[addr_a+20],ram_MEM[addr_a+19],ram_MEM[addr_a+18],ram_MEM[addr_a+17],ram_MEM[addr_a+16],ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9],ram_MEM[addr_a+8],ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + if(bit_width_a1==14)begin + addr_b[13:0] = ADB[13:0]; + mem_b[0]=ram_MEM[addr_b]; + end + else if(bit_width_a1==13)begin + addr_b[13:0] = {ADB[13:1],1'b0}; + mem_b[1:0]={ram_MEM[addr_b+1],ram_MEM[addr_b]}; + end + else if(bit_width_a1==12)begin + addr_b[13:0] = {ADB[13:2],2'b00}; + mem_b[3:0]={ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}; + end + else if(bit_width_a1==11)begin + addr_b[13:0] = {ADB[13:3],3'b000}; + mem_b[7:0]={ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}; + end + else if(bit_width_a1==10)begin + addr_b[13:0] = {ADB[13:4],4'b0000}; + mem_b[15:0]={ram_MEM[addr_b+15],ram_MEM[addr_b+14],ram_MEM[addr_b+13],ram_MEM[addr_b+12],ram_MEM[addr_b+11],ram_MEM[addr_b+10],ram_MEM[addr_b+9],ram_MEM[addr_b+8],ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}; + + end + else if(bit_width_a1==9)begin + addr_b[13:0] = {ADB[13:5],5'b00000}; + mem_b[31:0]={ ram_MEM[addr_b+31],ram_MEM[addr_b+30],ram_MEM[addr_b+29],ram_MEM[addr_b+28],ram_MEM[addr_b+27],ram_MEM[addr_b+26],ram_MEM[addr_b+25],ram_MEM[addr_b+24],ram_MEM[addr_b+23],ram_MEM[addr_b+22],ram_MEM[addr_b+21],ram_MEM[addr_b+20],ram_MEM[addr_b+19],ram_MEM[addr_b+18],ram_MEM[addr_b+17],ram_MEM[addr_b+16],ram_MEM[addr_b+15],ram_MEM[addr_b+14],ram_MEM[addr_b+13],ram_MEM[addr_b+12],ram_MEM[addr_b+11],ram_MEM[addr_b+10],ram_MEM[addr_b+9],ram_MEM[addr_b+8],ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}; + + end +end + +always @(posedge CLKA) begin + if (pcea) begin + if(bit_width_d0==1) + ram_MEM[addr_a] <= DI[0]; + else if(bit_width_d0==2) + {ram_MEM[addr_a+1],ram_MEM[addr_a]}<=DI[BIT_WIDTH_0-1:0]; + else if(bit_width_d0==4) + {ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}<=DI[BIT_WIDTH_0-1:0]; + else if(bit_width_d0==8) + {ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}<=DI[BIT_WIDTH_0-1:0]; + else if(bit_width_d0==16) begin + if(ADA[0] == 1'b1) + {ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}<=DI[7:0]; + if(ADA[1] ==1'b1) + {ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9],ram_MEM[addr_a+8]}<=DI[15:8]; + end + else if(bit_width_d0==32) begin + if(ADA[0] == 1'b1) + {ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}<=DI[7:0]; + if(ADA[1] == 1'b1) + {ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9],ram_MEM[addr_a+8]}<=DI[15:8]; + if(ADA[2] == 1'b1) + {ram_MEM[addr_a+23],ram_MEM[addr_a+22],ram_MEM[addr_a+21],ram_MEM[addr_a+20],ram_MEM[addr_a+19],ram_MEM[addr_a+18],ram_MEM[addr_a+17],ram_MEM[addr_a+16]} <=DI[23:16]; + if(ADA[3] == 1'b1) + {ram_MEM[addr_a+31],ram_MEM[addr_a+30],ram_MEM[addr_a+29],ram_MEM[addr_a+28],ram_MEM[addr_a+27],ram_MEM[addr_a+26],ram_MEM[addr_a+25],ram_MEM[addr_a+24]} <=DI[31:24]; + end + mc <= ~mc; + end +end + +always @ (bp_reg_async or bp_reg_sync or pl_reg_async or pl_reg_sync) begin + if(RESET_MODE == "ASYNC") begin + bp_reg <= bp_reg_async; + pl_reg <= pl_reg_async; + end + else begin + bp_reg <= bp_reg_sync; + pl_reg <= pl_reg_sync; + end +end + +always @(posedge CLKB or posedge RESETB) begin + if (RESETB) begin + pl_reg_async <= 0; + bp_reg_async <= 0; + end else begin + if(OCE) begin + pl_reg_async <= bp_reg; + end + if (pceb) begin + bp_reg_async[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + end + end +end + +always @(posedge CLKB) begin + if (RESETB) begin + pl_reg_sync <= 0; + bp_reg_sync <= 0; + end else begin + if(OCE) begin + pl_reg_sync <= bp_reg; + end + if (pceb) begin + bp_reg_sync[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + end + end +end + +endmodule // SDPB: Semi dual port 16k Block SRAM + +//SDPX9B +module SDPX9B (DO, DI, BLKSELA, BLKSELB, ADA, ADB, CLKA, CLKB, CEA, CEB, OCE, RESETA, RESETB); + +parameter READ_MODE = 1'b0; // 1'b0: bypass mode; 1'b1: pipeline mode +parameter BIT_WIDTH_0 = 36; // 9, 18, 36 +parameter BIT_WIDTH_1 = 36; // 9, 18, 36 +parameter BLK_SEL_0 = 3'b000; +parameter BLK_SEL_1 = 3'b000; +parameter RESET_MODE = "SYNC"; //SYNC,ASYNC +parameter INIT_RAM_00 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_01 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_02 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_03 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_04 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_05 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_06 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_07 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_08 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_09 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_10 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_11 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_12 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_13 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_14 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_15 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_16 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_17 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_18 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_19 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_20 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_21 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_22 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_23 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_24 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_25 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_26 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_27 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_28 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_29 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_30 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_31 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_32 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_33 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_34 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_35 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_36 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_37 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_38 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_39 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; + +input CLKA, CEA, CLKB, CEB; +input OCE; // clock enable of memory output register +input RESETA, RESETB; // resets output registers, not memory contents +input [13:0] ADA, ADB; +input [2:0] BLKSELA, BLKSELB; +input [35:0] DI; +output [35:0] DO; + +reg [35:0] bp_reg,bp_reg_async,bp_reg_sync; +reg [35:0] pl_reg,pl_reg_async,pl_reg_sync; +reg [18431:0] ram_MEM = {INIT_RAM_3F, INIT_RAM_3E, INIT_RAM_3D, INIT_RAM_3C,INIT_RAM_3B, INIT_RAM_3A, INIT_RAM_39, INIT_RAM_38,INIT_RAM_37, INIT_RAM_36, INIT_RAM_35, INIT_RAM_34,INIT_RAM_33, INIT_RAM_32, INIT_RAM_31, INIT_RAM_30,INIT_RAM_2F, INIT_RAM_2E, INIT_RAM_2D, INIT_RAM_2C,INIT_RAM_2B, INIT_RAM_2A, INIT_RAM_29, INIT_RAM_28,INIT_RAM_27, INIT_RAM_26, INIT_RAM_25, INIT_RAM_24,INIT_RAM_23, INIT_RAM_22, INIT_RAM_21, INIT_RAM_20,INIT_RAM_1F, INIT_RAM_1E, INIT_RAM_1D, INIT_RAM_1C,INIT_RAM_1B, INIT_RAM_1A, INIT_RAM_19, INIT_RAM_18,INIT_RAM_17, INIT_RAM_16, INIT_RAM_15, INIT_RAM_14,INIT_RAM_13, INIT_RAM_12, INIT_RAM_11, INIT_RAM_10,INIT_RAM_0F, INIT_RAM_0E, INIT_RAM_0D, INIT_RAM_0C, INIT_RAM_0B, INIT_RAM_0A, INIT_RAM_09, INIT_RAM_08,INIT_RAM_07, INIT_RAM_06, INIT_RAM_05, INIT_RAM_04,INIT_RAM_03, INIT_RAM_02, INIT_RAM_01, INIT_RAM_00}; +reg [BIT_WIDTH_0-1:0] mem_a; +reg [BIT_WIDTH_1-1:0] mem_b; +reg [14:0] addr_a, addr_b; +reg mc,bs_ena,bs_enb; +wire pcea,pceb; +integer bit_width_d0 = BIT_WIDTH_0; +integer bit_width_d1 = BIT_WIDTH_1; +integer bit_width_a0, bit_width_a1; // ADDR_WIDTH + +initial begin + bp_reg = 0; + pl_reg = 0; + bp_reg_async = 0; + bp_reg_sync = 0; + pl_reg_async = 0; + pl_reg_sync = 0; + mc = 1'b0; +end + +initial begin + case(bit_width_d0) + 9: bit_width_a0 = 11; + 18: bit_width_a0 = 10; + 36: bit_width_a0 = 9; + default: begin + // $display ("%d: Unsupported data width\n", bit_width_d0); + // $finish; + end + endcase + case(bit_width_d1) + 9: bit_width_a1 = 11; + 18: bit_width_a1 = 10; + 36: bit_width_a1 = 9; + default: begin + // $display ("%d: Unsupported data width\n", bit_width_d1); + // $finish; + end + endcase +end + +assign DO = (READ_MODE == 1'b0)? bp_reg: pl_reg; + +assign pcea = CEA && bs_ena; +assign pceb = CEB && bs_enb; +always @ (BLKSELA, BLKSELB) +begin + if(BLKSELA == BLK_SEL_0) begin + bs_ena = 1; + end else begin + bs_ena = 0; + end + + if(BLKSELB == BLK_SEL_1) begin + bs_enb = 1; + end else begin + bs_enb = 0; + end +end + +always@(ADA,ADB,bit_width_a0,bit_width_a1,mc)begin + if(bit_width_a0==11)begin + addr_a[14:0] = ADA[13:3]*bit_width_d0; + mem_a[8:0] = {ram_MEM[addr_a+8],ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + else if(bit_width_a0==10)begin + addr_a[14:0] = ADA[13:4]*bit_width_d0; + mem_a[17:0] = {ram_MEM[addr_a+17],ram_MEM[addr_a+16],ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9],ram_MEM[addr_a+8],ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + else if(bit_width_a0==9)begin + addr_a[14:0] = ADA[13:5]*bit_width_d0; + mem_a[35:0] = {ram_MEM[addr_a+35],ram_MEM[addr_a+34],ram_MEM[addr_a+33],ram_MEM[addr_a+32],ram_MEM[addr_a+31],ram_MEM[addr_a+30],ram_MEM[addr_a+29],ram_MEM[addr_a+28],ram_MEM[addr_a+27],ram_MEM[addr_a+26],ram_MEM[addr_a+25],ram_MEM[addr_a+24],ram_MEM[addr_a+23],ram_MEM[addr_a+22],ram_MEM[addr_a+21],ram_MEM[addr_a+20],ram_MEM[addr_a+19],ram_MEM[addr_a+18],ram_MEM[addr_a+17],ram_MEM[addr_a+16],ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9],ram_MEM[addr_a+8],ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + + if(bit_width_a1==11)begin + addr_b[14:0] = ADB[13:3]*bit_width_d1; + mem_b[8:0] = {ram_MEM[addr_b+8],ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}; + end + else if(bit_width_a1==10)begin + addr_b[14:0] = ADB[13:4]*bit_width_d1; + mem_b[17:0] = {ram_MEM[addr_b+17],ram_MEM[addr_b+16],ram_MEM[addr_b+15],ram_MEM[addr_b+14],ram_MEM[addr_b+13],ram_MEM[addr_b+12],ram_MEM[addr_b+11],ram_MEM[addr_b+10],ram_MEM[addr_b+9],ram_MEM[addr_b+8],ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}; + end + else if(bit_width_a1==9)begin + addr_b[14:0] = ADB[13:5]*bit_width_d1; + mem_b[35:0] = {ram_MEM[addr_b+35],ram_MEM[addr_b+34],ram_MEM[addr_b+33],ram_MEM[addr_b+32],ram_MEM[addr_b+31],ram_MEM[addr_b+30],ram_MEM[addr_b+29],ram_MEM[addr_b+28],ram_MEM[addr_b+27],ram_MEM[addr_b+26],ram_MEM[addr_b+25],ram_MEM[addr_b+24],ram_MEM[addr_b+23],ram_MEM[addr_b+22],ram_MEM[addr_b+21],ram_MEM[addr_b+20],ram_MEM[addr_b+19],ram_MEM[addr_b+18],ram_MEM[addr_b+17],ram_MEM[addr_b+16],ram_MEM[addr_b+15],ram_MEM[addr_b+14],ram_MEM[addr_b+13],ram_MEM[addr_b+12],ram_MEM[addr_b+11],ram_MEM[addr_b+10],ram_MEM[addr_b+9],ram_MEM[addr_b+8],ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}; + end +end + +always @(posedge CLKA) begin + if (pcea) begin + if(bit_width_d0 == 9) begin + {ram_MEM [addr_a+8],ram_MEM [addr_a+7], ram_MEM [addr_a+6], ram_MEM [addr_a+5], ram_MEM [addr_a+4], ram_MEM [addr_a+3], ram_MEM [addr_a+2], ram_MEM [addr_a+1], ram_MEM [addr_a]} <= DI[8:0]; + end else if(bit_width_d0 == 18) begin + if(ADA[0] == 1'b1) + {ram_MEM [addr_a+8],ram_MEM [addr_a+7], ram_MEM [addr_a+6], ram_MEM [addr_a+5], ram_MEM [addr_a+4], ram_MEM [addr_a+3], ram_MEM [addr_a+2], ram_MEM [addr_a+1], ram_MEM [addr_a]} <= DI[8:0]; + if(ADA[1] == 1'b1) + {ram_MEM[addr_a+17],ram_MEM[addr_a+16],ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9]} <= DI[17:9]; + end + else if(bit_width_d0 == 36) begin + if(ADA[0] == 1'b1) + {ram_MEM [addr_a+8],ram_MEM [addr_a+7], ram_MEM [addr_a+6], ram_MEM [addr_a+5], ram_MEM [addr_a+4], ram_MEM [addr_a+3], ram_MEM [addr_a+2], ram_MEM [addr_a+1], ram_MEM [addr_a]} <= DI[8:0]; + if(ADA[1] == 1'b1) + {ram_MEM[addr_a+17],ram_MEM[addr_a+16],ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9]} <= DI[17:9]; + if(ADA[2] == 1'b1) + {ram_MEM[addr_a+26],ram_MEM[addr_a+25],ram_MEM[addr_a+24],ram_MEM[addr_a+23],ram_MEM[addr_a+22],ram_MEM[addr_a+21],ram_MEM[addr_a+20],ram_MEM[addr_a+19],ram_MEM[addr_a+18]} <= DI[26:18]; + if(ADA[3] == 1'b1) + {ram_MEM[addr_a+35],ram_MEM[addr_a+34],ram_MEM[addr_a+33],ram_MEM[addr_a+32],ram_MEM[addr_a+31],ram_MEM[addr_a+30],ram_MEM[addr_a+29],ram_MEM[addr_a+28],ram_MEM[addr_a+27]} <= DI[35:27]; + end + mc <= ~mc; + end +end + +always @ (bp_reg_async or bp_reg_sync or pl_reg_async or pl_reg_sync) begin + if(RESET_MODE == "ASYNC") begin + bp_reg <= bp_reg_async; + pl_reg <= pl_reg_async; + end + else begin + bp_reg <= bp_reg_sync; + pl_reg <= pl_reg_sync; + end +end + +always @(posedge CLKB or posedge RESETB) begin + if (RESETB) begin + bp_reg_async <=0; + pl_reg_async <= 0; + end else begin + if(OCE) begin + pl_reg_async <= bp_reg; + end + if (pceb) begin + bp_reg_async[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + end + end +end + +always @(posedge CLKB) begin + if (RESETB) begin + bp_reg_sync <=0; + pl_reg_sync <= 0; + end else begin + if(OCE) begin + pl_reg_sync <= bp_reg; + end + if (pceb) begin + bp_reg_sync[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + end + end +end + +endmodule // SDPX9B: Semi dual port 18k Block SRAM + +module DPB (DOA, DOB, DIA, DIB, BLKSELA, BLKSELB, ADA, ADB, WREA, WREB, CLKA, CLKB, CEA, CEB, OCEA, OCEB, RESETA, RESETB); + +parameter READ_MODE0 = 1'b0; // 1'b0: bypass mode; 1'b1: pipeline mode +parameter READ_MODE1 = 1'b0; // 1'b0: bypass mode; 1'b1: pipeline mode +parameter WRITE_MODE0 = 2'b00; // 2'b00: normal mode; 2'b01: write-through mode; 2'b10: read-before-write mode +parameter WRITE_MODE1 = 2'b00; // 2'b00: normal mode; 2'b01: write-through mode; 2'b10: read-before-write mode +parameter BIT_WIDTH_0 = 16; // 1, 2, 4, 8, 16 +parameter BIT_WIDTH_1 = 16; // 1, 2, 4, 8, 16 +parameter BLK_SEL_0 = 3'b000; +parameter BLK_SEL_1 = 3'b000; +parameter RESET_MODE = "SYNC"; //SYNC, ASYNC +parameter INIT_RAM_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_10 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_11 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_12 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_13 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_14 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_15 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_16 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_17 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_18 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_19 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1F = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_20 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_21 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_22 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_23 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_24 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_25 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_26 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_27 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_28 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_29 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2F = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_30 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_31 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_32 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_33 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_34 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_35 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_36 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_37 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_38 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_39 = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3A = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3B = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3C = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3D = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3E = 256'h0000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3F = 256'h0000000000000000000000000000000000000000000000000000000000000000; + +input CLKA, CEA, CLKB, CEB; +input OCEA, OCEB; // clock enable of memory output register +input RESETA, RESETB; // resets output registers, not memory contents +input WREA, WREB; // 1'b0: read enabled; 1'b1: write enabled +input [13:0] ADA, ADB; +input [2:0] BLKSELA, BLKSELB; +input [15:0] DIA, DIB; +output [15:0] DOA, DOB; + +reg [15:0] bpa_reg,bpa_reg_async,bpa_reg_sync; +reg [15:0] pla_reg,pla_reg_async,pla_reg_sync; +reg [15:0] bpb_reg, plb_reg,bpb_reg_async,bpb_reg_sync,plb_reg_async,plb_reg_sync; +reg [16383:0] ram_MEM = {INIT_RAM_3F, INIT_RAM_3E, INIT_RAM_3D, INIT_RAM_3C,INIT_RAM_3B, INIT_RAM_3A, INIT_RAM_39, INIT_RAM_38,INIT_RAM_37, INIT_RAM_36, INIT_RAM_35, INIT_RAM_34,INIT_RAM_33, INIT_RAM_32, INIT_RAM_31, INIT_RAM_30,INIT_RAM_2F, INIT_RAM_2E, INIT_RAM_2D, INIT_RAM_2C,INIT_RAM_2B, INIT_RAM_2A, INIT_RAM_29, INIT_RAM_28,INIT_RAM_27, INIT_RAM_26, INIT_RAM_25, INIT_RAM_24,INIT_RAM_23, INIT_RAM_22, INIT_RAM_21, INIT_RAM_20,INIT_RAM_1F, INIT_RAM_1E, INIT_RAM_1D, INIT_RAM_1C,INIT_RAM_1B, INIT_RAM_1A, INIT_RAM_19, INIT_RAM_18,INIT_RAM_17, INIT_RAM_16, INIT_RAM_15, INIT_RAM_14,INIT_RAM_13, INIT_RAM_12, INIT_RAM_11, INIT_RAM_10,INIT_RAM_0F, INIT_RAM_0E, INIT_RAM_0D, INIT_RAM_0C, INIT_RAM_0B, INIT_RAM_0A, INIT_RAM_09, INIT_RAM_08,INIT_RAM_07, INIT_RAM_06, INIT_RAM_05, INIT_RAM_04,INIT_RAM_03, INIT_RAM_02, INIT_RAM_01, INIT_RAM_00} ; +reg [BIT_WIDTH_0-1:0] mem_a; +reg [BIT_WIDTH_1-1:0] mem_b; +reg mc,bs_ena,bs_enb; +wire pcea,pceb; +reg [13:0] addr_a, addr_b; +integer bit_width_d0 = BIT_WIDTH_0; +integer bit_width_d1 = BIT_WIDTH_1; +integer bit_width_a0, bit_width_a1; // ADDR_WIDTH + +initial begin + bpa_reg = 0; + pla_reg = 0; + bpb_reg = 0; + plb_reg = 0; + bpa_reg_async = 0; + bpa_reg_sync = 0; + pla_reg_async = 0; + pla_reg_sync = 0; + bpb_reg_async = 0; + bpb_reg_sync = 0; + plb_reg_async = 0; + plb_reg_sync = 0; + mc = 1'b0; +end + +initial begin + case(bit_width_d0) + 1: bit_width_a0 = 14; + 2: bit_width_a0 = 13; + 4: bit_width_a0 = 12; + 8: bit_width_a0 = 11; + 16: bit_width_a0 = 10; + default: begin + // $display ("%d: Unsupported data width\n", bit_width_d0); + // $finish; + end + endcase + case(bit_width_d1) + 1: bit_width_a1 = 14; + 2: bit_width_a1 = 13; + 4: bit_width_a1 = 12; + 8: bit_width_a1 = 11; + 16: bit_width_a1 = 10; + default: begin + // $display ("%d: Unsupported data width\n", bit_width_d1); + // $finish; + end + endcase +end + +assign DOA = (READ_MODE0 == 1'b0)? bpa_reg : pla_reg; +assign DOB = (READ_MODE1 == 1'b0)? bpb_reg : plb_reg; + +assign pcea = CEA && bs_ena; +assign pceb = CEB && bs_enb; +always @ (BLKSELA, BLKSELB) +begin + if(BLKSELA == BLK_SEL_0) begin + bs_ena = 1; + end else begin + bs_ena = 0; + end + + if(BLKSELB == BLK_SEL_1) begin + bs_enb = 1; + end else begin + bs_enb = 0; + end + +end + +always@(ADA,ADB,bit_width_a0,bit_width_a1,WREA,WREB,mc)begin + if(bit_width_a0==14)begin + addr_a[13:0] = ADA[13:0]; + mem_a[0]=ram_MEM[addr_a]; + end + else if(bit_width_a0==13)begin + addr_a[13:0] = {ADA[13:1],1'b0}; + mem_a[1:0]={ ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + else if(bit_width_a0==12)begin + addr_a[13:0] = {ADA[13:2],2'b00}; + mem_a[3:0]={ ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + else if(bit_width_a0==11)begin + addr_a[13:0] = {ADA[13:3],3'b000}; + mem_a[7:0]={ ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + else if(bit_width_a0==10)begin + addr_a[13:0] = {ADA[13:4],4'b0000}; + mem_a[15:0]={ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9],ram_MEM[addr_a+8],ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + if(bit_width_a1==14)begin + addr_b[13:0] = ADB[13:0]; + mem_b[0]=ram_MEM[addr_b]; + end + else if(bit_width_a1==13)begin + addr_b[13:0] = {ADB[13:1],1'b0}; + mem_b[1:0]={ram_MEM[addr_b+1],ram_MEM[addr_b]}; + end + else if(bit_width_a1==12)begin + addr_b[13:0] = {ADB[13:2],2'b00}; + mem_b[3:0]={ ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}; + end + else if(bit_width_a1==11)begin + addr_b[13:0] = {ADB[13:3],3'b000}; + mem_b[7:0]={ ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}; + end + else if(bit_width_a1==10)begin + addr_b[13:0] = {ADB[13:4],4'b0000}; + mem_b[15:0]={ram_MEM[addr_b+15],ram_MEM[addr_b+14],ram_MEM[addr_b+13],ram_MEM[addr_b+12],ram_MEM[addr_b+11],ram_MEM[addr_b+10],ram_MEM[addr_b+9],ram_MEM[addr_b+8],ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}; + end +end + +always @ (bpa_reg_async or bpa_reg_sync or pla_reg_async or pla_reg_sync or bpb_reg_async or bpb_reg_sync or plb_reg_async or plb_reg_sync) begin + if(RESET_MODE == "ASYNC") begin + bpa_reg <= bpa_reg_async; + pla_reg <= pla_reg_async; + bpb_reg <= bpb_reg_async; + plb_reg <= plb_reg_async; + end + else begin + bpa_reg <= bpa_reg_sync; + pla_reg <= pla_reg_sync; + bpb_reg <= bpb_reg_sync; + plb_reg <= plb_reg_sync; + end +end + +always @(posedge CLKA) begin + if (pcea) begin + if (WREA) begin + if(bit_width_d0==1) + ram_MEM[addr_a] <= DIA[0]; + else if(bit_width_d0==2) + {ram_MEM[addr_a+1],ram_MEM[addr_a]}<=DIA[BIT_WIDTH_0-1:0]; + else if(bit_width_d0==4) + {ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}<=DIA[BIT_WIDTH_0-1:0]; + else if(bit_width_d0==8) + {ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}<=DIA[BIT_WIDTH_0-1:0]; + else if(bit_width_d0==16) begin + if(ADA[0] == 1'b1) + {ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]} <= DIA[7:0]; + if(ADA[1] == 1'b1) + {ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9],ram_MEM[addr_a+8]} <= DIA[15:8]; + end + mc <= ~mc; + end + end +end + +always @(posedge CLKA or posedge RESETA) begin + if (RESETA) begin + pla_reg_async <= 0; + bpa_reg_async <= 0; + end else begin + if(OCEA) begin + pla_reg_async <= bpa_reg; + end + if (pcea) begin + if (WREA) begin + if (WRITE_MODE0 == 2'b01) begin + bpa_reg_async[BIT_WIDTH_0-1:0] <= mem_a[BIT_WIDTH_0-1:0]; + if(bit_width_d0<=8) + bpa_reg_async[BIT_WIDTH_0-1:0] <= DIA[BIT_WIDTH_0-1:0]; + else if(bit_width_d0==16) begin + if(ADA[0] == 1'b1) + bpa_reg_async[7:0] <= DIA[7:0]; + if(ADA[1] == 1'b1) + bpa_reg_async[15:8] <= DIA[15:8]; + end + end + + if (WRITE_MODE0 == 2'b10) begin + bpa_reg_async[BIT_WIDTH_0-1:0] <= mem_a[BIT_WIDTH_0-1:0]; + end + + end + else begin // WREA==0, read + bpa_reg_async[BIT_WIDTH_0-1:0] <= mem_a[BIT_WIDTH_0-1:0]; + end + end + end +end + +always @(posedge CLKA) begin + if (RESETA) begin + pla_reg_sync <= 0; + bpa_reg_sync <= 0; + end else begin + if(OCEA) begin + pla_reg_sync <= bpa_reg; + end + if (pcea) begin + if (WREA) begin + if (WRITE_MODE0 == 2'b01) begin + bpa_reg_sync[BIT_WIDTH_0-1:0] <= mem_a[BIT_WIDTH_0-1:0]; + if(bit_width_d0<=8) + bpa_reg_sync[BIT_WIDTH_0-1:0] <= DIA[BIT_WIDTH_0-1:0]; + else if(bit_width_d0==16) begin + if(ADA[0] == 1'b1) + bpa_reg_sync[7:0] <= DIA[7:0]; + if(ADA[1] == 1'b1) + bpa_reg_sync[15:8] <= DIA[15:8]; + end + end + + if (WRITE_MODE0 == 2'b10) begin + bpa_reg_sync[BIT_WIDTH_0-1:0] <= mem_a[BIT_WIDTH_0-1:0]; + end + + end + else begin // WREA==0, read + bpa_reg_sync[BIT_WIDTH_0-1:0] <= mem_a[BIT_WIDTH_0-1:0]; + end + end + end +end + +always @(posedge CLKB) begin + if (pceb) begin + if (WREB) begin + if(bit_width_d1==1) + ram_MEM[addr_b] <= DIB[0]; + else if(bit_width_d1==2) + {ram_MEM[addr_b+1],ram_MEM[addr_b]}<=DIB[BIT_WIDTH_1-1:0]; + else if(bit_width_d1==4) + {ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}<=DIB[BIT_WIDTH_1-1:0]; + else if(bit_width_d1==8) + {ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}<=DIB[BIT_WIDTH_1-1:0]; + else if(bit_width_d1==16) begin + if(ADB[0] == 1'b1) + {ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]} <= DIB[7:0]; + if(ADB[1] == 1'b1) + {ram_MEM[addr_b+15],ram_MEM[addr_b+14],ram_MEM[addr_b+13],ram_MEM[addr_b+12],ram_MEM[addr_b+11],ram_MEM[addr_b+10],ram_MEM[addr_b+9],ram_MEM[addr_b+8]} <= DIB[15:8]; + end + mc <= ~mc; + end + end +end + +always @(posedge CLKB or posedge RESETB) begin + if (RESETB) begin + plb_reg_async <= 0; + bpb_reg_async <= 0; + end else begin + if(OCEB) begin + plb_reg_async <= bpb_reg; + end + if (pceb) begin + if (WREB) begin + if (WRITE_MODE1 == 2'b01) begin + bpb_reg_async[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + if(bit_width_d1<=8) + bpb_reg_async[BIT_WIDTH_1-1:0] <= DIB[BIT_WIDTH_1-1:0]; + else if(bit_width_d1==16) begin + if(ADB[0] == 1'b1) + bpb_reg_async[7:0] <= DIB[7:0]; + if(ADB[1] == 1'b1) + bpb_reg_async[15:8] <= DIB[15:8]; + end + end + + if (WRITE_MODE1 == 2'b10) begin + bpb_reg_async[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + end + + end else begin + bpb_reg_async[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + end + end + end +end + +always @(posedge CLKB) begin + if (RESETB) begin + plb_reg_sync <= 0; + bpb_reg_sync <= 0; + end else begin + if(OCEB) begin + plb_reg_sync <= bpb_reg; + end + if (pceb) begin + if (WREB) begin + if (WRITE_MODE1 == 2'b01) begin + bpb_reg_sync[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + if(bit_width_d1<=8) + bpb_reg_sync[BIT_WIDTH_1-1:0] <= DIB[BIT_WIDTH_1-1:0]; + else if(bit_width_d1==16) begin + if(ADB[0] == 1'b1) + bpb_reg_sync[7:0] <= DIB[7:0]; + if(ADB[1] == 1'b1) + bpb_reg_sync[15:8] <= DIB[15:8]; + end + end + + if (WRITE_MODE1 == 2'b10) begin + bpb_reg_sync[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + end + + end else begin + bpb_reg_sync[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + end + end + end +end + +endmodule // DPB: true dual port 16k Block SRAM + +module DPX9B (DOA, DOB, DIA, DIB, BLKSELA, BLKSELB, ADA, ADB, WREA, WREB, CLKA, CLKB, CEA, CEB, OCEA, OCEB, RESETA, RESETB); + +parameter READ_MODE0 = 1'b0; // 1'b0: bypass mode; 1'b1: pipeline mode +parameter READ_MODE1 = 1'b0; // 1'b0: bypass mode; 1'b1: pipeline mode +parameter WRITE_MODE0 = 2'b00; // 2'b00: normal mode; 2'b01: write-through mode; 2'b10: read-before-write mode +parameter WRITE_MODE1 = 2'b00; // 2'b00: normal mode; 2'b01: write-through mode; 2'b10: read-before-write mode +parameter BIT_WIDTH_0 = 18; // 9, 18 +parameter BIT_WIDTH_1 = 18; // 9, 18 +parameter BLK_SEL_0 = 3'b000; +parameter BLK_SEL_1 = 3'b000; +parameter RESET_MODE = "SYNC"; //SYNC,ASYNC +parameter INIT_RAM_00 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_01 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_02 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_03 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_04 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_05 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_06 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_07 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_08 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_09 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_0F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_10 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_11 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_12 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_13 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_14 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_15 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_16 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_17 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_18 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_19 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_1F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_20 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_21 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_22 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_23 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_24 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_25 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_26 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_27 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_28 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_29 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_2F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_30 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_31 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_32 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_33 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_34 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_35 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_36 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_37 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_38 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_39 = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3A = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3B = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3C = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3D = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3E = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; +parameter INIT_RAM_3F = 288'h000000000000000000000000000000000000000000000000000000000000000000000000; + +input CLKA, CEA, CLKB, CEB; +input OCEA, OCEB; // clock enable of memory output register +input RESETA, RESETB; // resets output registers, not memory contents +input WREA, WREB; // 1'b0: read enabled; 1'b1: write enabled +input [13:0] ADA, ADB; +input [17:0] DIA, DIB; +input [2:0] BLKSELA, BLKSELB; +output [17:0] DOA, DOB; +reg [17:0] bpa_reg, bpb_reg,bpa_reg_async, bpb_reg_async,bpa_reg_sync, bpb_reg_sync; +reg [17:0] pla_reg, plb_reg,pla_reg_async, plb_reg_async,pla_reg_sync, plb_reg_sync; +reg [18431:0] ram_MEM = {INIT_RAM_3F, INIT_RAM_3E, INIT_RAM_3D, INIT_RAM_3C,INIT_RAM_3B, INIT_RAM_3A, INIT_RAM_39, INIT_RAM_38,INIT_RAM_37, INIT_RAM_36, INIT_RAM_35, INIT_RAM_34,INIT_RAM_33, INIT_RAM_32, INIT_RAM_31, INIT_RAM_30,INIT_RAM_2F, INIT_RAM_2E, INIT_RAM_2D, INIT_RAM_2C,INIT_RAM_2B, INIT_RAM_2A, INIT_RAM_29, INIT_RAM_28,INIT_RAM_27, INIT_RAM_26, INIT_RAM_25, INIT_RAM_24,INIT_RAM_23, INIT_RAM_22, INIT_RAM_21, INIT_RAM_20,INIT_RAM_1F, INIT_RAM_1E, INIT_RAM_1D, INIT_RAM_1C,INIT_RAM_1B, INIT_RAM_1A, INIT_RAM_19, INIT_RAM_18,INIT_RAM_17, INIT_RAM_16, INIT_RAM_15, INIT_RAM_14,INIT_RAM_13, INIT_RAM_12, INIT_RAM_11, INIT_RAM_10,INIT_RAM_0F, INIT_RAM_0E, INIT_RAM_0D, INIT_RAM_0C, INIT_RAM_0B, INIT_RAM_0A, INIT_RAM_09, INIT_RAM_08,INIT_RAM_07, INIT_RAM_06, INIT_RAM_05, INIT_RAM_04,INIT_RAM_03, INIT_RAM_02, INIT_RAM_01, INIT_RAM_00}; +reg [BIT_WIDTH_0-1:0] mem_a; +reg [BIT_WIDTH_1-1:0] mem_b; +reg mc, bs_ena, bs_enb; +wire pcea,pceb; +integer bit_width_d0 = BIT_WIDTH_0; +integer bit_width_d1 = BIT_WIDTH_1; +integer bit_width_a0, bit_width_a1; // ADDR_WIDTH +reg [14:0] addr_a, addr_b; + +initial begin + bpa_reg = 0; + bpb_reg = 0; + pla_reg = 0; + plb_reg = 0; + bpa_reg_async = 0; + bpa_reg_sync = 0; + pla_reg_async = 0; + pla_reg_sync = 0; + bpb_reg_async = 0; + bpb_reg_sync = 0; + plb_reg_async = 0; + plb_reg_sync = 0; + mc = 1'b0; +end + +initial begin + case(bit_width_d0) + 9: bit_width_a0 = 11; + 18: bit_width_a0 = 10; + default: begin + // $display ("%d: Unsupported data width\n", bit_width_d0); + // $finish; + end + endcase + case(bit_width_d1) + 9: bit_width_a1 = 11; + 18: bit_width_a1 = 10; + default: begin + // $display ("%d: Unsupported data width\n", bit_width_d1); + // $finish; + end + endcase +end + +assign DOA = (READ_MODE0 == 1'b0)? bpa_reg : pla_reg; +assign DOB = (READ_MODE1 == 1'b0)? bpb_reg : plb_reg; + +assign pcea = CEA && bs_ena; +assign pceb = CEB && bs_enb; +always @ (BLKSELA, BLKSELB) +begin + if(BLKSELA == BLK_SEL_0) begin + bs_ena = 1; + end else begin + bs_ena = 0; + end + + if(BLKSELB == BLK_SEL_1) begin + bs_enb = 1; + end else begin + bs_enb = 0; + end +end + +always@(ADA, ADB, bit_width_a0, bit_width_a1,WREA, WREB,mc)begin + if(bit_width_a0==11)begin + addr_a[14:0] = ADA[13:3]*bit_width_d0; + mem_a[8:0]={ ram_MEM[addr_a+8],ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + else if(bit_width_a0==10)begin + addr_a[14:0] = ADA[13:4]*bit_width_d0; + mem_a[17:0]={ram_MEM[addr_a+17],ram_MEM[addr_a+16],ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9],ram_MEM[addr_a+8],ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a]}; + end + + if(bit_width_a1==11)begin + addr_b[14:0] = ADB[13:3]*bit_width_d1; + mem_b[8:0]={ram_MEM[addr_b+8],ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}; + end + else if(bit_width_a1==10)begin + addr_b[14:0] = ADB[13:4]*bit_width_d1; + mem_b[17:0]={ram_MEM[addr_b+17],ram_MEM[addr_b+16],ram_MEM[addr_b+15],ram_MEM[addr_b+14],ram_MEM[addr_b+13],ram_MEM[addr_b+12],ram_MEM[addr_b+11],ram_MEM[addr_b+10],ram_MEM[addr_b+9],ram_MEM[addr_b+8],ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b]}; + end +end + +always @ (bpa_reg_async or bpa_reg_sync or pla_reg_async or pla_reg_sync or bpb_reg_async or bpb_reg_sync or plb_reg_async or plb_reg_sync) begin + if(RESET_MODE == "ASYNC") begin + bpa_reg <= bpa_reg_async; + pla_reg <= pla_reg_async; + bpb_reg <= bpb_reg_async; + plb_reg <= plb_reg_async; + end + else begin + bpa_reg <= bpa_reg_sync; + pla_reg <= pla_reg_sync; + bpb_reg <= bpb_reg_sync; + plb_reg <= plb_reg_sync; + end +end + +always @(posedge CLKA) begin + if (pcea) begin + if (WREA) begin + if (bit_width_d0 == 9) + { ram_MEM[addr_a+8],ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a] } <= DIA[8:0]; + else if(bit_width_d0 == 18) begin + if(ADA[0] == 1'b1) + { ram_MEM[addr_a+8],ram_MEM[addr_a+7],ram_MEM[addr_a+6],ram_MEM[addr_a+5],ram_MEM[addr_a+4],ram_MEM[addr_a+3],ram_MEM[addr_a+2],ram_MEM[addr_a+1],ram_MEM[addr_a] } <= DIA[8:0]; + if(ADA[1] == 1'b1) + { ram_MEM[addr_a+17],ram_MEM[addr_a+16],ram_MEM[addr_a+15],ram_MEM[addr_a+14],ram_MEM[addr_a+13],ram_MEM[addr_a+12],ram_MEM[addr_a+11],ram_MEM[addr_a+10],ram_MEM[addr_a+9]} <= DIA[17:9]; + end + mc <= ~mc; + end + end +end + +always @(posedge CLKA or posedge RESETA) begin + if (RESETA) begin + pla_reg_async <= 0; + bpa_reg_async <= 0; + end else begin + if(OCEA) begin + pla_reg_async <= bpa_reg; + end + if (pcea) begin + if (WREA) begin + if (WRITE_MODE0 == 2'b01) begin + bpa_reg_async[BIT_WIDTH_0-1:0] <= mem_a[BIT_WIDTH_0-1:0]; + if (bit_width_d0 == 9) + bpa_reg_async[8:0] <= DIA[8:0]; + else if(bit_width_d0 == 18) begin + if(ADA[0] == 1'b1) + bpa_reg_async[8:0] <= DIA[8:0]; + if(ADA[1] == 1'b1) + bpa_reg_async[17:9] <= DIA[17:9]; + end + end + + if (WRITE_MODE0 == 2'b10) begin + bpa_reg_async[BIT_WIDTH_0-1:0] <= mem_a[BIT_WIDTH_0-1:0]; + end + + end else begin // WREA==0, read + bpa_reg_async[BIT_WIDTH_0-1:0] <= mem_a[BIT_WIDTH_0-1:0]; + end + + end + end +end + +always @(posedge CLKA) begin + if (RESETA) begin + pla_reg_sync <= 0; + bpa_reg_sync <= 0; + end else begin + if(OCEA) begin + pla_reg_sync <= bpa_reg; + end + if (pcea) begin + if (WREA) begin + if (WRITE_MODE0 == 2'b01) begin + bpa_reg_sync[BIT_WIDTH_0-1:0] <= mem_a[BIT_WIDTH_0-1:0]; + if (bit_width_d0 == 9) + bpa_reg_sync[8:0] <= DIA[8:0]; + else if(bit_width_d0 == 18) begin + if(ADA[0] == 1'b1) + bpa_reg_sync[8:0] <= DIA[8:0]; + if(ADA[1] == 1'b1) + bpa_reg_sync[17:9] <= DIA[17:9]; + end + end + + if (WRITE_MODE0 == 2'b10) begin + bpa_reg_sync[BIT_WIDTH_0-1:0] <= mem_a[BIT_WIDTH_0-1:0]; + end + + end else begin // WREA==0, read + bpa_reg_sync[BIT_WIDTH_0-1:0] <= mem_a[BIT_WIDTH_0-1:0]; + end + + end + end +end + +always @(posedge CLKB) begin + if (pceb) begin + if (WREB) begin + if (bit_width_d1 == 9) + { ram_MEM[addr_b+8],ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b] } <= DIB[8:0]; + else if(bit_width_d1 == 18) begin + if(ADB[0] == 1'b1) + { ram_MEM[addr_b+8],ram_MEM[addr_b+7],ram_MEM[addr_b+6],ram_MEM[addr_b+5],ram_MEM[addr_b+4],ram_MEM[addr_b+3],ram_MEM[addr_b+2],ram_MEM[addr_b+1],ram_MEM[addr_b] } <= DIB[8:0]; + if(ADB[1] == 1'b1) + {ram_MEM[addr_b+17],ram_MEM[addr_b+16],ram_MEM[addr_b+15],ram_MEM[addr_b+14],ram_MEM[addr_b+13],ram_MEM[addr_b+12],ram_MEM[addr_b+11],ram_MEM[addr_b+10], ram_MEM[addr_b+9]} <= DIB[17:9]; + end + mc <= ~mc; + end + end +end + +always @(posedge CLKB or posedge RESETB) begin + if (RESETB) begin + plb_reg_async <= 0; + bpb_reg_async <= 0; + end else begin + if(OCEB) begin + plb_reg_async <= bpb_reg; + end + if (pceb) begin + if (WREB) begin + if (WRITE_MODE1 == 2'b01) begin + bpb_reg_async[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + if (bit_width_d1 == 9) + bpb_reg_async[8:0] <= DIB[8:0]; + else if(bit_width_d1 == 18) begin + if(ADB[0] == 1'b1) + bpb_reg_async[8:0] <= DIB[8:0]; + if(ADB[1] == 1'b1) + bpb_reg_async[17:9] <= DIB[17:9]; + end + end + + if (WRITE_MODE1 == 2'b10) begin + bpb_reg_async[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + end + + end else begin + bpb_reg_async[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + end + end + end +end + +always @(posedge CLKB) begin + if (RESETB) begin + plb_reg_sync <= 0; + bpb_reg_sync <= 0; + end else begin + if(OCEB) begin + plb_reg_sync <= bpb_reg; + end + if (pceb) begin + if (WREB) begin + if (WRITE_MODE1 == 2'b01) begin + bpb_reg_sync[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + if (bit_width_d1 == 9) + bpb_reg_sync[8:0] <= DIB[8:0]; + else if(bit_width_d1 == 18) begin + if(ADB[0] == 1'b1) + bpb_reg_sync[8:0] <= DIB[8:0]; + if(ADB[1] == 1'b1) + bpb_reg_sync[17:9] <= DIB[17:9]; + end + end + + if (WRITE_MODE1 == 2'b10) begin + bpb_reg_sync[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + end + + end else begin + bpb_reg_sync[BIT_WIDTH_1-1:0] <= mem_b[BIT_WIDTH_1-1:0]; + end + end + end +end + +endmodule // DPX9B: true dual port 18k Block SRAM + + +//********DSP primitive **************** +// PADD18 +module PADD18 (DOUT, SO, SBO, A, B, SI, SBI, ASEL, CLK, CE, RESET); + +input [17:0] A; +input [17:0] B; +input ASEL; +input CE,CLK,RESET; +input [17:0] SI,SBI; +output [17:0] SO,SBO; +output [17:0] DOUT; + +parameter AREG = 1'b0; // 1'b0: bypass mode; 1'b1: registered mode +parameter BREG = 1'b0; +parameter ADD_SUB = 1'b0; //1'b0: add; 1'b1:sub +parameter PADD_RESET_MODE = "SYNC"; // SYNC, ASYNC +parameter BSEL_MODE = 1'b1; // 1'b1: shift, 1'b0: parallel input B. +parameter SOREG = 1'b0; + + reg [17:0] inb,ina0,inb0,ina0_reg,ina1_reg,inb_reg,inc_reg,ina1,ina2; + reg [17:0] ina0_reg_async,ina0_reg_sync,ina1_reg_async,ina1_reg_sync,inb_reg_async,inb_reg_sync; + reg [17:0] sdob_0; + wire grstn = GSR.GSRO; + + always @(ina0_reg_sync or ina0_reg_async or inb_reg_sync or inb_reg_async or ina1_reg_async or ina1_reg_sync) + begin + if (PADD_RESET_MODE == "ASYNC") begin + ina0_reg <= ina0_reg_async; + ina1_reg <= ina1_reg_async; + inb_reg <= inb_reg_async; + end + else if (PADD_RESET_MODE == "SYNC") begin + ina0_reg <= ina0_reg_sync; + ina1_reg <= ina1_reg_sync; + inb_reg <= inb_reg_sync; + end + end + + always @(SI or A or ASEL) + begin + if (ASEL == 1'b1) begin + ina0 = SI; + end else if(ASEL == 1'b0) begin + ina0 = A; + end + end + + always @(B or SBI) + begin + if (BSEL_MODE == 1'b1) begin + inb0 = SBI; + end + else if (BSEL_MODE == 1'b0) begin + inb0 = B; + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + ina0_reg_async <= 0; + ina1_reg_async <= 0; + end else if (RESET == 1'b1) begin + ina0_reg_async <= 0; + ina1_reg_async <= 0; + end + else if (CE == 1'b1) begin + ina0_reg_async <= ina0; + ina1_reg_async <= ina1; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + ina0_reg_sync <= 0; + ina1_reg_sync <= 0; + end else if (RESET == 1'b1) begin + ina0_reg_sync <= 0; + ina1_reg_sync <= 0; + end + else if (CE == 1'b1) begin + ina0_reg_sync <= ina0; + ina1_reg_sync <= ina1; + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + inb_reg_async <= 0; + end else if (RESET == 1'b1) begin + inb_reg_async <= 0; + end + else if (CE == 1'b1) begin + inb_reg_async <= inb0; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + inb_reg_sync <= 0; + end else if (RESET == 1'b1) begin + inb_reg_sync <= 0; + end + else if (CE == 1'b1) begin + inb_reg_sync <= inb0; + end + end + + always @(ina0_reg or ina0) + begin + if (AREG == 1'b0) begin + ina1 = ina0; + end + else begin + ina1 = ina0_reg; + end + end + + always @(ina1 or ina1_reg) + begin + if (SOREG == 1'b0) begin + ina2 = ina1; + end + else begin + ina2 = ina1_reg; + end + end + + assign SO = ina2; + + always @(inb_reg or inb0) + begin + if (BREG == 1'b0) begin + inb = inb0; + end + else begin + inb = inb_reg; + end + end + + assign SBO = inb; + + assign DOUT = (ADD_SUB == 1'b1) ? (ina1 - inb) : (ina1 + inb); + +endmodule + +// PADD9 +module PADD9 (DOUT, SO, SBO, A, B, SI, SBI, ASEL, CLK, CE, RESET); + +input [8:0] A; +input [8:0] B; +input ASEL; +input CE,CLK,RESET; +input [8:0] SI,SBI; +output [8:0] SO,SBO; +output [8:0] DOUT; + +parameter AREG = 1'b0; // 1'b0: bypass mode; 1'b1: registered mode +parameter BREG = 1'b0; +parameter ADD_SUB = 1'b0; //1'b0:add; 1'b1:sub +parameter PADD_RESET_MODE = "SYNC"; // SYNC, ASYNC +parameter BSEL_MODE = 1'b1; // 1'b1: shift, 1'b0: parallel input B. +parameter SOREG = 1'b0; + + reg [8:0] inb,ina0,inb0,ina0_reg,ina1_reg,inb_reg,ina1,ina2; + reg [8:0] ina0_reg_async,ina0_reg_sync,ina1_reg_async,ina1_reg_sync,inb_reg_async,inb_reg_sync; + wire grstn = GSR.GSRO; + + always @(ina0_reg_sync or ina0_reg_async or inb_reg_sync or inb_reg_async or ina1_reg_async or ina1_reg_sync) + begin + if (PADD_RESET_MODE == "ASYNC") begin + ina0_reg <= ina0_reg_async; + ina1_reg <= ina1_reg_async; + inb_reg <= inb_reg_async; + end + else if (PADD_RESET_MODE == "SYNC") begin + ina0_reg <= ina0_reg_sync; + ina1_reg <= ina1_reg_sync; + inb_reg <= inb_reg_sync; + end + end + + always @(SI or A or ASEL) + begin + if (ASEL == 1'b1) begin + ina0 = SI; + end else if(ASEL == 1'b0) begin + ina0 = A; + end + end + + always @(B or SBI) + begin + if (BSEL_MODE == 1'b1) begin + inb0 = SBI; + end + else if (BSEL_MODE == 1'b0) begin + inb0 = B; + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + ina0_reg_async <= 0; + ina1_reg_async <= 0; + end else if (RESET == 1'b1) begin + ina0_reg_async <= 0; + ina1_reg_async <= 0; + end + else if (CE == 1'b1) begin + ina0_reg_async <= ina0; + ina1_reg_async <= ina1; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + ina0_reg_sync <= 0; + ina1_reg_sync <= 0; + end else if (RESET == 1'b1) begin + ina0_reg_sync <= 0; + ina1_reg_sync <= 0; + end + else if (CE == 1'b1) begin + ina0_reg_sync <= ina0; + ina1_reg_sync <= ina1; + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + inb_reg_async <= 0; + end else if (RESET == 1'b1) begin + inb_reg_async <= 0; + end + else if (CE == 1'b1) begin + inb_reg_async <= inb0; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + inb_reg_sync <= 0; + end else if (RESET == 1'b1) begin + inb_reg_sync <= 0; + end + else if (CE == 1'b1) begin + inb_reg_sync <= inb0; + end + end + + always @(ina0_reg or ina0) + begin + if (AREG == 1'b0) begin + ina1 <= ina0; + end + else begin + ina1 <= ina0_reg; + end + end + + always @(ina1 or ina1_reg) + begin + if (SOREG == 1'b0) begin + ina2 = ina1; + end + else begin + ina2 = ina1_reg; + end + end + + assign SO = ina2; + + always @(inb_reg or inb0) + begin + if (BREG == 1'b0) begin + inb = inb0; + end + else begin + inb = inb_reg; + end + end + + assign SBO = inb; + + assign DOUT = (ADD_SUB == 1'b1) ? (ina1 - inb) : (ina1 + inb); + +endmodule + + +// MULT9X9 +module MULT9X9 (DOUT, SOA, SOB, A, B, SIA, SIB, ASEL, BSEL, ASIGN, BSIGN, CLK, CE, RESET); + +input [8:0] A,SIA; +input [8:0] B,SIB; +input ASIGN,BSIGN; +input ASEL,BSEL; +input CE; +input CLK; +input RESET; +output [17:0] DOUT; +output [8:0] SOA,SOB; + +parameter AREG = 1'b0;// 1'b0: bypass mode; 1'b1: registered mode +parameter BREG = 1'b0; +parameter OUT_REG = 1'b0; +parameter PIPE_REG = 1'b0; +parameter ASIGN_REG = 1'b0; +parameter BSIGN_REG = 1'b0; +parameter SOA_REG = 1'b0; // 1'b0: bypass mode; 1'b1: registered mode +parameter MULT_RESET_MODE = "SYNC"; // SYNC, ASYNC + + reg [8:0] ina,inb,ina_reg,inb_reg,ina1_reg,ina1,a_in,b_in; + reg [8:0] ina_reg_async,ina_reg_sync,ina1_reg_async,ina1_reg_sync,inb_reg_async,inb_reg_sync; + reg [17:0] a,b; + reg asign_0,bsign_0,asign_reg0,bsign_reg0; + reg asign_reg0_async,asign_reg0_sync,bsign_reg0_async,bsign_reg0_sync; + wire grstn = GSR.GSRO; + + reg [17:0] out0,out1,out,out0_async,out0_sync,out_async,out_sync,d_out; + wire [17:0] mult_out; + + initial begin + d_out = 0; + end + + // RESET mode + always @(ina_reg_sync or ina_reg_async or inb_reg_sync or inb_reg_async or ina1_reg_async or ina1_reg_sync or asign_reg0_async or asign_reg0_sync or bsign_reg0_async or bsign_reg0_sync or out0_async or out0_sync or out_async or out_sync) + begin + if (MULT_RESET_MODE == "ASYNC") + begin + ina_reg <= ina_reg_async; + ina1_reg <= ina1_reg_async; + inb_reg <= inb_reg_async; + asign_reg0 <= asign_reg0_async; + bsign_reg0 <= bsign_reg0_async; + out0 <= out0_async; + out <= out_async; + end + else if (MULT_RESET_MODE == "SYNC") + begin + ina_reg <= ina_reg_sync; + ina1_reg <= ina1_reg_sync; + inb_reg <= inb_reg_sync; + asign_reg0 <= asign_reg0_sync; + bsign_reg0 <= bsign_reg0_sync; + out0 <= out0_sync; + out <= out_sync; + end + end + + always @(ASEL or A or SIA) + begin + if (ASEL == 1'b0) begin + a_in = A; + end else if (ASEL == 1'b1) begin + a_in= SIA; + end + end + + always @(BSEL or B or SIB) + begin + if (BSEL == 1'b0) begin + b_in = B; + end else if (BSEL == 1'b1) begin + b_in = SIB; + end + end + + // input reg + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + ina_reg_async <= 0; + ina1_reg_async <= 0; + end else if (RESET == 1'b1) + begin + ina_reg_async <= 0; + ina1_reg_async <= 0; + end + else if (CE == 1'b1) + begin + ina_reg_async <= a_in; + ina1_reg_async <= ina; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + ina_reg_sync <= 0; + ina1_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + ina_reg_sync <= 0; + ina1_reg_sync <= 0; + end + else if (CE == 1'b1) + begin + ina_reg_sync <= a_in; + ina1_reg_sync <= ina; + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + inb_reg_async <= 0; + end else if (RESET == 1'b1) + begin + inb_reg_async <= 0; + end + else if (CE == 1'b1) + begin + inb_reg_async <= b_in; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + inb_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + inb_reg_sync <= 0; + end + else if (CE == 1'b1) + begin + inb_reg_sync <= b_in; + end + end + + always @(ina_reg or a_in) + begin + if (AREG == 1'b0) + begin + ina = a_in; + end else + begin + ina = ina_reg; + end + end + + always @(ina1_reg or ina) + begin + if (SOA_REG == 1'b0) begin + ina1 = ina; + end else if (SOA_REG == 1'b1) begin + ina1 = ina1_reg; + end + end + + assign SOA = ina1; + + always @(inb_reg or b_in) + begin + if (BREG == 1'b0) + begin + inb = b_in; + end else + begin + inb = inb_reg; + end + end + + assign SOB = inb; + + // mult operation: asign,bsign->Sign bit + always @(ina or asign_0) + begin + if (asign_0 == 1'b1) + begin + a[8:0] = ina[8:0]; + a[17:9] = {ina[8],ina[8],ina[8],ina[8],ina[8],ina[8],ina[8],ina[8],ina[8]}; + end else + begin + a[8:0] = ina[8:0]; + a[17:9] = 0; + end + end + + always @(inb or bsign_0) + begin + if (bsign_0 == 1'b1) + begin + b[8:0] = inb[8:0]; + b[17:9] = {inb[8],inb[8],inb[8],inb[8],inb[8],inb[8],inb[8],inb[8],inb[8]}; + end else + begin + b[8:0] = inb[8:0]; + b[17:9] = 0; + end + end + + assign mult_out = (!a || !b)? 0 : a * b ; + + // sign reg + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + asign_reg0_async <= 0; + end else if (RESET == 1'b1) + begin + asign_reg0_async <= 0; + end + else if (CE == 1'b1) + begin + asign_reg0_async <= ASIGN; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + asign_reg0_sync <= 0; + end else if (RESET == 1'b1) + begin + asign_reg0_sync <= 0; + end + else if (CE == 1'b1) + begin + asign_reg0_sync <= ASIGN; + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + bsign_reg0_async <= 0; + end else if (RESET == 1'b1) + begin + bsign_reg0_async <= 0; + end + else if (CE == 1'b1) + begin + bsign_reg0_async <= BSIGN; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + bsign_reg0_sync <= 0; + end else if (RESET == 1'b1) + begin + bsign_reg0_sync <= 0; + end + else if (CE == 1'b1) + begin + bsign_reg0_sync <= BSIGN; + end + end + + always @(ASIGN or asign_reg0) + begin + if (ASIGN_REG == 1'b0) begin + asign_0 = ASIGN; + end else begin + asign_0 = asign_reg0; + end + end + + always @(BSIGN or bsign_reg0) + begin + if (BSIGN_REG == 1'b0) begin + bsign_0 = BSIGN; + end else begin + bsign_0 = bsign_reg0; + end + end + + // pipeline reg + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + out0_async <= 0; + end else if (RESET == 1'b1) + begin + out0_async <= 0; + end + else if (CE == 1'b1) + begin + out0_async <= mult_out; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + out0_sync <= 0; + end else if (RESET == 1'b1) + begin + out0_sync <= 0; + end + else if (CE == 1'b1) + begin + out0_sync <= mult_out; + end + end + + always @(mult_out or out0) + begin + if (PIPE_REG == 1'b0) + begin + out1 = mult_out; + end else + begin + out1 = out0; + end + end + + // output reg + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + out_async <= 0; + end else if (RESET == 1'b1) begin + out_async <= 0; + end else if (CE == 1'b1) begin + out_async <= out1; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + out_sync <= 0; + end else if (RESET == 1'b1) begin + out_sync <= 0; + end else if (CE == 1'b1) begin + out_sync <= out1; + end + end + + always @(out1 or out) + begin + if (OUT_REG == 1'b0) begin + d_out = out1; + end else begin + d_out = out; + end + end + + assign DOUT = d_out; + +endmodule + +//MULT18X18 +module MULT18X18 (DOUT, SOA, SOB, A, B, SIA, SIB, ASEL, BSEL, ASIGN, BSIGN, CLK, CE, RESET); + +input [17:0] A,SIA; +input [17:0] B,SIB; +input ASIGN,BSIGN; +input ASEL,BSEL; +input CE; +input CLK; +input RESET; +output [35:0] DOUT; +output [17:0] SOA,SOB; + +parameter AREG = 1'b0;// 1'b0: bypass mode; 1'b1: registered mode +parameter BREG = 1'b0; +parameter OUT_REG = 1'b0; +parameter PIPE_REG = 1'b0; +parameter ASIGN_REG = 1'b0; +parameter BSIGN_REG = 1'b0; +parameter SOA_REG = 1'b0; +parameter MULT_RESET_MODE = "SYNC"; // SYNC, ASYNC + + reg [17:0] ina,inb,ina_reg,inb_reg,ina1_reg,ina1,a_in,b_in; + reg [17:0] ina_reg_async,ina_reg_sync,ina1_reg_async,ina1_reg_sync,inb_reg_async,inb_reg_sync; + reg [35:0] a,b; + reg asign_0,bsign_0,asign_reg0,bsign_reg0; + reg asign_reg0_async,asign_reg0_sync,bsign_reg0_async,bsign_reg0_sync; + wire grstn = GSR.GSRO; + + reg [35:0] out0,out1,out,out0_async,out0_sync,out_async,out_sync,m_out; + wire [35:0] mult_out; + + initial + begin + m_out = 0; + end + + // RESET mode + always @(ina_reg_sync or ina_reg_async or ina1_reg_sync or ina1_reg_async or inb_reg_sync or inb_reg_async or asign_reg0_async or asign_reg0_sync or bsign_reg0_async or bsign_reg0_sync or out0_async or out0_sync or out_async or out_sync) + begin + if (MULT_RESET_MODE == "ASYNC") + begin + ina_reg <= ina_reg_async; + ina1_reg <= ina1_reg_async; + inb_reg <= inb_reg_async; + asign_reg0 <= asign_reg0_async; + bsign_reg0 <= bsign_reg0_async; + out0 <= out0_async; + out <= out_async; + end + else if (MULT_RESET_MODE == "SYNC") + begin + ina_reg <= ina_reg_sync; + ina1_reg <= ina1_reg_sync; + inb_reg <= inb_reg_sync; + asign_reg0 <= asign_reg0_sync; + bsign_reg0 <= bsign_reg0_sync; + out0 <= out0_sync; + out <= out_sync; + end + end + + always @(ASEL or A or SIA) + begin + if (ASEL == 1'b0) begin + a_in = A; + end else if (ASEL == 1'b1) begin + a_in = SIA; + end + end + + always @(BSEL or B or SIB) + begin + if (BSEL == 1'b0) begin + b_in = B; + end else if (BSEL == 1'b1) begin + b_in = SIB; + end + end + + // input reg + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + ina_reg_async <= 0; + ina1_reg_async <= 0; + end else if (RESET == 1'b1) + begin + ina_reg_async <= 0; + ina1_reg_async <= 0; + end + else if (CE == 1'b1) + begin + ina_reg_async <= a_in; + ina1_reg_async <= ina; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + ina_reg_sync <= 0; + ina1_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + ina_reg_sync <= 0; + ina1_reg_sync <= 0; + end + else if (CE == 1'b1) + begin + ina_reg_sync <= a_in; + ina1_reg_sync <= ina; + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + inb_reg_async <= 0; + end else if (RESET == 1'b1) + begin + inb_reg_async <= 0; + end + else if (CE == 1'b1) + begin + inb_reg_async <= b_in; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + inb_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + inb_reg_sync <= 0; + end + else if (CE == 1'b1) + begin + inb_reg_sync <= b_in; + end + end + + always @(ina_reg or a_in) + begin + if (AREG == 1'b0) + begin + ina = a_in; + end else + begin + ina = ina_reg; + end + end + + always @(ina1_reg or ina) + begin + if (SOA_REG == 1'b0) + begin + ina1 = ina; + end else + begin + ina1 = ina1_reg; + end + end + + assign SOA = ina1; + + always @(inb_reg or b_in) + begin + if (BREG == 1'b0) + begin + inb = b_in; + end else + begin + inb = inb_reg; + end + end + + assign SOB = inb; + + // mult operation: asign,bsign->Sign bit + always @(ina or asign_0) + begin + if (asign_0 == 1'b1) + begin + a[17:0] = ina[17:0]; + a[35:18] = { ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17]}; + end else + begin + a[17:0] = ina[17:0]; + a[35:18] = 0; + end + end + + always @(inb or bsign_0) + begin + if (bsign_0 == 1'b1) + begin + b[17:0] = inb[17:0]; + b[35:18] = {inb[17],inb[17],inb[17],inb[17],inb[17],inb[17],inb[17],inb[17],inb[17],inb[17],inb[17],inb[17],inb[17],inb[17],inb[17],inb[17],inb[17],inb[17]}; + end else + begin + b[17:0] = inb[17:0]; + b[35:18] = 0; + end + end + + assign mult_out = (!a || !b)? 0 : a * b ; + + // sign reg + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + asign_reg0_async <= 0; + end else if (RESET == 1'b1) + begin + asign_reg0_async <= 0; + end + else if (CE == 1'b1) + begin + asign_reg0_async <= ASIGN; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + asign_reg0_sync <= 0; + end else if (RESET == 1'b1) + begin + asign_reg0_sync <= 0; + end + else if (CE == 1'b1) + begin + asign_reg0_sync <= ASIGN; + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + bsign_reg0_async <= 0; + end else if (RESET == 1'b1) + begin + bsign_reg0_async <= 0; + end + else if (CE == 1'b1) + begin + bsign_reg0_async <= BSIGN; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + bsign_reg0_sync <= 0; + end else if (RESET == 1'b1) + begin + bsign_reg0_sync <= 0; + end + else if (CE == 1'b1) + begin + bsign_reg0_sync <= BSIGN; + end + end + + always @(ASIGN or asign_reg0) + begin + if (ASIGN_REG == 1'b0) begin + asign_0 = ASIGN; + end else begin + asign_0 = asign_reg0; + end + end + + always @(BSIGN or bsign_reg0) + begin + if (BSIGN_REG == 1'b0) begin + bsign_0 = BSIGN; + end else begin + bsign_0 = bsign_reg0; + end + end + + // pipeline reg + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + out0_async <= 0; + end else if (RESET == 1'b1) + begin + out0_async <= 0; + end + else if (CE == 1'b1) + begin + out0_async <= mult_out; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + out0_sync <= 0; + end else if (RESET == 1'b1) + begin + out0_sync <= 0; + end + else if (CE == 1'b1) + begin + out0_sync <= mult_out; + end + end + + always @(mult_out or out0) + begin + if (PIPE_REG == 1'b0) + begin + out1 = mult_out; + end else + begin + out1 = out0; + end + end + + // output reg + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + out_async <= 0; + end else if (RESET == 1'b1) begin + out_async <= 0; + end else if (CE == 1'b1) begin + out_async <= out1; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + out_sync <= 0; + end else if (RESET == 1'b1) begin + out_sync <= 0; + end else if (CE == 1'b1) begin + out_sync <= out1; + end + end + + always @(out1 or out) + begin + if (OUT_REG == 1'b0) begin + m_out = out1; + end else begin + m_out = out; + end + end + + assign DOUT = m_out; + +endmodule + +//MULTALU section +// MULT36X36 +module MULT36X36 (DOUT, A, B, ASIGN, BSIGN, CLK, CE, RESET); + +input [35:0] A; +input [35:0] B; +input ASIGN,BSIGN; +input CE; +input CLK; +input RESET; +output [71:0] DOUT; + +parameter AREG = 1'b0;// 1'b0: bypass mode; 1'b1: registered mode +parameter BREG = 1'b0; +parameter OUT0_REG = 1'b0; +parameter OUT1_REG = 1'b0; +parameter PIPE_REG = 1'b0; +parameter ASIGN_REG = 1'b0; +parameter BSIGN_REG = 1'b0; +parameter MULT_RESET_MODE = "SYNC"; // SYNC, ASYNC + + reg [35:0] ina,ina_reg,a_in,ina_reg_async,ina_reg_sync; + reg [35:0] b_in,inb,inb_reg,inb_reg_async,inb_reg_sync; + reg [71:0] a,b; + reg asign_0,bsign_0,asign_reg0,bsign_reg0,asign_reg1,bsign_reg1,asign_1,bsign_1; + reg asign_reg0_async,asign_reg0_sync,bsign_reg0_async,bsign_reg0_sync; + wire grstn = GSR.GSRO; + + wire [71:0] mult_out; + reg [71:0] out_pipe_reg_async,out_pipe_reg_sync,out_pipe_reg,out_pipe,out0_reg_async,out0_reg_sync,out0_reg,m_out0,m_out; + reg [71:18] out1_reg_async,out1_reg_sync,out1_reg,m_out1; + + + initial + begin + m_out = 0; + end + + // RESET mode + always @(ina_reg_sync or ina_reg_async or inb_reg_sync or inb_reg_async or asign_reg0_async or asign_reg0_sync or bsign_reg0_async or bsign_reg0_sync or out_pipe_reg_async or out_pipe_reg_sync or out0_reg_async or out0_reg_sync or out1_reg_async or out1_reg_sync) + begin + if (MULT_RESET_MODE == "ASYNC") + begin + ina_reg <= ina_reg_async; + inb_reg <= inb_reg_async; + asign_reg0 <= asign_reg0_async; + bsign_reg0 <= bsign_reg0_async; + out_pipe_reg <= out_pipe_reg_async; + out0_reg <= out0_reg_async; + out1_reg <= out1_reg_async; + end + else if (MULT_RESET_MODE == "SYNC") + begin + ina_reg <= ina_reg_sync; + inb_reg <= inb_reg_sync; + asign_reg0 <= asign_reg0_sync; + bsign_reg0 <= bsign_reg0_sync; + out_pipe_reg <= out_pipe_reg_sync; + out0_reg <= out0_reg_sync; + out1_reg <= out1_reg_sync; + end + end + + // input reg + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + ina_reg_async <= 0; + end else if (RESET == 1'b1) + begin + ina_reg_async <= 0; + end + else if (CE == 1'b1) + begin + ina_reg_async <= A; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + ina_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + ina_reg_sync <= 0; + end + else if (CE == 1'b1) + begin + ina_reg_sync <= A; + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + inb_reg_async <= 0; + end else if (RESET == 1'b1) + begin + inb_reg_async <= 0; + end + else if (CE == 1'b1) + begin + inb_reg_async <= B; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + inb_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + inb_reg_sync <= 0; + end + else if (CE == 1'b1) + begin + inb_reg_sync <= B; + end + end + + always @(ina_reg or A) + begin + if (AREG == 1'b0) + begin + ina = A; + end else + begin + ina = ina_reg; + end + end + + always @(inb_reg or B) + begin + if (BREG == 1'b0) + begin + inb = B; + end else + begin + inb = inb_reg; + end + end + + // mult operation: asign,bsign->Sign bit + always @(ina or asign_0) + begin + if (asign_0 == 1'b1) + begin + a[35:0] = ina[35:0]; + a[71:36] = { ina[35],ina[35],ina[35],ina[35],ina[35],ina[35],ina[35],ina[35],ina[35],ina[35],ina[35],ina[35],ina[35],ina[35],ina[35],ina[35],ina[35],ina[35],ina[35],ina[35],ina[35],ina[35],ina[35],ina[35],ina[35],ina[35],ina[35],ina[35],ina[35],ina[35],ina[35],ina[35],ina[35],ina[35],ina[35],ina[35]}; + end else + begin + a[35:0] = ina[35:0]; + a[71:36] = 0; + end + end + + always @(inb or bsign_0) + begin + if (bsign_0 == 1'b1) + begin + b[35:0] = inb[35:0]; + b[71:36] = {inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35]}; + end else + begin + b[35:0] = inb[35:0]; + b[71:36] = 0; + end + end + + assign mult_out = (!a || !b)? 0 : a * b ; + + // sign reg + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + asign_reg0_async <= 0; + end else if (RESET == 1'b1) + begin + asign_reg0_async <= 0; + end + else if (CE == 1'b1) + begin + asign_reg0_async <= ASIGN; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + asign_reg0_sync <= 0; + end else if (RESET == 1'b1) + begin + asign_reg0_sync <= 0; + end + else if (CE == 1'b1) + begin + asign_reg0_sync <= ASIGN; + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + bsign_reg0_async <= 0; + end else if (RESET == 1'b1) + begin + bsign_reg0_async <= 0; + end + else if (CE == 1'b1) + begin + bsign_reg0_async <= BSIGN; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + bsign_reg0_sync <= 0; + end else if (RESET == 1'b1) + begin + bsign_reg0_sync <= 0; + end + else if (CE == 1'b1) + begin + bsign_reg0_sync <= BSIGN; + end + end + + always @(ASIGN or asign_reg0) + begin + if (ASIGN_REG == 1'b0) + begin + asign_0 = ASIGN; + end else + begin + asign_0 = asign_reg0; + end + end + + always @(BSIGN or bsign_reg0) + begin + if (BSIGN_REG == 1'b0) + begin + bsign_0 = BSIGN; + end else + begin + bsign_0 = bsign_reg0; + end + end + + // pipeline reg + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + out_pipe_reg_async <= 0; + end else if (RESET == 1'b1) + begin + out_pipe_reg_async <= 0; + end + else if (CE == 1'b1) + begin + out_pipe_reg_async <= mult_out; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + out_pipe_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + out_pipe_reg_sync <= 0; + end + else if (CE == 1'b1) + begin + out_pipe_reg_sync <= mult_out; + end + end + + always @(mult_out or out_pipe_reg) + begin + if (PIPE_REG == 1'b0) + begin + out_pipe = mult_out; + end else + begin + out_pipe = out_pipe_reg; + end + end + + // output reg + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) + begin + out0_reg_async <= 0; + end else if (RESET == 1'b1) + begin + out0_reg_async <= 0; + end else if (CE == 1'b1) + begin + out0_reg_async <= out_pipe; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) + begin + out0_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + out0_reg_sync <= 0; + end else if (CE == 1'b1) + begin + out0_reg_sync <= out_pipe; + end + end + + always @(out_pipe or out0_reg) + begin + if (OUT0_REG == 1'b0) + begin + m_out0 = out_pipe; + end else + begin + m_out0 = out0_reg; + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) + begin + out1_reg_async <= 0; + end else if (RESET == 1'b1) + begin + out1_reg_async <= 0; + end else if (CE == 1'b1) + begin + out1_reg_async <= m_out0[71:18]; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) + begin + out1_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + out1_reg_sync <= 0; + end else if (CE == 1'b1) + begin + out1_reg_sync <= m_out0[71:18]; + end + end + + always @(m_out0 or out1_reg) + begin + if (OUT1_REG == 1'b0) + begin + m_out1 = m_out0[71:18]; + end else + begin + m_out1 = out1_reg; + end + end + + always @(m_out0 or m_out1) + begin + m_out = {m_out1, m_out0[17:0]}; + end + + assign DOUT = m_out; + +endmodule + + +//MULTALU36X18 +module MULTALU36X18 (DOUT, CASO, A, B, C, CASI, ACCLOAD, ASIGN, BSIGN, CLK, CE, RESET); + +input [17:0] A; +input [35:0] B; +input [53:0] C; +input ASIGN,BSIGN,ACCLOAD; +input CE; +input CLK; +input RESET; +input [54:0] CASI; +output [53:0] DOUT; +output [54:0] CASO; + +parameter AREG = 1'b0;// 1'b0: bypass mode, 1'b1: registered mode +parameter BREG = 1'b0; +parameter CREG = 1'b0; +parameter OUT_REG = 1'b0; +parameter PIPE_REG = 1'b0; +parameter ASIGN_REG = 1'b0; +parameter BSIGN_REG = 1'b0; +parameter ACCLOAD_REG0 = 1'b0; +parameter ACCLOAD_REG1 = 1'b0; +parameter MULT_RESET_MODE = "SYNC"; // SYNC, ASYNC +parameter MULTALU36X18_MODE = 0; //0:36x18 +/- C; 1:ACC/0 + 36x18; 2: 36x18 + CASI +parameter C_ADD_SUB = 1'b0; //1'b0: add; 1'b1: sub. + + reg [17:0] ina,ina_reg,ina_reg_async,ina_reg_sync; + reg [35:0] b_in,inb,inb_reg,inb_reg_async,inb_reg_sync; + reg [53:0] a,b,inc,inc_reg,inc_reg_sync,inc_reg_async; + reg asign_0,bsign_0,asign_reg0,bsign_reg0,absign_reg,absign; + reg asign_reg0_async,asign_reg0_sync,bsign_reg0_async,bsign_reg0_sync,absign_reg_async,absign_reg_sync; + reg accload_0, accload0_reg, accload1_reg,accload_1; + reg alu_sel; + reg alu_sel0_reg, alu_sel0, alu_sel1_reg,alu_sel1; + wire grstn = GSR.GSRO; + wire absign_0; + + reg [53:0] out0,out1,out_reg,out0_async,out0_sync; + wire [53:0] mult_out; + wire [54:0] out_ext,inc_ext,acc_load; + reg [54:0] dout,out_async,out_sync,m_out; + + initial + begin + m_out = 0; + alu_sel = 1'b1; + alu_sel0_reg = 1'b0; + alu_sel1_reg = 1'b0; + end + + // RESET mode + always @(ina_reg_sync or ina_reg_async or inb_reg_sync or inb_reg_async or inc_reg_sync or inc_reg_async or asign_reg0_async or asign_reg0_sync or bsign_reg0_async or bsign_reg0_sync or absign_reg_async or absign_reg_sync or out0_async or out0_sync or out_async or out_sync) + begin + if (MULT_RESET_MODE == "ASYNC") + begin + ina_reg <= ina_reg_async; + inb_reg <= inb_reg_async; + inc_reg <= inc_reg_async; + asign_reg0 <= asign_reg0_async; + bsign_reg0 <= bsign_reg0_async; + absign_reg <= absign_reg_async; + out0 <= out0_async; + out_reg <= out_async; + end + else if (MULT_RESET_MODE == "SYNC") + begin + ina_reg <= ina_reg_sync; + inb_reg <= inb_reg_sync; + inc_reg <= inc_reg_sync; + asign_reg0 <= asign_reg0_sync; + bsign_reg0 <= bsign_reg0_sync; + absign_reg <= absign_reg_sync; + out0 <= out0_sync; + out_reg <= out_sync; + end + end + + // input reg + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + ina_reg_async <= 0; + end else if (RESET == 1'b1) + begin + ina_reg_async <= 0; + end + else if (CE == 1'b1) + begin + ina_reg_async <= A; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + ina_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + ina_reg_sync <= 0; + end + else if (CE == 1'b1) + begin + ina_reg_sync <= A; + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + inb_reg_async <= 0; + end else if (RESET == 1'b1) + begin + inb_reg_async <= 0; + end + else if (CE == 1'b1) + begin + inb_reg_async <= B; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + inb_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + inb_reg_sync <= 0; + end + else if (CE == 1'b1) + begin + inb_reg_sync <= B; + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + inc_reg_async <= 0; + end else if (RESET == 1'b1) + begin + inc_reg_async <= 0; + end + else if (CE == 1'b1) + begin + inc_reg_async <= C; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + inc_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + inc_reg_sync <= 0; + end + else if (CE == 1'b1) + begin + inc_reg_sync <= C; + end + end + + always @(ina_reg or A) + begin + if (AREG == 1'b0) + begin + ina = A; + end else + begin + ina = ina_reg; + end + end + + always @(inb_reg or B) + begin + if (BREG == 1'b0) + begin + inb = B; + end else + begin + inb = inb_reg; + end + end + + always @(inc_reg or C) + begin + if (CREG == 1'b0) + begin + inc = C; + end else + begin + inc = inc_reg; + end + end + + // mult operation: asign,bsign->Sign bit + always @(ina or asign_0) + begin + if (asign_0 == 1'b1) + begin + a[17:0] = ina[17:0]; + a[53:18] = {ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17],ina[17]}; + end else + begin + a[17:0] = ina[17:0]; + a[53:18] = 0; + end + end + + always @(inb or bsign_0) + begin + if (bsign_0 == 1'b1) + begin + b[35:0] = inb[35:0]; + b[53:36] = {inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35],inb[35]}; + end else + begin + b[35:0] = inb[35:0]; + b[53:36] = 0; + end + end + + assign mult_out = (!a || !b)? 0 : a * b; + + // sign reg + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + asign_reg0_async <= 0; + end else if (RESET == 1'b1) + begin + asign_reg0_async <= 0; + end + else if (CE == 1'b1) + begin + asign_reg0_async <= ASIGN; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + asign_reg0_sync <= 0; + end else if (RESET == 1'b1) + begin + asign_reg0_sync <= 0; + end + else if (CE == 1'b1) + begin + asign_reg0_sync <= ASIGN; + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + bsign_reg0_async <= 0; + end else if (RESET == 1'b1) + begin + bsign_reg0_async <= 0; + end + else if (CE == 1'b1) + begin + bsign_reg0_async <= BSIGN; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + bsign_reg0_sync <= 0; + end else if (RESET == 1'b1) + begin + bsign_reg0_sync <= 0; + end + else if (CE == 1'b1) + begin + bsign_reg0_sync <= BSIGN; + end + end + + always @(ASIGN or asign_reg0) + begin + if (ASIGN_REG == 1'b0) + begin + asign_0 = ASIGN; + end else + begin + asign_0 = asign_reg0; + end + end + + always @(BSIGN or bsign_reg0) + begin + if (BSIGN_REG == 1'b0) + begin + bsign_0 = BSIGN; + end else begin + bsign_0 = bsign_reg0; + end + end + + assign absign_0 = asign_0 || bsign_0; + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + alu_sel0_reg <= 0; + end else if (CE == 1'b1) + begin + alu_sel0_reg <= alu_sel; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + alu_sel1_reg <= 0; + end else if (CE == 1'b1) + begin + alu_sel1_reg <= alu_sel0; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + accload0_reg <= 0; + end else if (CE == 1'b1) + begin + accload0_reg <= ACCLOAD; + end + end + + always @(ACCLOAD or accload0_reg or alu_sel or alu_sel0_reg) + begin + if (ACCLOAD_REG0 == 1'b0) + begin + accload_0 <= ACCLOAD; + alu_sel0 <= alu_sel; + end else + begin + accload_0 <= accload0_reg; + alu_sel0 <= alu_sel0_reg; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + accload1_reg <= 0; + end else if (CE == 1'b1) + begin + accload1_reg <= accload_0; + end + end + + always @(accload_0 or accload1_reg or alu_sel0 or alu_sel1_reg) + begin + if (ACCLOAD_REG1 == 1'b0) + begin + accload_1 <= accload_0; + alu_sel1 <= alu_sel0; + end else + begin + accload_1 <= accload1_reg; + alu_sel1 <= alu_sel1_reg; + end + end + + //pipeline reg + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + out0_async <= 0; + absign_reg_async <= 0; + end else if (RESET == 1'b1) + begin + out0_async <= 0; + absign_reg_async <= 0; + end + else if (CE == 1'b1) + begin + out0_async <= mult_out; + absign_reg_async <= absign_0; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + out0_sync <= 0; + absign_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + out0_sync <= 0; + absign_reg_sync <= 0; + end + else if (CE == 1'b1) + begin + out0_sync <= mult_out; + absign_reg_sync <= absign_0; + end + end + + always @(mult_out or out0 or absign_0 or absign_reg) + begin + if (PIPE_REG == 1'b0) + begin + out1 = mult_out; + absign = absign_0; + end else + begin + out1 = out0; + absign = absign_reg; + end + end + + assign inc_ext = (alu_sel1) ? {1'b0, inc[53:0]} : 0; + assign out_ext = (alu_sel1) ? {(out1[53] & absign),out1[53:0]} : 0; + assign acc_load = (accload_1) ? m_out : 0; + + always @ (inc_ext or CASI or out_ext or acc_load) + begin + if(MULTALU36X18_MODE == 0) begin //36x18 +/- C + if(C_ADD_SUB == 1'b0) begin + dout = out_ext + inc_ext; + end else begin + dout = out_ext - inc_ext; + end + end else if(MULTALU36X18_MODE == 1) begin //ACC/0 + 36x18 + dout = acc_load + out_ext; + end else if (MULTALU36X18_MODE == 2) begin //36x18 + CASI + dout = out_ext + CASI; + end + end + + // output reg + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + out_async <= 0; + end else if (RESET == 1'b1) + begin + out_async <= 0; + end else if (CE == 1'b1) + begin + out_async <= dout; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + out_sync <= 0; + end else if (RESET == 1'b1) + begin + out_sync <= 0; + end else if (CE == 1'b1) begin + out_sync <= dout; + end + end + + always @(dout or out_reg) + begin + if (OUT_REG == 1'b0) + begin + m_out = dout; + end else begin + m_out = out_reg; + end + end + + assign DOUT = m_out[53:0]; + assign CASO = {m_out[53] & absign,m_out[53:0]}; + +endmodule + + +// MULTADDALU18X18 +module MULTADDALU18X18 (DOUT, CASO, SOA, SOB, A0, B0, A1, B1, C, SIA, SIB, CASI, ACCLOAD, ASEL, BSEL, ASIGN, BSIGN, CLK, CE, RESET); + +input [17:0] A0; +input [17:0] B0; +input [17:0] A1; +input [17:0] B1; +input [53:0] C; +input [17:0] SIA, SIB; +input [1:0] ASIGN, BSIGN; +input [1:0] ASEL, BSEL; +input [54:0] CASI; +input CE; +input CLK; +input RESET; +input ACCLOAD; +output [53:0] DOUT; +output [54:0] CASO; +output [17:0] SOA, SOB; + +parameter A0REG = 1'b0; // 1'b0: bypass mode; 1'b1: registered mode +parameter A1REG = 1'b0; +parameter B0REG = 1'b0; +parameter B1REG = 1'b0; +parameter CREG = 1'b0; +parameter PIPE0_REG = 1'b0; +parameter PIPE1_REG = 1'b0; +parameter OUT_REG = 1'b0; +parameter ASIGN0_REG = 1'b0; +parameter ASIGN1_REG = 1'b0; +parameter ACCLOAD_REG0 = 1'b0; +parameter ACCLOAD_REG1 = 1'b0; +parameter BSIGN0_REG = 1'b0; +parameter BSIGN1_REG = 1'b0; +parameter SOA_REG = 1'b0; +parameter B_ADD_SUB = 1'b0; // 1'b0:add; 1'b1:sub +parameter C_ADD_SUB = 1'b0; +parameter MULTADDALU18X18_MODE = 0;//0:18x18 +/- 18x18 +/- C; 1: ACC/0 + 18x18 +/- 18x18; 2:18x18 +/- 18x18 + CASI +parameter MULT_RESET_MODE = "SYNC";// SYNC,ASYNC + + reg asign0_0_reg_async,asign0_0_reg_sync,asign1_0_reg_async,asign1_0_reg_sync,absign_0_reg_async,absign_0_reg_sync,absign_1_reg_async,absign_1_reg_sync; + reg asign0_0_reg,asign1_0_reg,absign_0_reg,absign_1_reg, asign0_0,asign1_0,absign0_0,absign1_0,absign_0,absign_1; + reg bsign0_0_reg_async,bsign0_0_reg_sync,bsign1_0_reg_async,bsign1_0_reg_sync; + reg bsign0_0,bsign0_0_reg,bsign1_0_reg,bsign1_0; + reg accload_0, accload0_reg, accload1_reg,accload_1; + reg alu_sel; + reg alu_sel0, alu_sel0_reg, alu_sel1_reg,alu_sel1; + + reg [17:0] mina0,mina1,minb0,minb1,ina0_reg, inb0_reg,ina1_reg, inb1_reg,ina2_reg; + reg [17:0] ina0, inb0,ina1, inb1,ina2; + reg [17:0] ina0_reg_async,ina0_reg_sync,ina1_reg_async,ina1_reg_sync,inb0_reg_async,inb0_reg_sync,inb1_reg_async,inb1_reg_sync,ina2_reg_async,ina2_reg_sync; + reg [53:0] inc_reg_async,inc_reg_sync,inc_reg,inc; + wire [35:0] mult_out0,mult_out1; + reg [54:0] out0_0,out1_0; + + reg [35:0] out0_reg_async,out0_reg_sync,out1_reg_async,out1_reg_sync,out0,out0_reg,out1_reg,out1; + wire absign,absign_0_0,absign_1_0; + reg [54:0] dout,m_out,out_reg,out_sync,out_async; + wire [54:0] inc_ext,acc_load; + reg [35:0] a0, b0,a1, b1; + wire grstn = GSR.GSRO; + + initial begin + alu_sel = 1'b1; + alu_sel0_reg = 1'b0; + alu_sel1_reg = 1'b0; + end + + always @(ina0_reg_async or ina0_reg_sync or ina1_reg_async or ina1_reg_sync or ina2_reg_async or ina2_reg_sync or inb0_reg_async or inb0_reg_sync or inb1_reg_async or inb1_reg_sync or inc_reg_async or inc_reg_sync or asign0_0_reg_async or asign0_0_reg_sync or absign_0_reg_async or absign_0_reg_sync or absign_1_reg_async or absign_1_reg_sync or asign1_0_reg_async or asign1_0_reg_sync or bsign0_0_reg_async or bsign0_0_reg_sync or bsign1_0_reg_async or bsign1_0_reg_sync or out0_reg_async or out0_reg_sync or out1_reg_async or out1_reg_sync or out_sync or out_async) + begin + if (MULT_RESET_MODE == "ASYNC") + begin + ina0_reg <= ina0_reg_async; + ina1_reg <= ina1_reg_async; + ina2_reg <= ina2_reg_async; + inb0_reg <= inb0_reg_async; + inb1_reg <= inb1_reg_async; + inc_reg <= inc_reg_async; + asign0_0_reg <= asign0_0_reg_async; + asign1_0_reg <= asign1_0_reg_async; + bsign0_0_reg <= bsign0_0_reg_async; + bsign1_0_reg <= bsign1_0_reg_async; + absign_0_reg <= absign_0_reg_async; + absign_1_reg <= absign_1_reg_async; + out0_reg <= out0_reg_async; + out1_reg <= out1_reg_async; + out_reg <= out_async; + end + else if (MULT_RESET_MODE == "SYNC") + begin + ina0_reg <= ina0_reg_sync; + ina1_reg <= ina1_reg_sync; + ina2_reg <= ina2_reg_sync; + inb0_reg <= inb0_reg_sync; + inb1_reg <= inb1_reg_sync; + inc_reg <= inc_reg_sync; + asign0_0_reg <= asign0_0_reg_sync; + asign1_0_reg <= asign1_0_reg_sync; + bsign0_0_reg <= bsign0_0_reg_sync; + bsign1_0_reg <= bsign1_0_reg_sync; + absign_0_reg <= absign_0_reg_sync; + absign_1_reg <= absign_1_reg_sync; + out0_reg <= out0_reg_sync; + out1_reg <= out1_reg_sync; + out_reg <= out_sync; + end + end + + always @ (A0 or SIA or ASEL) + begin + if(ASEL[0] == 1'b0) + begin + mina0 = A0; + end else begin + mina0 = SIA; + end + end + + // in reg + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + ina0_reg_async <= 0; + end else if (RESET == 1'b1) + begin + ina0_reg_async <= 0; + end + else if (CE == 1'b1) + begin + ina0_reg_async <= mina0; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + ina0_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + ina0_reg_sync <= 0; + end + else if (CE == 1'b1) + begin + ina0_reg_sync <= mina0; + end + end + + always @ (ina0, A1, ASEL) + begin + if(ASEL[1] == 1'b0) + begin + mina1 = A1; + end else begin + mina1 = ina0; + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + ina1_reg_async <= 0; + ina2_reg_async <= 0; + end else if (RESET == 1'b1) + begin + ina1_reg_async <= 0; + ina2_reg_async <= 0; + end + else if (CE == 1'b1) + begin + ina1_reg_async <= mina1; + ina2_reg_async <= ina1; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + ina1_reg_sync <= 0; + ina2_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + ina1_reg_sync <= 0; + ina2_reg_sync <= 0; + end + else if (CE == 1'b1) + begin + ina1_reg_sync <= mina1; + ina2_reg_sync <= ina1; + end + end + + always @(mina0 or ina0_reg) + begin + if (A0REG == 1'b0) + begin + ina0 = mina0; + end else + begin + ina0 = ina0_reg; + end + end + + always @(mina1 or ina1_reg) + begin + if (A1REG == 1'b0) + begin + ina1 = mina1; + end else + begin + ina1 = ina1_reg; + end + end + + always @(ina1 or ina2_reg) + begin + if (SOA_REG == 1'b0) + begin + ina2 = ina1; + end else + begin + ina2 = ina2_reg; + end + end + + assign SOA = ina2; + + always @(B0 or SIB or BSEL) + begin + if(BSEL[0] == 1'b0) + begin + minb0 = B0; + end else begin + minb0 = SIB; + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + inb0_reg_async <= 0; + end else if (RESET == 1'b1) + begin + inb0_reg_async <= 0; + end + else if (CE == 1'b1) + begin + inb0_reg_async <= minb0; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + inb0_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + inb0_reg_sync <= 0; + end + else if (CE == 1'b1) + begin + inb0_reg_sync <= minb0; + end + end + + always @ (B1 or inb0 or BSEL) + begin + if(BSEL[1] == 1'b0) + begin + minb1 = B1; + end else begin + minb1 = inb0; + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + inb1_reg_async <= 0; + end else if (RESET == 1'b1) + begin + inb1_reg_async <= 0; + end + else if (CE == 1'b1) + begin + inb1_reg_async <= minb1; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + inb1_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + inb1_reg_sync <= 0; + end + else if (CE == 1'b1) + begin + inb1_reg_sync <= minb1; + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + inc_reg_async <= 0; + end else if (RESET == 1'b1) + begin + inc_reg_async <= 0; + end + else if (CE == 1'b1) + begin + inc_reg_async <= C; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + inc_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + inc_reg_sync <= 0; + end + else if (CE == 1'b1) + begin + inc_reg_sync <= C; + end + end + + always @(minb0 or inb0_reg) + begin + if (B0REG == 1'b0) + begin + inb0 = minb0; + end else + begin + inb0 = inb0_reg; + end + end + + always @(minb1 or inb1_reg) + begin + if (B1REG == 1'b0) + begin + inb1 = minb1; + end else begin + inb1 = inb1_reg; + end + end + + assign SOB = inb1; + + always @(C or inc_reg) + begin + if (CREG == 1'b0) + begin + inc = C; + end else begin + inc = inc_reg; + end + end + + //asign reg + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + asign0_0_reg_async <= 0; + end else if (RESET == 1'b1) + begin + asign0_0_reg_async <= 0; + end + else if (CE == 1'b1) + begin + asign0_0_reg_async <= ASIGN[0]; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + asign0_0_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + asign0_0_reg_sync <= 0; + end + else if (CE == 1'b1) + begin + asign0_0_reg_sync <= ASIGN[0]; + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + asign1_0_reg_async <= 0; + end else if (RESET == 1'b1) + begin + asign1_0_reg_async <= 0; + end + else if (CE == 1'b1) + begin + asign1_0_reg_async <= ASIGN[1]; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + asign1_0_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + asign1_0_reg_sync <= 0; + end + else if (CE == 1'b1) + begin + asign1_0_reg_sync <= ASIGN[1]; + end + end + + always @(ASIGN[0] or asign0_0_reg) + begin + if (ASIGN0_REG == 1'b0) + begin + asign0_0 = ASIGN[0]; + end else + begin + asign0_0 = asign0_0_reg; + end + end + + always @(ASIGN[1] or asign1_0_reg) + begin + if (ASIGN1_REG == 1'b0) + begin + asign1_0 = ASIGN[1]; + end else + begin + asign1_0 = asign1_0_reg; + end + end + + //bsign reg + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + bsign0_0_reg_async <= 0; + end else if (RESET == 1'b1) + begin + bsign0_0_reg_async <= 0; + end + else if (CE == 1'b1) + begin + bsign0_0_reg_async <= BSIGN[0]; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + bsign0_0_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + bsign0_0_reg_sync <= 0; + end + else if (CE == 1'b1) + begin + bsign0_0_reg_sync <= BSIGN[0]; + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + bsign1_0_reg_async <= 0; + end else if (RESET == 1'b1) + begin + bsign1_0_reg_async <= 0; + end + else if (CE == 1'b1) + begin + bsign1_0_reg_async <= BSIGN[1]; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + bsign1_0_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + bsign1_0_reg_sync <= 0; + end + else if (CE == 1'b1) + begin + bsign1_0_reg_sync <= BSIGN[1]; + end + end + + always @(BSIGN[0] or bsign0_0_reg) + begin + if (BSIGN0_REG == 1'b0) + begin + bsign0_0 = BSIGN[0]; + end else + begin + bsign0_0 = bsign0_0_reg; + end + end + + always @(BSIGN[1] or bsign1_0_reg) + begin + if (BSIGN1_REG == 1'b0) + begin + bsign1_0 = BSIGN[1]; + end else begin + bsign1_0 = bsign1_0_reg; + end + end + + assign absign_0_0 = asign0_0 || bsign0_0; + assign absign_1_0 = asign1_0 || bsign1_0; + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + alu_sel0_reg <= 0; + end else if (CE == 1'b1) + begin + alu_sel0_reg <= alu_sel; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + alu_sel1_reg <= 0; + end else if (CE == 1'b1) + begin + alu_sel1_reg <= alu_sel0; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + accload0_reg <= 0; + end else if (CE == 1'b1) + begin + accload0_reg <= ACCLOAD; + end + end + + always @(ACCLOAD or accload0_reg or alu_sel or alu_sel0_reg) + begin + if (ACCLOAD_REG0 == 1'b0) + begin + accload_0 <= ACCLOAD; + alu_sel0 <= alu_sel; + end else + begin + accload_0 <= accload0_reg; + alu_sel0 <= alu_sel0_reg; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + accload1_reg <= 0; + end else if (CE == 1'b1) + begin + accload1_reg <= accload_0; + end + end + + always @(accload_0 or accload1_reg or alu_sel0 or alu_sel1_reg) + begin + if (ACCLOAD_REG1 == 1'b0) + begin + accload_1 <= accload_0; + alu_sel1 <= alu_sel0; + end else + begin + accload_1 <= accload1_reg; + alu_sel1 <= alu_sel1_reg; + end + end + + always @(ina0 or asign0_0) + begin + if (asign0_0 == 1'b1) + begin + a0[17:0] = ina0[17:0]; + a0[35:18] = {ina0[17],ina0[17],ina0[17],ina0[17],ina0[17],ina0[17],ina0[17],ina0[17],ina0[17],ina0[17],ina0[17],ina0[17],ina0[17],ina0[17],ina0[17],ina0[17],ina0[17],ina0[17]}; + end else + begin + a0[17:0] = ina0[17:0]; + a0[35:18] = 0; + end + end + + always @(ina1 or asign1_0) + begin + if (asign1_0 == 1'b1) + begin + a1[17:0] = ina1[17:0]; + a1[35:18] = {ina1[17],ina1[17],ina1[17],ina1[17],ina1[17],ina1[17],ina1[17],ina1[17],ina1[17],ina1[17],ina1[17],ina1[17],ina1[17],ina1[17],ina1[17],ina1[17],ina1[17],ina1[17]}; + end else + begin + a1[17:0] = ina1[17:0]; + a1[35:18] = 0; + end + end + + always @(inb0 or bsign0_0) + begin + if (bsign0_0 == 1'b1) + begin + b0[17:0] = inb0[17:0]; + b0[35:18] = {inb0[17],inb0[17],inb0[17],inb0[17],inb0[17],inb0[17],inb0[17],inb0[17],inb0[17],inb0[17],inb0[17],inb0[17],inb0[17],inb0[17],inb0[17],inb0[17],inb0[17],inb0[17]}; + end else + begin + b0[17:0] = inb0[17:0]; + b0[35:18] = 0; + end + end + + always @(inb1 or bsign1_0) + begin + if (bsign1_0 == 1'b1) + begin + b1[17:0] = inb1[17:0]; + b1[35:18] = {inb1[17],inb1[17],inb1[17],inb1[17],inb1[17],inb1[17],inb1[17],inb1[17],inb1[17],inb1[17],inb1[17],inb1[17],inb1[17],inb1[17],inb1[17],inb1[17],inb1[17],inb1[17]}; + end else + begin + b1[17:0] = inb1[17:0]; + b1[35:18] = 0; + end + end + + assign mult_out0 = (!a0 || !b0)? 0 : a0 * b0 ; + assign mult_out1 = (!a1 || !b1)? 0 : a1 * b1 ; + + // pipeline reg + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + out0_reg_async <= 0; + absign_0_reg_async <= 1'b0; + end else if (RESET == 1'b1) + begin + out0_reg_async <= 0; + absign_0_reg_async <= 1'b0; + end + else if (CE == 1'b1) + begin + out0_reg_async <= mult_out0; + absign_0_reg_async <= absign_0_0; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + out0_reg_sync <= 0; + absign_0_reg_sync <= 1'b0; + end else if (RESET == 1'b1) + begin + out0_reg_sync <= 0; + absign_0_reg_sync <= 1'b0; + end + else if (CE == 1'b1) + begin + out0_reg_sync <= mult_out0; + absign_0_reg_sync <= absign_0_0; + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + out1_reg_async <= 0; + absign_1_reg_async <= 1'b0; + end else if (RESET == 1'b1) + begin + out1_reg_async <= 0; + absign_1_reg_async <= 1'b0; + end + else if (CE == 1'b1) + begin + out1_reg_async <= mult_out1; + absign_1_reg_async <= absign_1_0; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + out1_reg_sync <= 0; + absign_1_reg_sync <= 1'b0; + end else if (RESET == 1'b1) + begin + out1_reg_sync <= 0; + absign_1_reg_sync <= 1'b0; + end + else if (CE == 1'b1) + begin + out1_reg_sync <= mult_out1; + absign_1_reg_sync <= absign_1_0; + end + end + + always @(mult_out0 or out0_reg or absign_0_0 or absign_0_reg) + begin + if (PIPE0_REG == 1'b0) + begin + out0 = mult_out0; + absign_0 = absign_0_0; + end else + begin + out0 = out0_reg; + absign_0 = absign_0_reg; + end + end + + always @(mult_out1 or out1_reg or absign_1_0 or absign_1_reg) + begin + if (PIPE1_REG == 1'b0) + begin + out1 = mult_out1; + absign_1 = absign_1_0; + end else + begin + out1 = out1_reg; + absign_1 = absign_1_reg; + end + end + + assign absign = absign_0 || absign_1; + + always @(out0 or absign_0 or alu_sel1) + begin + if(alu_sel1) + begin + if (absign_0 == 1'b1) + begin + out0_0[35:0] = out0[35:0]; + out0_0[54:36] = {out0[35], out0[35], out0[35], out0[35], out0[35], out0[35], out0[35], out0[35], out0[35], out0[35], out0[35], out0[35], out0[35], out0[35], out0[35], out0[35], out0[35], out0[35], out0[35]}; + end else + begin + out0_0[35:0] = out0[35:0]; + out0_0[54:36] = 19'b0; + end + end else begin + out0_0[54:0] = 55'b0; + end + end + + always @(out1 or absign_1 or alu_sel1) + begin + if(alu_sel1) + begin + if (absign_1 == 1'b1) + begin + out1_0[35:0] = out1[35:0]; + out1_0[54:36] = {out1[35], out1[35], out1[35], out1[35], out1[35], out1[35], out1[35], out1[35], out1[35], out1[35], out1[35], out1[35], out1[35], out1[35], out1[35], out1[35], out1[35], out1[35], out1[35]}; + end else + begin + out1_0[35:0] = out1[35:0]; + out1_0[54:36] = 19'b0; + end + end else begin + out1_0[54:0] = 55'b0; + end + end + + assign inc_ext = (alu_sel1) ? {1'b0, inc[53:0]} : 0; + assign acc_load = (accload_1) ? m_out : 0; + + always @ (inc_ext or CASI or out0_0 or out1_0 or acc_load) + begin + if(MULTADDALU18X18_MODE == 0) begin //18x18 +/- 18x18 +/- C + if(B_ADD_SUB == 1'b0 && C_ADD_SUB == 1'b0) begin + dout = out0_0 + out1_0 + inc_ext; + end else if(B_ADD_SUB == 1'b0 && C_ADD_SUB == 1'b1) begin + dout = out0_0 + out1_0 - inc_ext; + end else if(B_ADD_SUB == 1'b1 && C_ADD_SUB == 1'b0) begin + dout = out0_0 - out1_0 + inc_ext; + end else if(B_ADD_SUB == 1'b1 && C_ADD_SUB == 1'b1) begin + dout = out0_0 - out1_0 - inc_ext; + end + end else if(MULTADDALU18X18_MODE == 1) begin //accumulator,ACC/0 + 18x18 +/- 18x18 + if(B_ADD_SUB == 1'b0) begin + dout = acc_load + out0_0 + out1_0; + end else begin + dout = acc_load + out0_0 - out1_0; + end + end else if (MULTADDALU18X18_MODE == 2) begin //18x18 +/- 18x18 + CASI + if(B_ADD_SUB == 1'b0) begin + dout = out0_0 + out1_0 + CASI; + end else begin + dout = out0_0 - out1_0 + CASI; + end + end + end + + // output reg + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + out_async <= 0; + end else if (RESET == 1'b1) + begin + out_async <= 0; + end else if (CE == 1'b1) + begin + out_async <= dout; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + out_sync <= 0; + end else if (RESET == 1'b1) + begin + out_sync <= 0; + end else if (CE == 1'b1) + begin + out_sync <= dout; + end + end + + always @(dout or out_reg) + begin + if (OUT_REG == 1'b0) + begin + m_out = dout; + end else + begin + m_out = out_reg; + end + end + + assign DOUT = m_out; + assign CASO = {m_out[53] & absign,m_out[53:0]}; + +endmodule + +// MULTALU18X18 +module MULTALU18X18 (DOUT, CASO, A, B, C, D, CASI, ACCLOAD, ASIGN, BSIGN, DSIGN, CLK, CE, RESET); +input [17:0] A, B; +input CLK,CE,RESET; +input ASIGN, BSIGN; +input ACCLOAD,DSIGN; +input [53:0] C,D; +input [54:0] CASI; +output [53:0] DOUT; +output [54:0] CASO; + +parameter AREG = 1'b0;// 1'b0: bypass mode; 1'b1: registered mode +parameter BREG = 1'b0; +parameter CREG = 1'b0; +parameter DREG = 1'b0; +parameter DSIGN_REG = 1'b0; +parameter ASIGN_REG = 1'b0; +parameter BSIGN_REG = 1'b0; +parameter ACCLOAD_REG0 = 1'b0; +parameter ACCLOAD_REG1 = 1'b0; +parameter MULT_RESET_MODE = "SYNC"; // SYNC, ASYNC +parameter PIPE_REG = 1'b0; +parameter OUT_REG = 1'b0; +parameter B_ADD_SUB = 1'b0; //1'b0: "+"; 1'b1: "-"; +parameter C_ADD_SUB = 1'b0; +parameter MULTALU18X18_MODE = 0; //0:ACC/0 +/- 18x18 +/- C; 1:ACC/0 +/- 18x18 + CASI; 2: 18x18 +/- D + CASI; + + + reg [17:0] ina0_reg_async,ina0_reg_sync,ina0_reg,inb0_reg,ina1,inb1,inb0_reg_async,inb0_reg_sync; + reg [35:0] ina,inb; + reg asign0_reg_async,asign0_reg_sync,bsign0_reg_async,bsign0_reg_sync,asign_0,bsign_0,asign0_reg, bsign0_reg,absign_reg_async, absign_reg,absign_reg_sync, absign; + reg dsign_reg_async,dsign_reg_sync,dsign_0,dsign_reg; + reg accload_0, accload0_reg, accload1_reg,accload_1; + reg alu_sel; + reg alu_sel0, alu_sel0_reg, alu_sel1_reg,alu_sel1; + reg [53:0] ind_0, ind_reg, ind_reg_async, ind_reg_sync; + reg [53:0] inc_reg_async,inc_reg_sync,inc_reg,inc; + reg [35:0] out0_async, out0_sync, out0,out1; + wire [35:0] mult_out; + reg [54:0] ppout1_ext,acc_reg_async, acc_reg_sync, acc_reg; + wire [54:0] acc_load,ind_ext,inc_ext; + reg [54:0] acc_out,dout; + wire grstn = GSR.GSRO; + + initial + begin + acc_reg = 55'b0; + alu_sel = 1'b1; + alu_sel0_reg = 1'b0; + alu_sel1_reg = 1'b0; + end + + always @(ina0_reg_sync or ina0_reg_async or inb0_reg_sync or inb0_reg_async or inc_reg_async or inc_reg_sync or asign0_reg_async or asign0_reg_sync or bsign0_reg_async or bsign0_reg_sync or absign_reg_async or absign_reg_sync or dsign_reg_async or dsign_reg_sync or ind_reg_async or ind_reg_sync or acc_reg_async or acc_reg_sync or out0_async or out0_sync) + begin + if (MULT_RESET_MODE == "ASYNC") + begin + ina0_reg <= ina0_reg_async; + inb0_reg <= inb0_reg_async; + inc_reg <= inc_reg_async; + asign0_reg <= asign0_reg_async; + bsign0_reg <= bsign0_reg_async; + absign_reg <= absign_reg_async; + dsign_reg <= dsign_reg_async; + ind_reg <= ind_reg_async; + out0 <= out0_async; + acc_reg <= acc_reg_async; + end + else if (MULT_RESET_MODE == "SYNC") + begin + ina0_reg <= ina0_reg_sync; + inb0_reg <= inb0_reg_sync; + inc_reg <= inc_reg_sync; + asign0_reg <= asign0_reg_sync; + bsign0_reg <= bsign0_reg_sync; + absign_reg <= absign_reg_sync; + dsign_reg <= dsign_reg_sync; + ind_reg <= ind_reg_sync; + out0 <= out0_sync; + acc_reg <= acc_reg_sync; + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + ina0_reg_async <= 0; + end else if (RESET == 1'b1) + begin + ina0_reg_async <= 0; + end + else if (CE == 1'b1) + begin + ina0_reg_async <= A; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + ina0_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + ina0_reg_sync <= 0; + end + else if (CE == 1'b1) + begin + ina0_reg_sync <= A; + end + end + + always @(A or ina0_reg) + begin + if (AREG == 1'b0) + begin + ina1 = A; + end else begin + ina1 = ina0_reg; + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + inb0_reg_async <= 0; + end else if (RESET == 1'b1) + begin + inb0_reg_async <= 0; + end + else if (CE == 1'b1) + begin + inb0_reg_async <= B; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + inb0_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + inb0_reg_sync <= 0; + end + else if (CE == 1'b1) + begin + inb0_reg_sync <= B; + end + end + + always @(B or inb0_reg) + begin + if (BREG == 1'b0) + begin + inb1 = B; + end else + begin + inb1 = inb0_reg; + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + inc_reg_async <= 0; + end else if (RESET == 1'b1) + begin + inc_reg_async <= 0; + end + else if (CE == 1'b1) + begin + inc_reg_async <= C; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + inc_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + inc_reg_sync <= 0; + end + else if (CE == 1'b1) + begin + inc_reg_sync <= C; + end + end + + always @(C or inc_reg) + begin + if (CREG == 1'b0) + begin + inc = C; + end else begin + inc = inc_reg; + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + asign0_reg_async <= 0; + end else if (RESET == 1'b1) + begin + asign0_reg_async <= 0; + end + else if (CE == 1'b1) + begin + asign0_reg_async <= ASIGN; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + asign0_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + asign0_reg_sync <= 0; + end + else if (CE == 1'b1) + begin + asign0_reg_sync <= ASIGN; + end + end + + always @(ASIGN or asign0_reg) + begin + if (ASIGN_REG == 1'b0) + begin + asign_0 = ASIGN; + end else + begin + asign_0 = asign0_reg; + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + bsign0_reg_async <= 0; + end else if (RESET == 1'b1) + begin + bsign0_reg_async <= 0; + end + else if (CE == 1'b1) + begin + bsign0_reg_async <= BSIGN; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + bsign0_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + bsign0_reg_sync <= 0; + end + else if (CE == 1'b1) + begin + bsign0_reg_sync <= BSIGN; + end + end + + always @(BSIGN or bsign0_reg) + begin + if (BSIGN_REG == 1'b0) + begin + bsign_0 = BSIGN; + end else + begin + bsign_0 = bsign0_reg; + end + end + + assign absign_0 = asign_0 || bsign_0; + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + dsign_reg_async <= 0; + end else if (RESET == 1'b1) + begin + dsign_reg_async <= 0; + end + else if (CE == 1'b1) + begin + dsign_reg_async <= DSIGN; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + dsign_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + dsign_reg_sync <= 0; + end + else if (CE == 1'b1) + begin + dsign_reg_sync <= DSIGN; + end + end + + always @(DSIGN or dsign_reg) + begin + if (DSIGN_REG == 1'b0) + begin + dsign_0 = DSIGN; + end else + begin + dsign_0 = dsign_reg; + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + ind_reg_async <= 0; + end else if (RESET == 1'b1) + begin + ind_reg_async <= 0; + end + else if (CE == 1'b1) + begin + ind_reg_async <= D; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + ind_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + ind_reg_sync <= 0; + end + else if (CE == 1'b1) + begin + ind_reg_sync <= D; + end + end + + always @(D or ind_reg) + begin + if (DREG == 1'b0) + begin + ind_0 = D; + end else + begin + ind_0 = ind_reg; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + alu_sel0_reg <= 0; + end else if (CE == 1'b1) + begin + alu_sel0_reg <= alu_sel; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + alu_sel1_reg <= 0; + end else if (CE == 1'b1) + begin + alu_sel1_reg <= alu_sel0; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + accload0_reg <= 0; + end else if (CE == 1'b1) + begin + accload0_reg <= ACCLOAD; + end + end + + always @(ACCLOAD or accload0_reg or alu_sel or alu_sel0_reg) + begin + if (ACCLOAD_REG0 == 1'b0) + begin + accload_0 <= ACCLOAD; + alu_sel0 <= alu_sel; + end else + begin + accload_0 <= accload0_reg; + alu_sel0 <= alu_sel0_reg; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + accload1_reg <= 0; + end else if (CE == 1'b1) + begin + accload1_reg <= accload_0; + end + end + + always @(accload_0 or accload1_reg or alu_sel0 or alu_sel1_reg) + begin + if (ACCLOAD_REG1 == 1'b0) + begin + accload_1 <= accload_0; + alu_sel1 <= alu_sel0; + end else + begin + accload_1 <= accload1_reg; + alu_sel1 <= alu_sel1_reg; + end + end + + always @(ina1 or asign_0) + begin + if (asign_0 == 1'b1) + begin + ina[17:0] = ina1; + ina[35:18] = {ina1[17],ina1[17],ina1[17],ina1[17],ina1[17],ina1[17],ina1[17],ina1[17],ina1[17],ina1[17],ina1[17],ina1[17],ina1[17],ina1[17],ina1[17],ina1[17],ina1[17],ina1[17]}; + end else + begin + ina[17:0] = ina1; + ina[35:18] = 0; + end + end + + always @(inb1 or bsign_0) + begin + if (bsign_0 == 1'b1) + begin + inb[17:0] = inb1; + inb[35:18] = {inb1[17],inb1[17],inb1[17],inb1[17],inb1[17],inb1[17],inb1[17],inb1[17],inb1[17],inb1[17],inb1[17],inb1[17],inb1[17],inb1[17],inb1[17],inb1[17],inb1[17],inb1[17]}; + end else + begin + inb[17:0] = inb1; + inb[35:18] = 0; + end + end + + assign mult_out = (!ina || !inb)? 0 : ina * inb ; + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + out0_async <= 0; + absign_reg_async <= 0; + end else if (RESET == 1'b1) + begin + out0_async <= 0; + absign_reg_async <= 0; + end + else if (CE == 1'b1) + begin + out0_async <= mult_out; + absign_reg_async <= absign_0; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + out0_sync <= 0; + absign_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + out0_sync <= 0; + absign_reg_sync <= 0; + end + else if (CE == 1'b1) + begin + out0_sync <= mult_out; + absign_reg_sync <= absign_0; + end + end + + always @(mult_out or out0 or absign_0 or absign_reg) + begin + if (PIPE_REG == 1'b0) + begin + out1 = mult_out; + absign = absign_0; + end else + begin + out1 = out0; + absign = absign_reg; + end + end + + always @(out1 or absign or alu_sel1) + begin + if(alu_sel1) + begin + if (absign == 1'b1) + begin + ppout1_ext[35:0] = out1[35:0]; + ppout1_ext[54:36] = {out1[35],out1[35],out1[35],out1[35],out1[35],out1[35],out1[35],out1[35],out1[35],out1[35],out1[35],out1[35],out1[35],out1[35],out1[35],out1[35],out1[35],out1[35],out1[35]}; + end else + begin + ppout1_ext[35:0] = out1[35:0]; + ppout1_ext[54:36] = 19'b0; + end + end else begin + ppout1_ext[54:0] = 55'b0; + end + end + + assign acc_load = (accload_1) ? dout : 0; + assign ind_ext = (alu_sel1) ? {dsign_0 & ind_0[53], ind_0[53:0]} : 0; + assign inc_ext = (alu_sel1) ? {1'b0,inc[53:0]} : 0; + + always @(acc_load or CASI or ppout1_ext or ind_ext or inc_ext) + begin + if(MULTALU18X18_MODE == 0) //ACC/0 +/- 18x18 +/- C + begin + if(B_ADD_SUB == 1'b0 && C_ADD_SUB == 1'b0) + begin + acc_out = acc_load + ppout1_ext + inc_ext; + end else if(B_ADD_SUB == 1'b0 && C_ADD_SUB == 1'b1) + begin + acc_out = acc_load + ppout1_ext - inc_ext; + end else if(B_ADD_SUB == 1'b1 && C_ADD_SUB == 1'b0) + begin + acc_out = acc_load - ppout1_ext + inc_ext; + end else + begin + acc_out = acc_load - ppout1_ext - inc_ext; + end + end else if(MULTALU18X18_MODE == 1) //ACC/0 +/- 18x18 + CASI + begin + if(B_ADD_SUB == 1'b0) + begin + acc_out = acc_load + ppout1_ext + CASI; + end else + begin + acc_out = acc_load - ppout1_ext + CASI; + end + end else if(MULTALU18X18_MODE == 2) //18x18 +/- D + CASI + begin + if(B_ADD_SUB == 1'b0) + begin + acc_out = ppout1_ext + ind_ext + CASI; + end else + begin + acc_out = ppout1_ext - ind_ext + CASI; + end + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + acc_reg_async <= 0; + end else if (RESET == 1'b1) + begin + acc_reg_async <= 0; + end else if (CE == 1'b1) + begin + acc_reg_async <= acc_out; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + acc_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + acc_reg_sync <= 0; + end else if (CE == 1'b1) + begin + acc_reg_sync <= acc_out; + end + end + + always @(acc_reg or acc_out) + begin + if (OUT_REG == 1'b0) + begin + dout = acc_out; + end else + begin + dout = acc_reg; + end + end + + assign DOUT = dout; + assign CASO = {dout[53] & absign,dout[53:0]}; + +endmodule + +//ALU-direct mode +module ALU54D (DOUT, CASO, A, B, CASI, ACCLOAD, ASIGN, BSIGN, CLK, CE, RESET); +input [53:0] A, B; +input ASIGN,BSIGN; +input ACCLOAD; +input [54:0] CASI; +input CLK, CE, RESET; +output [53:0] DOUT; +output [54:0] CASO; + +parameter AREG = 1'b0; //1'b0:bypass mode; 1'b1: register mode +parameter BREG = 1'b0; +parameter ASIGN_REG = 1'b0; +parameter BSIGN_REG = 1'b0; +parameter ACCLOAD_REG = 1'b0; +parameter OUT_REG = 1'b0; +parameter B_ADD_SUB = 1'b0; //1'b0: add; 1'b1:sub; +parameter C_ADD_SUB = 1'b0; +parameter ALUD_MODE = 0;//0:ACC/0 +/- B +/- A; 1:ACC/0 +/- B + CASI; 2:A +/- B + CASI; +parameter ALU_RESET_MODE = "SYNC";//SYNC, ASYNC + + reg [53:0] ina0_reg_async, ina0_reg_sync, ina0_reg, inb0_reg,ina0_sig,inb0_sig, inb0_reg_async, inb0_reg_sync; + reg asign0_reg0_async,asign0_reg0_sync,bsign0_reg0_async,bsign0_reg0_sync,asign0_0,bsign0_0,asign0_reg0, bsign0_reg0; + reg accload_0, accload0_reg; + reg alu_sel; + reg alu_sel0, alu_sel0_reg; + reg [54:0] ina0_ext,inb0_ext,dout_reg_async, dout_reg_sync, dout_reg; + wire [54:0] acc_load; + reg [54:0] m_out,dout; + wire grstn = GSR.GSRO; + + initial + begin + dout_reg = 55'b0; + alu_sel = 1'b1; + alu_sel0_reg = 1'b0; + end + + always @(ina0_reg_sync or ina0_reg_async or inb0_reg_sync or inb0_reg_async or asign0_reg0_async or asign0_reg0_sync or bsign0_reg0_async or bsign0_reg0_sync or dout_reg_async or dout_reg_sync) + begin + if (ALU_RESET_MODE == "ASYNC") + begin + ina0_reg <= ina0_reg_async; + inb0_reg <= inb0_reg_async; + asign0_reg0 <= asign0_reg0_async; + bsign0_reg0 <= bsign0_reg0_async; + dout_reg <= dout_reg_async; + end + else if (ALU_RESET_MODE == "SYNC") + begin + ina0_reg <= ina0_reg_sync; + inb0_reg <= inb0_reg_sync; + asign0_reg0 <= asign0_reg0_sync; + bsign0_reg0 <= bsign0_reg0_sync; + dout_reg <= dout_reg_sync; + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + ina0_reg_async <= 0; + end else if (RESET == 1'b1) + begin + ina0_reg_async <= 0; + end + else if (CE == 1'b1) + begin + ina0_reg_async <= A; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + ina0_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + ina0_reg_sync <= 0; + end + else if (CE == 1'b1) + begin + ina0_reg_sync <= A; + end + end + + always @(A or ina0_reg) + begin + if (AREG == 1'b0) + begin + ina0_sig = A; + end else begin + ina0_sig = ina0_reg; + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + inb0_reg_async <= 0; + end else if (RESET == 1'b1) + begin + inb0_reg_async <= 0; + end + else if (CE == 1'b1) + begin + inb0_reg_async <= B; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + inb0_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + inb0_reg_sync <= 0; + end + else if (CE == 1'b1) + begin + inb0_reg_sync <= B; + end + end + + always @(B or inb0_reg) + begin + if (BREG == 1'b0) + begin + inb0_sig = B; + end else begin + inb0_sig = inb0_reg; + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + asign0_reg0_async <= 0; + end else if (RESET == 1'b1) + begin + asign0_reg0_async <= 0; + end + else if (CE == 1'b1) + begin + asign0_reg0_async <= ASIGN; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + asign0_reg0_sync <= 0; + end else if (RESET == 1'b1) + begin + asign0_reg0_sync <= 0; + end + else if (CE == 1'b1) + begin + asign0_reg0_sync <= ASIGN; + end + end + + always @(ASIGN or asign0_reg0) + begin + if (ASIGN_REG == 1'b0) + begin + asign0_0 = ASIGN; + end else + begin + asign0_0 = asign0_reg0; + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + bsign0_reg0_async <= 0; + end else if (RESET == 1'b1) + begin + bsign0_reg0_async <= 0; + end + else if (CE == 1'b1) + begin + bsign0_reg0_async <= BSIGN; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + bsign0_reg0_sync <= 0; + end else if (RESET == 1'b1) + begin + bsign0_reg0_sync <= 0; + end + else if (CE == 1'b1) + begin + bsign0_reg0_sync <= BSIGN; + end + end + + always @(BSIGN or bsign0_reg0) + begin + if (BSIGN_REG == 1'b0) + begin + bsign0_0 = BSIGN; + end else + begin + bsign0_0 = bsign0_reg0; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + accload0_reg <= 0; + end else if (CE == 1'b1) + begin + accload0_reg <= ACCLOAD; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + alu_sel0_reg <= 0; + end else if (CE == 1'b1) + begin + alu_sel0_reg <= alu_sel; + end + end + + always @(ACCLOAD or accload0_reg or alu_sel or alu_sel0_reg) + begin + if (ACCLOAD_REG == 1'b0) + begin + accload_0 <= ACCLOAD; + alu_sel0 <= alu_sel; + end else + begin + accload_0 <= accload0_reg; + alu_sel0 <= alu_sel0_reg; + end + end + + always @(asign0_0 or bsign0_0 or ina0_sig or inb0_sig or alu_sel0) + begin + if(alu_sel0 == 1'b1) begin + if (asign0_0 == 1'b1) + begin + ina0_ext[53:0] = ina0_sig[53:0]; + ina0_ext[54] = ina0_sig[53]; + end else + begin + ina0_ext[53:0] = ina0_sig[53:0]; + ina0_ext[54] = 1'b0; + end + + if (bsign0_0 == 1'b1) + begin + inb0_ext[53:0] = inb0_sig[53:0]; + inb0_ext[54] = inb0_sig[53]; + end else + begin + inb0_ext[53:0] = inb0_sig[53:0]; + inb0_ext[54] = 1'b0; + end + end else begin + ina0_ext[54:0] = 55'b0; + inb0_ext[54:0] = 55'b0; + end + + end + + assign acc_load = (accload_0) ? m_out : 0; + + always @(acc_load or CASI or ina0_ext or inb0_ext) + begin + if(ALUD_MODE == 0) //ACC/0 +/- B +/- A + begin + if(B_ADD_SUB == 1'b0 && C_ADD_SUB == 1'b0) + begin + dout = acc_load + inb0_ext + ina0_ext; + end else if(B_ADD_SUB == 1'b0 && C_ADD_SUB == 1'b1) + begin + dout = acc_load + inb0_ext - ina0_ext; + end else if(B_ADD_SUB == 1'b1 && C_ADD_SUB == 1'b0) + begin + dout = acc_load - inb0_ext + ina0_ext; + end else + begin + dout = acc_load - inb0_ext - ina0_ext; + end + end else if(ALUD_MODE == 1) //ACC/0 +/- B + CASI + begin + if(B_ADD_SUB == 1'b0) + begin + dout = acc_load + inb0_ext + CASI; + end else + begin + dout = acc_load - inb0_ext + CASI; + end + end else if(ALUD_MODE == 2) //A +/- B + CASI + begin + if(B_ADD_SUB == 1'b0) + begin + dout = ina0_ext + inb0_ext + CASI; + end else + begin + dout = ina0_ext - inb0_ext + CASI; + end + end + end + + always @(posedge CLK or posedge RESET or negedge grstn) + begin + if (!grstn) begin + dout_reg_async <= 0; + end else if (RESET == 1'b1) + begin + dout_reg_async <= 0; + end else if (CE == 1'b1) + begin + dout_reg_async <= dout; + end + end + + always @(posedge CLK or negedge grstn) + begin + if (!grstn) begin + dout_reg_sync <= 0; + end else if (RESET == 1'b1) + begin + dout_reg_sync <= 0; + end else if (CE == 1'b1) + begin + dout_reg_sync <= dout; + end + end + + always @(dout_reg or dout) + begin + if (OUT_REG == 1'b0) + begin + m_out = dout; + end else + begin + m_out = dout_reg; + end + end + + assign DOUT = m_out[53:0]; + assign CASO = m_out; + +endmodule + +//clock buffers +module BUFG (O, I); + +output O; +input I; + +buf BG (O, I); + +endmodule // BUFG (global clock buffer) + + +module BUFS (O, I); + +output O; +input I; + +buf BS (O, I); + +endmodule //BUFS (long wire clock buffer) + + +//Misc +module GND (G); + +output G; + +wire G; + +assign G = 1'b0; + +endmodule + + +module VCC (V); + +output V; + +wire V; + +assign V = 1'b1; + +endmodule + + +module GSR (GSRI); + +input GSRI; + +wire GSRO; + +assign GSRO = GSRI; + +endmodule //GSR (global set/reset control) + +//OSC +module OSC (OSCOUT); +parameter FREQ_DIV = 100; // 2~128,only even num +parameter DEVICE = "GW2A-18";//GW2A-18,GW2A-55,GW2AR-18,GW2A-55C,GW2A-18C,GW2AR-18C +output OSCOUT; + +reg oscr; +realtime half_clk; + +assign OSCOUT = oscr; + +initial begin + oscr = 1'b0; + half_clk = 2*FREQ_DIV; +end + +always + begin + #half_clk; + oscr = 1'b1; + #half_clk; + oscr = 1'b0; + end + +endmodule + +//PLL start +module PLL (CLKOUT, CLKOUTP, CLKOUTD, CLKOUTD3, LOCK, CLKIN, CLKFB, FBDSEL, IDSEL, ODSEL, DUTYDA, PSDA, FDLY, RESET, RESET_P, RESET_I, RESET_S); +input CLKIN; +input CLKFB; +input RESET; +input RESET_P; +input RESET_I; +input RESET_S; +input [5:0] FBDSEL; +input [5:0] IDSEL; +input [5:0] ODSEL; +input [3:0] PSDA,FDLY; +input [3:0] DUTYDA; + +output CLKOUT; +output LOCK; +output CLKOUTP; +output CLKOUTD; +output CLKOUTD3; + +parameter FCLKIN = "100.0"; // frequency of the CLKIN(M) +parameter DYN_IDIV_SEL= "false";//true:IDSEL; false:IDIV_SEL +parameter IDIV_SEL = 0; // 0:1,1:2...63:64. 1~64 +parameter DYN_FBDIV_SEL= "false";//true:FBDSEL; false:FBDIV_SEL +parameter FBDIV_SEL = 0; // 0:1,1:2...63:64. 1~64 +parameter DYN_ODIV_SEL= "false";//true:ODSEL; false:ODIV_SEL +parameter ODIV_SEL = 8; // 2/4/8/16/32/48/64/80/96/112/128 + +parameter PSDA_SEL= "0000";// +parameter DYN_DA_EN = "false";//true:PSDA or DUTYDA or FDA; false: DA_SEL +parameter DUTYDA_SEL= "1000";// + +parameter CLKOUT_FT_DIR = 1'b1; // CLKOUT fine tuning direction. 1'b1 only +parameter CLKOUTP_FT_DIR = 1'b1; // 1'b1 only +parameter CLKOUT_DLY_STEP = 0; // 0,1,2,4 +parameter CLKOUTP_DLY_STEP = 0; // 0,1,2 + +parameter CLKFB_SEL = "internal"; //"internal", "external"; +parameter CLKOUT_BYPASS = "false"; //"true"; "false" +parameter CLKOUTP_BYPASS = "false"; //"true"; "false" +parameter CLKOUTD_BYPASS = "false"; //"true"; "false" +parameter DYN_SDIV_SEL = 2; // 2~128,only even num +parameter CLKOUTD_SRC = "CLKOUT"; //CLKOUT,CLKOUTP +parameter CLKOUTD3_SRC = "CLKOUT"; //CLKOUT,CLKOUTP +parameter DEVICE = "GW2A-18";//"GW2A-18","GW2A-55","GW2AR-18","GW2A-55C","GW2A-18C","GW2AR-18C" + +wire resetn; +wire [5:0] IDIV_SEL_reg,FBDIV_SEL_reg; +wire [5:0] IDIV_dyn,FBDIV_dyn; +reg [5:0] IDIV_SEL_reg1,FBDIV_SEL_reg1,ODSEL_reg; +wire div_dyn_change; +integer IDIV_reg,FBDIV_reg; +wire clk_div_src; +reg clk_effect,oclk_effect,oclk_build; +realtime curtime,pretime,fb_delay; +realtime clkin_cycle[4:0]; +realtime clkin_period,clkin_period1,clkout_period,tclkout_half,tclkout_half_new; +realtime clkfb_curtime,clkin_curtime,FB_dly,FB_dly0; +reg clkin_init,fb_clk_init; +reg clkout,clk_out,clkfb_reg,clkoutp,clk_ps_reg,clk_ps_reg0; +reg clkfb; +reg lock_reg; +realtime ps_dly,f_dly,clkout_duty, ps_value, duty_value,tclkp_duty; +real unit_div=1.0, real_fbdiv=1.0; +integer cnt_div; +reg clkout_div_reg; +integer multi_clkin; +wire div3_in; +integer cnt_div3; +reg div3_reg; +reg clkfb_init,div3_init,pre_div3_in; + + +initial begin +IDIV_reg = 1; +FBDIV_reg = 1; +clkin_cycle[0] = 0; +clkin_cycle[1] = 0; +clkin_cycle[2] = 0; +clkin_cycle[3] = 0; +clkin_cycle[4] = 0; +clkin_period = 0; +clkin_period1 = 0; +clkout_period = 0; +clk_effect = 1'b0; +oclk_effect = 1'b0; +oclk_build = 1'b0; +clkfb_reg = 1'b0; +clkout = 1'b0; +clk_out = 1'b0; +clkfb = 1'b0; +clkoutp = 1'b0; +clkin_init = 1'b1; +fb_clk_init = 1'b1; +clkfb_init = 1'b1; +FB_dly = 0.0; +FB_dly0 = 0.0; +clkin_curtime = 0.0; +clkfb_curtime = 0.0; +lock_reg = 0; +clk_ps_reg=0; +clk_ps_reg0=0; +clkout_div_reg=0; +cnt_div=0; +div3_init = 1'b1; +cnt_div3=0; +div3_reg=0; +f_dly = 0.0; +ps_dly = 0.0; +//////////// +end + +assign resetn = ~( RESET | RESET_P | RESET_I | RESET_S); + +// determine period of CLKIN and clkout +always @(posedge CLKIN or negedge resetn) begin + if(!resetn) begin + clk_effect <= 1'b0; + end else begin + pretime <= curtime; + curtime <= $realtime; + + if(pretime>0) begin + clkin_cycle[0] <= curtime - pretime; + end + + if(clkin_cycle[0] > 0) begin + clkin_cycle[1] <= clkin_cycle[0]; + clkin_cycle[2] <= clkin_cycle[1]; + clkin_cycle[3] <= clkin_cycle[2]; + clkin_cycle[4] <= clkin_cycle[3]; + end + + if (clkin_cycle[0] > 0) begin + if(((clkin_cycle[0] - clkin_period1 < 0.01) && (clkin_cycle[0] - clkin_period1 > -0.01)) &&(!div_dyn_change)) begin + clk_effect <= 1'b1; + clkin_period <= clkin_period1; + end else begin + clk_effect <= 1'b0; + end + end + end +end + +always @(clkin_cycle[0] or clkin_cycle[1] or clkin_cycle[2] or clkin_cycle[3] or clkin_cycle[4] or clkin_period1) begin + if(clkin_cycle[0]!=clkin_period1) begin + clkin_period1 <= (clkin_cycle[0]+clkin_cycle[1]+clkin_cycle[2]+clkin_cycle[3]+clkin_cycle[4])/5; + end +end + +/*IDSEL/FBDSEL IDIV_dyn/FBDIV_dyn +111111 divider /1 +111110 divider /2 +. . +. . +. . +000000 divider /64 +*/ +assign IDIV_dyn = 64 - IDSEL; +assign FBDIV_dyn = 64 - FBDSEL; + +assign IDIV_SEL_reg = (RESET_I == 1'b1)? 1 : ((DYN_IDIV_SEL == "true") ? IDIV_dyn : (IDIV_SEL+1)) ; +assign FBDIV_SEL_reg = (DYN_FBDIV_SEL == "true") ? FBDIV_dyn : (FBDIV_SEL+1) ; + +always @(posedge CLKIN) begin + IDIV_SEL_reg1 <= IDIV_SEL_reg; + FBDIV_SEL_reg1 <= FBDIV_SEL_reg; + ODSEL_reg <= ODSEL; +end + +assign div_dyn_change = (IDIV_SEL_reg1 != IDIV_SEL_reg) || (FBDIV_SEL_reg1 != FBDIV_SEL_reg) || (ODSEL_reg != ODSEL); + +always @(clkin_period or IDIV_SEL_reg or FBDIV_SEL_reg) begin + real_fbdiv = (FBDIV_SEL_reg * unit_div); + clkout_period = ((clkin_period * IDIV_SEL_reg) / real_fbdiv); + tclkout_half = (clkout_period / 2); +end + +realtime clk_tlock_cur; +realtime max_tlock; +integer cnt_lock; +initial begin + clk_tlock_cur = 0.0; + max_tlock = 0.0; + cnt_lock = 0; +end + +// lock time +always @(posedge CLKIN or negedge resetn) begin + if (resetn == 1'b0) begin + max_tlock <= 0.0; + end else begin + if((clkin_cycle[0] >= 2) && (clkin_cycle[0] <= 40)) begin + max_tlock <= 50000; + end else if ((clkin_cycle[0] > 40) && (clkin_cycle[0] <= 500)) begin + max_tlock <= 200000; + end + end +end + +always @(posedge CLKIN or negedge resetn) begin + if (resetn == 1'b0) begin + lock_reg <= 1'b0; + oclk_effect <= 1'b0; + end else begin + if(clk_effect == 1'b1) begin + cnt_lock <= cnt_lock + 1; + + if(cnt_lock > ((max_tlock/clkin_period) - 10)) begin + oclk_effect <= 1'b1; + end else begin + oclk_effect <= 1'b0; + end + + if(cnt_lock > (max_tlock/clkin_period)) begin + lock_reg <= 1'b1; + end else begin + lock_reg <= 1'b0; + end + end else begin + oclk_effect <= 1'b0; + cnt_lock <= 0; + lock_reg <= 1'b0; + end + end +end + +// calculate CLKFB feedback delay +always @(posedge CLKIN) begin + if (clkin_init == 1'b1) begin + clkin_curtime=$realtime; + clkin_init = 1'b0; + end +end + +always @(posedge CLKFB) begin + if (fb_clk_init == 1'b1) begin + clkfb_curtime=$realtime; + fb_clk_init = 1'b0; + end +end + +always @(CLKFB or CLKIN) begin + if ((clkfb_curtime > 0) && (clkin_curtime > 0)) begin + FB_dly0 = clkfb_curtime - clkin_curtime; + if ((FB_dly0 >= 0) && (clkin_cycle[0] > 0)) begin + multi_clkin = FB_dly0 / (clkin_cycle[0]); + FB_dly = clkin_cycle[0] - (FB_dly0 - (clkin_cycle[0]) * multi_clkin); + end + end +end + +// clkout +always @(clkfb_reg or oclk_effect) begin + if(oclk_effect == 1'b0) begin + clkfb_reg = 1'b0; + end + else begin + if(clkfb_init == 1'b1) begin + clkfb_reg <= 1'b1; + clkfb_init = 1'b0; + end + else begin + clkfb_reg <= #tclkout_half ~clkfb_reg; + end + end +end + +always @(clkfb_reg) begin + if (CLKFB_SEL == "internal") begin + clkfb <= clkfb_reg; + end else begin + clkfb <= #(FB_dly) clkfb_reg; + end +end + +always @(posedge clkfb) begin + clkout <= 1'b1; + #tclkout_half_new + clkout <= 1'b0; +end + +always @(CLKIN or oclk_effect or clkout or resetn) begin + if (resetn == 1'b0) begin + clk_out <= 1'b0; + end else if(CLKOUT_BYPASS == "true") begin + clk_out <= CLKIN; + end + //else if (oclk_effect == 1'b1) begin + else begin + clk_out <= clkout; + end +end + +assign CLKOUT = clk_out; +assign LOCK = lock_reg; + +//clkout_p +// DYN_DA_EN == "false". +// phase_shift_value +always @(*) begin + case (PSDA_SEL) + "0000": ps_value = (clkout_period * 0)/16; + "0001": ps_value = (clkout_period * 1)/16; + "0010": ps_value = (clkout_period * 2)/16; + "0011": ps_value = (clkout_period * 3)/16; + "0100": ps_value = (clkout_period * 4)/16; + "0101": ps_value = (clkout_period * 5)/16; + "0110": ps_value = (clkout_period * 6)/16; + "0111": ps_value = (clkout_period * 7)/16; + "1000": ps_value = (clkout_period * 8)/16; + "1001": ps_value = (clkout_period * 9)/16; + "1010": ps_value = (clkout_period * 10)/16; + "1011": ps_value = (clkout_period * 11)/16; + "1100": ps_value = (clkout_period * 12)/16; + "1101": ps_value = (clkout_period * 13)/16; + "1110": ps_value = (clkout_period * 14)/16; + "1111": ps_value = (clkout_period * 15)/16; + endcase +end + +always @(*) begin + case (DUTYDA_SEL) + "0000": duty_value = (clkout_period * 0)/16; + "0001": duty_value = (clkout_period * 1)/16; + "0010": duty_value = (clkout_period * 2)/16; + "0011": duty_value = (clkout_period * 3)/16; + "0100": duty_value = (clkout_period * 4)/16; + "0101": duty_value = (clkout_period * 5)/16; + "0110": duty_value = (clkout_period * 6)/16; + "0111": duty_value = (clkout_period * 7)/16; + "1000": duty_value = (clkout_period * 8)/16; + "1001": duty_value = (clkout_period * 9)/16; + "1010": duty_value = (clkout_period * 10)/16; + "1011": duty_value = (clkout_period * 11)/16; + "1100": duty_value = (clkout_period * 12)/16; + "1101": duty_value = (clkout_period * 13)/16; + "1110": duty_value = (clkout_period * 14)/16; + "1111": duty_value = (clkout_period * 15)/16; + endcase +end + +//DYN_DA_EN = "true" +always @(FDLY) begin + if(DYN_DA_EN == "true") begin + case(FDLY) + 4'b1111 : f_dly = 0.000; + 4'b1110 : f_dly = 0.125; + 4'b1101 : f_dly = 0.250; + 4'b1011 : f_dly = 0.500; + 4'b0111 : f_dly = 1.000; + default : f_dly = 0.000; + endcase + end +end + +always @ (PSDA or DUTYDA or ps_value or duty_value) begin + if (DYN_DA_EN == "true") begin + ps_dly = (clkout_period *PSDA)/16; + if (DUTYDA > PSDA) begin + clkout_duty = (clkout_period * (DUTYDA - PSDA))/16; + end else if (DUTYDA < PSDA) begin + clkout_duty = (clkout_period*(16 + DUTYDA - PSDA))/16; + end else begin + clkout_duty = (clkout_period)/2; + end + end else begin + ps_dly= ps_value; + clkout_duty = duty_value; + end +end + +always @(tclkout_half or clkout_duty) begin + if (DYN_DA_EN == "false") begin + tclkout_half_new <= tclkout_half; + tclkp_duty <= clkout_duty; + end else begin + if (CLKOUT_FT_DIR == 1'b1) begin + tclkout_half_new <= tclkout_half - (0.05 * CLKOUT_DLY_STEP); + end else begin + tclkout_half_new <= tclkout_half + (0.05 * CLKOUT_DLY_STEP); + end + + if (CLKOUTP_FT_DIR == 1'b1) begin + tclkp_duty <= clkout_duty - (0.05 * CLKOUTP_DLY_STEP); + end else begin + tclkp_duty <= clkout_duty + (0.05 * CLKOUTP_DLY_STEP); + end + end +end + +always @(posedge clkfb) begin + clkoutp <= 1'b1; + #tclkp_duty + clkoutp <= 1'b0; +end + +always @(clkoutp) begin + clk_ps_reg0 <= #(ps_dly+f_dly) clkoutp; +end + +always @(CLKIN or oclk_effect or clk_ps_reg0 or resetn) begin + if (resetn == 1'b0) begin + clk_ps_reg <= 1'b0; + end else if(CLKOUTP_BYPASS == "true") begin + clk_ps_reg <= CLKIN; + end + //else if (oclk_effect == 1'b1) begin + else begin + clk_ps_reg <= clk_ps_reg0; + end +end + +assign CLKOUTP = clk_ps_reg; + +//divide +assign clk_div_src = (CLKOUTD_SRC=="CLKOUTP") ? clk_ps_reg0:clkout; + +always @(posedge clk_div_src or posedge RESET_S) begin + if (RESET_S) begin + cnt_div <= 0; + clkout_div_reg <= 0; + end else begin + cnt_div = cnt_div + 1; + if (cnt_div == DYN_SDIV_SEL/2) begin + clkout_div_reg <= ~clkout_div_reg; + cnt_div <= 0; + end + end +end + +assign CLKOUTD = (CLKOUTD_BYPASS == "true") ? CLKIN : clkout_div_reg; + +// div3 +assign div3_in=(CLKOUTD3_SRC=="CLKOUTP")?clk_ps_reg:clk_out; + +always @ (div3_in) begin + pre_div3_in <= div3_in; +end + +always @(div3_in or posedge RESET_S) begin + if(div3_init == 1'b1) begin + if(pre_div3_in == 1'b1 && div3_in == 1'b0) begin + div3_reg <= 1; + div3_init = 1'b0; + cnt_div3 = 0; + end + end else if(RESET_S == 1'b1) begin + div3_reg <= 0; + cnt_div3 = 0; + end else begin + cnt_div3 = cnt_div3+1; + if(cnt_div3 == 3) begin + div3_reg <= ~div3_reg; + cnt_div3 = 0; + end + end +end + +assign CLKOUTD3 = div3_reg; + +endmodule + + +//*************add LVDS****************** + +//true LVDS +module TLVDS_IBUF (O, I, IB); +output O; +input I, IB; +reg O_oreg; +assign O = O_oreg; +always @(I or IB) begin + if (I == 1'b1 && IB == 1'b0) + O_oreg <= I; + else if (I == 1'b0 && IB == 1'b1) + O_oreg <= I; + else if (I == 1'bx || IB == 1'bx) + O_oreg <= 1'bx; +end +endmodule + +module TLVDS_OBUF (O, OB, I); +output O, OB; +input I; +supply0 gst; + +bufif0 TB (O, I, gst); +notif0 YB (OB, I, gst); +endmodule + +module TLVDS_TBUF (O, OB, I, OEN); +output O, OB; +input I, OEN; +bufif0 TB (O, I, OEN); +notif0 YB (OB, I, OEN); +endmodule + +module TLVDS_IOBUF (O, IO, IOB, I, OEN); +output O; +inout IO, IOB; +input I, OEN; +reg O; +bufif0 IB (IO, I, OEN); +notif0 YB (IOB, I, OEN); +always @(IO or IOB) begin + if (IO == 1'b1 && IOB == 1'b0) + O <= IO; + else if (IO == 1'b0 && IOB == 1'b1) + O <= IO; + else if (IO == 1'bx || IOB == 1'bx) + O <= 1'bx; +end +endmodule + +//emulated LVDS +module ELVDS_IBUF (O, I, IB); +output O; +input I, IB; +reg O_oreg; +assign O = O_oreg; +always @(I or IB) begin + if (I == 1'b1 && IB == 1'b0) + O_oreg <= I; + else if (I == 1'b0 && IB == 1'b1) + O_oreg <= I; + else if (I == 1'bx || IB == 1'bx) + O_oreg <= 1'bx; +end +endmodule + +module ELVDS_OBUF (O, OB, I); +output O, OB; +input I; +supply0 gst; + +bufif0 TB (O, I, gst); +notif0 YB (OB, I, gst); +endmodule + +module ELVDS_TBUF (O, OB, I, OEN); +output O, OB; +input I, OEN; +bufif0 TB (O, I, OEN); +notif0 YB (OB, I, OEN); +endmodule + +module ELVDS_IOBUF (O, IO, IOB, I, OEN); +output O; +inout IO, IOB; +input I, OEN; +reg O; +bufif0 IB (IO, I, OEN); +notif0 YB (IOB, I, OEN); +always @(IO or IOB) begin + if (IO == 1'b1 && IOB == 1'b0) + O <= IO; + else if (IO == 1'b0 && IOB == 1'b1) + O <= IO; + else if (IO == 1'bx || IOB == 1'bx) + O <= 1'bx; +end +endmodule + +//DLL +module DLL (STEP, LOCK, UPDNCNTL, STOP, CLKIN, RESET); + +input CLKIN; +input STOP; +input UPDNCNTL; +input RESET; + +output [7:0]STEP; +output LOCK; + +parameter DLL_FORCE = 0;//1: force lock and code; 0: code/lock generated from DLL loop +parameter CODESCAL="000";//001 010 011 100 101 110 111 +parameter SCAL_EN="true";//true,false +parameter DIV_SEL = 1'b0; // 1'b0,normal lock mode; 1'b1,fast lock mode + +reg inner_period,osc_clk_out; +realtime clkin_edge,clkin_period,clkin_period_pre; +real del; +reg [7:0]step_reg,step_reg_sig; +reg stop_0,stop_1n; +integer cnt; +reg clk_effect,lock_reg; +wire reset_sig; +wire grstn = GSR.GSRO; + +initial +begin +del=0.025; +clk_effect = 1'b0; +step_reg = 8'b00000000; +lock_reg = 1'b0; +end + + assign reset_sig = RESET | (~grstn); + + always @(posedge CLKIN or posedge reset_sig) begin + if(reset_sig) begin + clk_effect <= 0; + clkin_period <= 0; + end else begin + clkin_edge<=$realtime; + clkin_period<=$realtime-clkin_edge; + clkin_period_pre<=clkin_period; + end + + if(clkin_period > 0) begin + if((clkin_period-clkin_period_pre <0.001) &&(clkin_period-clkin_period_pre>-0.001)) begin + clk_effect = 1; + end else begin + clk_effect = 0; + end + end + + end + + always @ (negedge CLKIN or posedge reset_sig) begin + if (reset_sig) begin + stop_0 <= 1'b0; + end else begin + stop_0 <= STOP; + end + end + + always @ (negedge CLKIN or posedge reset_sig) begin + if (reset_sig) begin + stop_1n <= 1'b1; + end else begin + stop_1n <= ~stop_0; + end + end + + assign clk_out = CLKIN && stop_1n; + + always @(posedge clk_out or posedge reset_sig) begin + if (reset_sig) begin + cnt <= 0; + lock_reg <= 1'b0; + end else begin + cnt <= cnt + 1; + if(DIV_SEL == 1'b0) begin + if(cnt >= 33600) begin + lock_reg <= 1'b1; + end else begin + lock_reg <= 1'b0; + end + end + + if(DIV_SEL == 1'b1) begin + if(cnt >= 2100) begin + lock_reg <= 1'b1; + end else begin + lock_reg <= 1'b0; + end + end + end + end + + assign LOCK = (DLL_FORCE==1) ? 1'b1: lock_reg; + + always @(*) begin + if(clk_effect) begin + if(SCAL_EN=="true") begin + case(CODESCAL) + "000": step_reg<=clkin_period/del/360*101; + "001": step_reg<=clkin_period/del/360*112; + "010": step_reg<=clkin_period/del/360*123; + "011": step_reg<=clkin_period/del/360*135; + "100": step_reg<=clkin_period/del/360*79; + "101": step_reg<=clkin_period/del/360*68; + "110": step_reg<=clkin_period/del/360*57; + "111": step_reg<=clkin_period/del/360*45; + endcase + end else begin + step_reg<=clkin_period/del/360*90; + end + end + end + + always @(step_reg or reset_sig or UPDNCNTL) + begin + if(reset_sig == 1'b1) begin + step_reg_sig <= 8'b00000000; + end else if(UPDNCNTL==0) begin + step_reg_sig <= step_reg; + end + end + + assign STEP = (DLL_FORCE==1) ? 255 : step_reg_sig; + +endmodule + + +// CLKDIV +module CLKDIV(CLKOUT, CALIB, HCLKIN, RESETN); + +input HCLKIN; +input RESETN; + + +input CALIB; +output CLKOUT; + +parameter DIV_MODE = "2"; //"2", "3.5", "4", "5" +parameter GSREN = "false"; //"false", "true" + +reg reset_0; +reg calib_0,calib_1,calib_2,calib_state; +wire calib_en,calib_resetn,cnt_enable; +reg select245,select3p5,select5; +wire dsel_en,clk_div2,clk_div_0,clk_div; +reg d_sel,cnt_0,cnt_1,cnt_2,clk_div_1; + +wire grstn; + +initial begin + cnt_0 = 1'b0; + cnt_1 = 1'b0; + d_sel = 1'b0; + select3p5 = 1'b0; + select245 = 1'b1; + select5 = 1'b0; + calib_state = 1'b0; + clk_div_1 = 1'b0; + + if (DIV_MODE == "2" || DIV_MODE == "4" || DIV_MODE == "5") + begin + select245 = 1'b1; + select3p5 = 1'b0; + end else if (DIV_MODE == "3.5") begin + select3p5 = 1'b1; + select245 = 1'b0; + end + + if (DIV_MODE == "5") + begin + select5 = 1'b1; + end + +end + +assign grstn = GSREN == "true" ? GSR.GSRO : 1'b1; + +always @(posedge HCLKIN or negedge grstn or negedge RESETN) begin + if (!grstn) begin + reset_0 <= 1'b0; + end else if (!RESETN) begin + reset_0 <= 1'b0; + end else begin + reset_0 <= 1'b1; + end +end + +always @(posedge HCLKIN or negedge reset_0) begin + if (!reset_0) begin + calib_0 <= 1'b0; + end else begin + calib_0 <= ~CALIB; + end +end + +always @(posedge HCLKIN or negedge reset_0) begin + if (!reset_0) begin + calib_1 <= 0; + end else begin + calib_1 <= calib_0; + end +end + +always @(posedge HCLKIN or negedge reset_0) begin + if (!reset_0) begin + calib_2 <= 1'b0; + end else begin + calib_2 <= calib_1; + end +end + +assign calib_resetn = ~(calib_1 && (~calib_2)); +assign calib_en = ~(calib_resetn | (~select245)); + +always @ (posedge HCLKIN or negedge reset_0) +begin + if (!reset_0) begin + calib_state <= 1'b0; + end else begin + if (calib_en == 1'b1) begin + calib_state <= ~calib_state; + end else begin + calib_state <= calib_state; + end + end +end + +assign cnt_enable = (~((~calib_resetn)&calib_state) & select245) | (calib_resetn & select3p5); + +assign dsel_en = (d_sel& cnt_0 & cnt_1 & select3p5) | (calib_resetn & (~d_sel) & (~cnt_0) & cnt_1 & select3p5); + +always @(posedge HCLKIN or negedge reset_0) begin + if (!reset_0) begin + d_sel <= 1'b0; + end else if(dsel_en == 1'b1) begin + d_sel <= ~d_sel; + end else if(dsel_en == 1'b0) begin + d_sel <= d_sel; + end +end + +assign cnt_reset = (select5 & (~cnt_0) & (~cnt_1) & cnt_2 ) | (select3p5 & (~d_sel) & (~cnt_0) & cnt_1); + +always @(posedge HCLKIN or negedge reset_0) begin + if (!reset_0) begin + cnt_0 <= 1'b1; + end else if(cnt_enable == 1'b1) begin + cnt_0 <= ~(cnt_0 | cnt_reset); + end else if(cnt_enable == 1'b0) begin + cnt_0 <= cnt_0; + end +end + +always @(posedge HCLKIN or negedge reset_0) begin + if (!reset_0) begin + cnt_1 <= 1'b1; + end else if(cnt_enable == 1'b1) begin + cnt_1 <= ~(cnt_reset | (cnt_0 ^~ cnt_1)); + end else if(cnt_enable == 1'b0) begin + cnt_1 <= cnt_1; + end +end + +always @(posedge HCLKIN or negedge reset_0) begin + if (!reset_0) begin + cnt_2 <= 1'b0; + end else if(cnt_enable == 1'b1) begin + cnt_2 <= ~(cnt_reset | (cnt_2 ^~ (cnt_0 & cnt_1))); + end else if(cnt_enable == 1'b0) begin + cnt_2 <= cnt_2; + end +end + +assign clk_div_0 = ~cnt_1; +always @(negedge HCLKIN or negedge reset_0) begin + if(!reset_0) + clk_div_1 <= 1'b0; + else + clk_div_1 <= clk_div_0; +end + +assign clk_div = (d_sel == 1'b1) ? clk_div_1 : clk_div_0; +assign clk_div2 = ~cnt_0; + +assign CLKOUT = (DIV_MODE == "2") ? clk_div2 : clk_div; + +endmodule + +//DHCEN +module DHCEN (CLKOUT, CLKIN, CE); +input CLKIN,CE; +output CLKOUT; + +reg ce_reg0,ce_reg1,ce_reg2,ce_reg3; + +always @(negedge CLKIN) +begin + ce_reg0 <= ~CE; + ce_reg1 <= ce_reg0; + ce_reg2 <= ce_reg1; + ce_reg3 <= ce_reg2; +end + +assign CLKOUT = CLKIN & ce_reg3; + +endmodule + +// DQS +module DQS(DQSR90, DQSW0, DQSW270, RPOINT, WPOINT, RVALID, RBURST, RFLAG, WFLAG, DQSIN, DLLSTEP, WSTEP, READ, RLOADN, RMOVE, RDIR, WLOADN, WMOVE, WDIR, HOLD, RCLKSEL, PCLK, FCLK, RESET); +input DQSIN,PCLK,FCLK,RESET; +input [3:0] READ; +input [2:0] RCLKSEL; +input [7:0] DLLSTEP; +input [7:0] WSTEP; +input RLOADN, RMOVE, RDIR, WLOADN, WMOVE, WDIR, HOLD; +output DQSR90, DQSW0, DQSW270; +output [2:0] RPOINT, WPOINT; +output RVALID,RBURST, RFLAG, WFLAG; + + parameter FIFO_MODE_SEL = 1'b0; // FIFO mode select,1'b0: DDR memory mode;1'b1: GDDR mode + parameter RD_PNTR = 3'b000; // FIFO read pointer setting + parameter DQS_MODE = "X1"; // "X1", "X2_DDR2", "X2_DDR3","X4","X2_DDR3_EXT" + parameter HWL = "false"; //"true"; "false" + parameter GSREN = "false"; //false, true + + +reg hold_0,hold_1,hold_2,hold_cnt0,hold_cnt1; +wire hold_en,fclk_in,fclk_hold; +realtime dly_time,del,wstep_dly,rstep_dly; +reg [7:0] wstep_reg,rstep_reg,wstep_init; +reg WFLAG,pre_wmove; +reg clk_rd,clk_rd_sft,rstn_0,d_cnt0_0,d_cnt0_1,update0,d_cnt1_0,d_cnt1_1,rstn_1,update1; +reg rd_q1,rd_dq_x1,rd_dq_x2,rd_dq_x4,rd_q1_ext,rd_dq_x2_ext; +reg update0_set,update1_set; +reg rd_q3,rd_d23,rd_d123,rd_dq,rd_dqq,rd_reg,rd_post,rd_pre,dqs_en; +reg [3:0] rd_d0,rd_d1,rd_d_ext,rd_d1_ext; +wire rd_reg_dly,rd_en,dqs_set,dqs_r_clean,rd_dq_del; + +wire dqs_en_dly,rstn_det,cnt_det1,cnt_det0_0,cnt_det0_1,cnt_det0; +reg cnt0_det1,cnt0_det1_reg,cnt0_det0,cnt0_det0_reg,cnt1_det0,cnt1_det0_reg; +reg RFLAG,pre_rmove; +wire [255:0] dqsw270_dly_in,dqsr90_dly_in,dqsw0_dly_in; +wire reset_f,reset_wpt,wpt_d_0,wpt_d_1,wpt_d_2; +reg reset_wfq,wpt_q_0,wpt_q_1,wpt_q_2; +reg [2:0] WPOINT; +wire [2:0] rpointer,wpt_q; +reg resetn_rfq,rpt_q_0,rpt_q_1,rpt_q_2; +wire resetn_rpt_0,resetn_rpt_1,resetn_rpt_2,set_rpt_0,set_rpt_1,set_rpt_2,rpt_d_0,rpt_d_1,rpt_d_2; +reg resetn_up,cnt0_up,cnt1_up; +wire update_rd; +reg update_a,update_rp,shift_0,shift_1,shift_q,sign_q,rd_q,rd_q_1,rd_up,rvalid_reg; +wire wr_dqcomp,wr_qqcomp,shift,rqen,rpt_en,ren; +wire ff_mode_sel,x1_mode_sel,r_valid; +wire grstn,reset; +assign grstn = (GSREN == "true") ? GSR.GSRO : 1'b1; +assign reset = RESET | (~grstn); +assign rpointer = RD_PNTR; +assign ff_mode_sel = FIFO_MODE_SEL; + +initial +begin + del = 0.025; + wstep_reg = 8'b00000000; + rstep_reg = 8'b00000000; + wstep_init = 8'b00000000; + RFLAG = 0; + WFLAG = 0; + wstep_dly = 0.0; + dly_time = 0.0; + hold_cnt0 = 1'b0; + hold_cnt1 = 1'b0; + d_cnt0_0 = 1'b0; + d_cnt0_1 = 1'b0; + d_cnt1_0 = 1'b0; + d_cnt1_1 = 1'b0; + cnt0_det1 = 1'b0; + cnt0_det0 = 1'b0; + cnt1_det0 = 1'b0; + cnt0_up = 1'b0; + cnt1_up = 1'b0; +end + +// dqs write +always @ (negedge FCLK or posedge reset) +begin + if (reset == 1'b1) begin + hold_0 <= 1'b0; + hold_1 <= 1'b0; + hold_2 <= 1'b0; + end else begin + hold_0 <= HOLD; + hold_1 <= hold_0; + hold_2 <= hold_1; + end +end + +always @ (negedge FCLK or posedge reset) +begin + if (reset == 1'b1) begin + hold_cnt0 <= 1'b0; + hold_cnt1 <= 1'b0; + end else begin + if (hold_en == 1'b1) begin + hold_cnt0 <= ~hold_cnt0; + hold_cnt1 <= (hold_cnt0 ^ hold_cnt1); + end + end +end + +assign hold_en = hold_2 | hold_cnt1 | hold_cnt0; +assign fclk_hold = ~hold_en & FCLK; +assign fclk_in = (DQS_MODE == "X1") ? PCLK : fclk_hold; + +always @(wstep_reg) +begin + if ((wstep_reg == 8'b11111111 && WDIR == 1'b0) || (wstep_reg == 8'b00000000 && WDIR == 1'b1)) begin + WFLAG <= 1'b1; + end else begin + WFLAG <= 1'b0; + end +end + +always @ (WMOVE) +begin + pre_wmove <= WMOVE; +end + +always @(DLLSTEP or WSTEP) begin + if(DQS_MODE == "X2_DDR2" || DQS_MODE == "X1") + begin + wstep_init <= DLLSTEP; + end else if(DQS_MODE == "X2_DDR3" || DQS_MODE == "X4" || DQS_MODE == "X2_DDR3_EXT") + begin + if((DLLSTEP+WSTEP) >= 255) begin + wstep_init <= 255; + end else begin + wstep_init <= DLLSTEP+WSTEP; + end + end +end + +always @(wstep_init or WLOADN or WMOVE or WDIR) +begin + if (WLOADN == 1'b0) begin + wstep_reg <= wstep_init; + end else begin + if (WMOVE == 1'b0 && pre_wmove == 1'b1) begin + if (WLOADN == 1'b1) begin + if (WDIR == 1'b0) begin // plus(+) + if (WFLAG == 1'b0 || (wstep_reg <= 8'b00000000)) begin + wstep_reg <= wstep_reg + 1; + end + end else if (WDIR == 1'b1) begin // minus (-) + if (WFLAG == 1'b0 || (wstep_reg == 8'b11111111)) begin + wstep_reg <= wstep_reg - 1; + end + end + end + end + end +end + +/*********** DQSW/DQSR gen_delay begin *************/ +assign dqsw0_dly_in[0] = fclk_in; +assign dqsw270_dly_in[0] = fclk_in; +assign dqsr90_dly_in[0] = dqs_r_clean; +generate + genvar i; + for(i=1;i<256;i=i+1) begin: gen_delay + assign #0.025 dqsw0_dly_in[i] = dqsw0_dly_in[i-1]; + assign #0.025 dqsw270_dly_in[i] = dqsw270_dly_in[i-1]; + assign #0.025 dqsr90_dly_in[i] = dqsr90_dly_in[i-1]; + end +endgenerate + +wire DQSW90; +assign DQSW0 = (DQS_MODE == "X1") ? fclk_in : dqsw0_dly_in[WSTEP]; +assign DQSW90 = (wstep_reg == 0) ? fclk_in : dqsw270_dly_in[wstep_reg]; +assign DQSW270 = ~DQSW90; +assign DQSR90 = (rstep_reg == 0) ? dqs_r_clean : dqsr90_dly_in[rstep_reg]; +/************* DQSW/DQSR gen_delay end ************/ + +// clkmux +always @(DQSW270, RCLKSEL[0], DQSW0) +begin + if(RCLKSEL[0] == 1'b0) begin + clk_rd <= DQSW0; + end else if(RCLKSEL[0] == 1'b1) begin + clk_rd <= ~DQSW270; + end +end + +always @(clk_rd or RCLKSEL[1]) +begin + if(RCLKSEL[1] == 1'b0) begin + clk_rd_sft <= ~clk_rd; + end else if(RCLKSEL[1] == 1'b1) begin + clk_rd_sft <= clk_rd; + end +end + +// dqs read + +always @ (posedge FCLK or posedge reset) +begin + if (reset == 1'b1)begin + rstn_0 <= 1'b0; + end else begin + rstn_0 <= 1'b1; + end +end + +always @ (posedge FCLK or negedge rstn_0) +begin + if (rstn_0 == 1'b0) begin + d_cnt0_0 <= 1'b0; + d_cnt0_1 <= 1'b0; + end else begin + d_cnt0_0 <= ~d_cnt0_0; + d_cnt0_1 <= (d_cnt0_0 ^ d_cnt0_1); + end +end + +always @(d_cnt0_0,d_cnt0_1) begin + if(DQS_MODE == "X2_DDR2" || DQS_MODE == "X2_DDR3" || DQS_MODE == "X2_DDR3_EXT") begin + update0_set <= ~d_cnt0_0; + end else if(DQS_MODE == "X4") begin + update0_set <= ~d_cnt0_1 & d_cnt0_0; + end +end + +always @ (posedge FCLK or negedge rstn_0) +begin + if (rstn_0 == 1'b0) begin + update0 <= 1'b0; + end else begin + if (update0_set == 1'b1) begin + update0 <= 1'b1; + end else begin + update0 <= 1'b0; + end + end +end + +always @ (posedge clk_rd or posedge reset) +begin + if (reset == 1'b1) begin + rstn_1 <= 1'b0; + end else begin + rstn_1 <= 1'b1; + end +end + +always @ (posedge clk_rd or negedge rstn_1) +begin + if (rstn_1 == 1'b0) begin + d_cnt1_0 <= 1'b0; + d_cnt1_1 <= 1'b0; + end else begin + d_cnt1_0 <= ~d_cnt1_0; + d_cnt1_1 <= (d_cnt1_1 ^ d_cnt1_0); + end +end + +always @(d_cnt1_0,d_cnt1_1) begin + if(DQS_MODE == "X2_DDR2" || DQS_MODE == "X2_DDR3" || DQS_MODE == "X2_DDR3_EXT") begin + if(HWL == "false") begin + update1_set <= d_cnt1_0; + end else begin + update1_set <= ~d_cnt1_0; + end + end else if(DQS_MODE == "X4") begin + if(HWL == "false") begin + update1_set <= (~d_cnt1_1) & (~d_cnt1_0); + end else begin + update1_set <= ~d_cnt1_1 & d_cnt1_0; + end + end +end + +always @ (posedge clk_rd or negedge rstn_1) +begin + if (rstn_1 == 1'b0) begin + update1 <= 1'b0; + end else begin + if (update1_set == 1'b1) begin + update1 <= 1'b1; + end else begin + update1 <= 1'b0; + end + end +end + +always @(posedge PCLK or posedge reset) +begin + if (reset == 1'b1) begin + rd_d0 <= 4'b0; + rd_d_ext <= 4'b0; + end else begin + rd_d0 <= READ; + rd_d_ext <= rd_d0; + end +end + +always @ (posedge clk_rd or posedge reset) +begin + if (reset == 1'b1) begin + rd_dq_x1 <= 1'b0; + end else begin + rd_dq_x1 <= rd_d0[0]; + end +end + +always @ (posedge FCLK or posedge reset) +begin + if (reset == 1'b1) begin + rd_d1 <= 4'b0; + rd_d1_ext <= 4'b0; + end else begin + if (update0 == 1'b1) begin + rd_d1 <= rd_d0; + rd_d1_ext <= rd_d_ext; + end else if (update0 == 1'b0) begin + rd_d1 <= rd_d1; + rd_d1_ext <= rd_d1_ext; + end + end +end + +always @ (posedge clk_rd or posedge reset) +begin + if (reset == 1'b1) begin + rd_q1 <= 1'b0; + rd_q1_ext <= 1'b0; + rd_q3 <= 1'b0; + end else begin + if (update1 == 1'b1) begin + rd_q1 <= rd_d1[1]; + rd_q1_ext <= rd_d1_ext[1]; + rd_q3 <= rd_d1[3]; + end + end +end + +always @ (posedge clk_rd or posedge reset) +begin + if (reset == 1'b1) begin + rd_d23 <= 1'b0; + rd_d123 <= 1'b0; + rd_dq_x4 <= 1'b0; + rd_dq_x2 <= 1'b0; + rd_dq_x2_ext <= 1'b0; + end else begin + if (update1 == 1'b1) begin + rd_d23 <= rd_d1[2]; + rd_d123 <= rd_d1[1]; + rd_dq_x4 <= rd_d1[0]; + rd_dq_x2 <= rd_d1[0]; + rd_dq_x2_ext <= rd_d1_ext[0]; + end else if (update1 == 1'b0) begin + rd_d23 <= rd_q3; + rd_d123 <= rd_d23; + rd_dq_x4 <= rd_d123; + rd_dq_x2 <= rd_q1; + rd_dq_x2_ext <= rd_q1_ext; + end + end +end + +always @(rd_dq_x1,rd_dq_x2,rd_dq_x4,rd_dq_x2_ext) begin + if(DQS_MODE == "X1") begin + rd_dq <= rd_dq_x1; + end else if(DQS_MODE == "X2_DDR2" || DQS_MODE == "X2_DDR3") begin + rd_dq <= rd_dq_x2; + end else if(DQS_MODE == "X2_DDR3_EXT") begin + rd_dq <= rd_dq_x2_ext; + end else if(DQS_MODE == "X4") begin + rd_dq <= rd_dq_x4; + end +end + +assign #0.2 rd_dq_del = rd_dq; + +always @ (posedge clk_rd or posedge reset) +begin + if (reset == 1'b1) begin + rd_dqq <= 1'b0; + end else begin + rd_dqq <= rd_dq_del; + end +end + +always @ (rd_dq or rd_dqq or RCLKSEL[2]) +begin + if(RCLKSEL[2] == 1'b0) begin + rd_reg = rd_dq; + end else if(RCLKSEL[2] == 1'b1) begin + rd_reg = rd_dqq; + end +end + +assign #0.2 rd_reg_dly = rd_reg; + +always @ (posedge clk_rd_sft or posedge reset) +begin + if (reset == 1'b1) begin + rd_post <= 1'b0; + end else begin + rd_post <= rd_reg_dly; + end +end + +always @ (negedge clk_rd_sft or posedge reset) +begin + if (reset == 1'b1) begin + rd_pre <= 1'b0; + end else begin + rd_pre <= rd_post; + end +end + +assign rd_en = rd_post & rd_pre; +assign dqs_set = rd_en & (~reset); + +always @ (negedge dqs_r_clean or posedge reset or posedge dqs_set) +begin + if (reset == 1'b1) begin + dqs_en <= 1'b0; + end else if (dqs_set == 1'b1) begin + dqs_en <= 1'b1; + end else begin + dqs_en <= rd_en; + end +end + +assign dqs_r_clean = DQSIN & dqs_en; + +//// burst-det +assign #0.2 dqs_en_dly = ~dqs_en; +assign rstn_det = ~(dqs_en & dqs_en_dly) & (~reset); + +always @ (posedge dqs_r_clean or negedge rstn_det) +begin + if (rstn_det == 1'b0) begin + cnt0_det1 <= 1'b0; + cnt0_det1_reg <= 1'b0; + end else begin + if (dqs_en == 1'b1) begin + cnt0_det1 <= ~cnt0_det1; + cnt0_det1_reg <= cnt0_det1; + end + end +end +assign cnt_det1 = ((~cnt0_det1) & cnt0_det1_reg) &(~dqs_en); + +always @ (negedge dqs_r_clean or negedge rstn_det) +begin + if (rstn_det == 1'b0) begin + cnt0_det0 <= 1'b0; + cnt0_det0_reg <= 1'b0; + end else begin + cnt0_det0 <= ~cnt0_det0; + cnt0_det0_reg <= cnt0_det0; + end +end +assign cnt_det0_0 = ~((~cnt0_det0) & cnt0_det0_reg); + +always @ (negedge DQSR90 or negedge rstn_det) +begin + if (rstn_det == 1'b0) begin + cnt1_det0 <= 1'b0; + cnt1_det0_reg <= 1'b0; + end else begin + if (rd_en == 1'b1) begin + cnt1_det0 <= ~cnt1_det0; + cnt1_det0_reg <= cnt1_det0; + end + end +end + +assign cnt_det0_1 = ~(cnt1_det0 & (~cnt1_det0_reg)); +assign cnt_det0 = ~(cnt_det0_0 | cnt_det0_1); +assign RBURST = cnt_det0 & cnt_det1; + +always @(rstep_reg) +begin + if ((rstep_reg == 8'b11111111 && RDIR == 1'b0) || (rstep_reg == 8'b00000000 && RDIR == 1'b1)) begin + RFLAG <= 1'b1; + end else begin + RFLAG <= 1'b0; + end +end + +always @ (RMOVE) +begin + pre_rmove <= RMOVE; +end + +always @(DLLSTEP, RLOADN, RMOVE) +begin + if (RLOADN == 1'b0) begin + rstep_reg <= DLLSTEP; + end else begin + if (RMOVE == 1'b0 && pre_rmove == 1'b1) begin + if (RLOADN == 1'b1) begin + if (RDIR == 1'b0) begin // plus(+) + if (RFLAG == 1'b0 || (rstep_reg <= 8'b00000000)) begin + rstep_reg <= rstep_reg + 1; + end + end else if (RDIR == 1'b1) begin // minus (-) + if (RFLAG == 1'b0 || (rstep_reg == 8'b11111111)) begin + rstep_reg <= rstep_reg - 1; + end + end + end + end + end +end + + +// dqs fifo_ctrl +assign fclk_fifo = (DQS_MODE == "X1") ? PCLK : FCLK; +assign reset_f = reset | HOLD; +always @(posedge DQSR90 or posedge reset_f) +begin + if (reset_f == 1'b1) begin + reset_wfq <= 1'b1; + end else begin + reset_wfq <= reset_f; + end +end + +assign reset_wpt = (FIFO_MODE_SEL == 1'b0) ? reset_f : reset_wfq; + +assign wpt_d_0 = wpt_q_1 ~^ wpt_q_2; +always @ (posedge DQSR90 or posedge reset_wpt) +begin + if(reset_wpt==1'b1) begin + wpt_q_0 <= 1'b0; + wpt_q_1 <= 1'b0; + wpt_q_2 <= 1'b0; + end else begin + wpt_q_0 <= wpt_d_0; + wpt_q_1 <= wpt_d_1; + wpt_q_2 <= wpt_d_2; + end +end +assign wpt_q = {wpt_q_2,wpt_q_1,wpt_q_0}; +assign wpt_d_1 = ((~wpt_q_2) & wpt_q_0) | (wpt_q_1 & (~wpt_q_0)); +assign wpt_d_2 = (wpt_q_1 & (~wpt_q_0)) | (wpt_q_2 & wpt_q_0); + +always @ (negedge DQSR90 or posedge reset_wpt) +begin + if(reset_wpt==1'b1) begin + WPOINT <= 3'b0; + end else begin + WPOINT <= wpt_q; + end +end + +////read pointer +always @ (posedge fclk_fifo or posedge reset_f) +begin + if (reset_f == 1'b1) begin + resetn_rfq <= 1'b0; + end else begin + resetn_rfq <= 1'b1; + end +end +assign resetn_rpt_0 = resetn_rfq | rpointer[0]; +assign resetn_rpt_1 = resetn_rfq | rpointer[1]; +assign resetn_rpt_2 = resetn_rfq | rpointer[2]; + +assign set_rpt_0 = ~(resetn_rfq | ~rpointer[0]); +assign set_rpt_1 = ~(resetn_rfq | ~rpointer[1]); +assign set_rpt_2 = ~(resetn_rfq | ~rpointer[2]); + +assign rpt_d_0 = rpt_q_1 ^~ rpt_q_2; +assign rpt_d_1 = ((~rpt_q_2)&rpt_q_0) | (rpt_q_1&(~rpt_q_0)); +assign rpt_d_2 = (rpt_q_1&(~rpt_q_0)) | (rpt_q_2&rpt_q_0); + +assign rpt_en = (DQS_MODE == "X1")? ren : rqen; + +always @(posedge fclk_fifo or negedge resetn_rpt_0 or posedge set_rpt_0) +begin + if (resetn_rpt_0 == 1'b0) begin + rpt_q_0 <= 1'b0; + end else if (set_rpt_0 == 1'b1) begin + rpt_q_0 <= 1'b1; + end else begin + if (rpt_en == 1'b1) begin + rpt_q_0 <= rpt_d_0; + end + end +end + +always @(posedge fclk_fifo or negedge resetn_rpt_1 or posedge set_rpt_1) +begin + if (resetn_rpt_1 == 1'b0) begin + rpt_q_1 <= 1'b0; + end else if (set_rpt_1 == 1'b1) begin + rpt_q_1 <= 1'b1; + end else begin + if (rpt_en == 1'b1) begin + rpt_q_1 <= rpt_d_1; + end + end +end + +always @(posedge fclk_fifo or negedge resetn_rpt_2 or posedge set_rpt_2) +begin + if (resetn_rpt_2 == 1'b0) begin + rpt_q_2 <= 1'b0; + end else if (set_rpt_2 == 1'b1) begin + rpt_q_2 <= 1'b1; + end else begin + if (rpt_en == 1'b1) begin + rpt_q_2 <= rpt_d_2; + end + end +end + +assign RPOINT = {rpt_q_2,rpt_q_1,rpt_q_0}; + +always @ (posedge fclk_fifo or posedge reset) +begin + if (reset == 1'b1) begin + resetn_up <= 1'b0; + end else begin + resetn_up <= 1'b1; + end +end + +always @ (posedge fclk_fifo or negedge resetn_up) +begin + if (resetn_up == 1'b0) begin + cnt0_up <= 1'b0; + cnt1_up <= 1'b0; + end else begin + cnt0_up <= ~cnt0_up; + cnt1_up <= (cnt0_up ^ cnt1_up); + end +end + +assign update_rd = (DQS_MODE == "X4") ? (~cnt1_up & cnt0_up) : (~cnt0_up); + +always @ (posedge fclk_fifo or negedge resetn_up) +begin + if (resetn_up == 1'b0) begin + update_a <= 1'b0; + end else begin + if (update_rd == 1'b1) begin + update_a <= 1'b1; + end else begin + update_a <= 1'b0; + end + end +end + +always @(posedge fclk_fifo or posedge reset_f) +begin + if (reset_f == 1'b1) begin + update_rp <= 1'b0; + end else begin + update_rp <= update_a; + end +end + +assign wr_dqcomp = (wpt_q_2 ^ rpt_d_2) | (wpt_q_1 ^ rpt_d_1) | (wpt_q_0 ^ rpt_d_0); +assign wr_qqcomp = (wpt_q_2 ^ rpt_q_2) | (wpt_q_1 ^ rpt_q_1) | (wpt_q_0 ^ rpt_q_0); +assign shift = (~ff_mode_sel & ((wr_dqcomp & sign_q) | (wr_qqcomp & (~sign_q)))); + +always @(fclk_fifo, resetn_rfq, shift) +begin + if (resetn_rfq == 1'b0) begin + shift_0 <= 1'b0; + shift_1 <= 1'b0; + end else begin + if (fclk_fifo == 1'b0) begin + shift_0 <= shift; + end + + if (fclk_fifo == 1'b1) begin + shift_1 <= shift; + end + end +end + +always @(negedge fclk_fifo or negedge resetn_rfq) +begin + if (resetn_rfq == 1'b0) begin + shift_q <= 1'b0; + end else begin + shift_q <= (shift_0 & ~sign_q); + end +end + +assign x1_mode_sel = (DQS_MODE == "X1") ? 1'b1 : 1'b0; + +always @(posedge fclk_fifo or negedge resetn_rfq) +begin + if (resetn_rfq == 1'b0) begin + sign_q <= 1'b0; + end else begin + sign_q <= (shift_1 & (shift_q || sign_q || x1_mode_sel)); + end +end + +assign ren = sign_q | ff_mode_sel; + +always @(posedge fclk_fifo or negedge resetn_rfq) +begin + if (resetn_rfq == 1'b0) begin + rd_q <= 1'b0; + rd_q_1 <= 1'b0; + end else begin + if (update_a == 1'b1) begin + rd_q <= (shift_1 & (shift_q || sign_q || x1_mode_sel)); + end else begin + rd_q_1 <= rd_q; + end + end +end + +assign rqen = rd_q | ff_mode_sel; + +always @(posedge fclk_fifo or negedge resetn_rfq) +begin + if (resetn_rfq == 1'b0) begin + rd_up <= 1'b0; + end else begin + if (update_rp == 1'b1) begin + rd_up <= rd_q_1; + end + end +end + +assign r_valid = (DQS_MODE == "X1") ? ren : rd_up; + +always @(posedge PCLK or negedge resetn_rfq) +begin + if (resetn_rfq == 1'b0) begin + rvalid_reg <= 1'b0; + end else begin + rvalid_reg <= r_valid; + end +end + +assign RVALID = rvalid_reg; + +endmodule + + +// DLLDLY +module DLLDLY (CLKOUT, FLAG, DLLSTEP, LOADN, MOVE, DIR, CLKIN); + +input CLKIN; +input [7:0] DLLSTEP; +input DIR,LOADN,MOVE; +output CLKOUT; +output FLAG; + +parameter DLL_INSEL = 1'b0; //1'b0:bypass mode, 1'b1: use dll_delay cell +parameter DLY_SIGN = 1'b0; // 1'b0:'+', 1'b1: '-' +parameter DLY_ADJ = 0; // 0~255, dly_sign=0 :dly_adj; dly_sign=1: -256+dly_adj + +reg drn, premove, clk_effect; +reg flag; +reg [7:0] step_reg; +wire [7:0] dllstep_adj; +real dly_adj; +realtime del, step_dly; +realtime clkin_edge,clkin_period,clkin_period_pre; +reg CLKOUT; +wire grstn = GSR.GSRO; + +initial +begin + step_reg = 8'b00000000; + del = 0.025; + clk_effect = 1'b0; + flag = 0; + dly_adj = 0; +end + +initial begin + if(DLY_SIGN == 1'b0) begin + dly_adj = DLY_ADJ; + end else if(DLY_SIGN == 1'b1) begin + dly_adj = (-256) + DLY_ADJ; + end +end + + always @(posedge CLKIN) begin + if(!grstn) + clkin_edge<=0; + else + clkin_edge<=$realtime; + clkin_period<=$realtime-clkin_edge; + clkin_period_pre<=clkin_period; + + if(clkin_period > 0) + //if((clkin_period_pre-clkin_period<0.001) &&(clkin_period_pre-clkin_period>-0.001)) + if(clkin_period_pre == clkin_period) + clk_effect = 1; + else + clk_effect = 0; + end + + always @(step_reg,DIR) begin + if ((step_reg == 8'b11111111 && DIR == 1'b0) || (step_reg == 8'b00000000 && DIR == 1'b1)) + flag <= 1'b1; + else + flag <= 1'b0; + end + + assign FLAG = flag; + + always @ (MOVE) + begin + premove <= MOVE; + end + + assign dllstep_adj = ((DLLSTEP + dly_adj) <= 0) ? 0 : ((DLLSTEP + dly_adj) >= 255) ? 255 : (DLLSTEP + dly_adj); + + always @(DLLSTEP, LOADN, MOVE, CLKIN, clk_effect) begin + if (clk_effect == 1'b1) begin + if (LOADN == 1'b0) begin + step_reg <= dllstep_adj; + end else begin + if (MOVE === 1'b0 && premove === 1'b1) begin + if (LOADN == 1'b1) begin + if (DIR == 1'b0) begin // plus(+) + if (flag == 1'b0 || (step_reg == 8'b00000000)) begin + step_reg <= step_reg + 1; + end + end else if (DIR == 1'b1) begin // minus (-) + if (flag == 1'b0 || (step_reg == 8'b11111111)) begin + step_reg <= step_reg - 1; + end + end + end + end + end + end + end + + always @(step_reg) begin + if(DLL_INSEL == 1'b1) begin + step_dly = step_reg * del; + end else begin + step_dly = 0; + end + end + + always @(CLKIN) begin + CLKOUT <= #(step_dly) CLKIN; + end + +endmodule + + +//DCS +module DCS (CLKOUT, CLK0, CLK1, CLK2, CLK3, CLKSEL, SELFORCE); +input CLK0, CLK1, CLK2, CLK3, SELFORCE; +input [3:0] CLKSEL; +output CLKOUT; + + parameter DCS_MODE = "RISING"; //CLK0,CLK1,CLK2,CLK3,GND,VCC,RISING,FALLING,CLK0_GND,CLK0_VCC,CLK1_GND,CLK1_VCC,CLK2_GND,CLK2_VCC,CLK3_GND,CLK3_VCC + +wire clk0_out,clk1_out,clk2_out,clk3_out,gnd_out,vcc_out;//CLK,GND,VCC +reg flag_g0,flag_v0,flag_g1,flag_v1,flag_g2,flag_v2,flag_g3,flag_v3;//CLK_GND,CLK_VCC +wire clk0_gnd,clk0_vcc,clk1_gnd,clk1_vcc,clk2_gnd,clk2_vcc,clk3_gnd,clk3_vcc,clk3_vc; + +wire clkout_f0,clkout_f1,clkout_f2,clkout_f3,clkout_f;//FALLING +reg flag_f0,flag_f1,flag_f2,flag_f3; + +wire clkout_r0,clkout_r1,clkout_r2,clkout_r3,clkout_r;//RISING +reg flag_r0,flag_r1,flag_r2,flag_r3; + +wire selforce_out; +reg dcsout; +reg clkout; + +initial begin + flag_g0 = 1'b0; + flag_v0 = 1'b0; + flag_g1 = 1'b0; + flag_v1 = 1'b0; + flag_g2 = 1'b0; + flag_v2 = 1'b0; + flag_g3 = 1'b0; + flag_v3 = 1'b0; + + flag_f0 = 1'b0; + flag_f1 = 1'b0; + flag_f2 = 1'b0; + flag_f3 = 1'b0; + flag_r0 = 1'b0; + flag_r1 = 1'b0; + flag_r2 = 1'b0; + flag_r3 = 1'b0; + clkout = 1'b0; +end + +//-------------------------CLK,GND,VCC------------------- +assign clk0_out = CLK0; +assign clk1_out = CLK1; +assign clk2_out = CLK2; +assign clk3_out = CLK3; + +assign gnd_out = 1'b0; +assign vcc_out = 1'b1; + +//-----------------------------FALLING---------------------- +always @(negedge CLK0) begin + if(CLKSEL[0] && !flag_f1 && !flag_f2 && !flag_f3) + flag_f0 <= 1'b1; + else + flag_f0 <= 1'b0; +end +assign clkout_f0 = CLK0 & flag_f0; + +always @(negedge CLK1) begin + if(CLKSEL[1] && !flag_f0 && !flag_f2 && !flag_f3) + flag_f1 <= 1'b1; + else + flag_f1 <= 1'b0; +end +assign clkout_f1 = CLK1 & flag_f1; + +always @(negedge CLK2) begin + if(CLKSEL[2] && !flag_f0 && !flag_f1 && !flag_f3) + flag_f2 <= 1'b1; + else + flag_f2 <= 1'b0; +end +assign clkout_f2 = CLK2 & flag_f2; + +always @(negedge CLK3) begin + if(CLKSEL[3] && !flag_f0 && !flag_f1 && !flag_f2) + flag_f3 <= 1'b1; + else + flag_f3 <= 1'b0; +end +assign clkout_f3 = CLK3 & flag_f3; + +assign clkout_f = flag_f0 ? clkout_f0 : flag_f1 ? clkout_f1 : flag_f2 ? clkout_f2 : flag_f3 ? clkout_f3 : 0; + +//-----------------------------RISING---------------------- +always @(posedge CLK0) begin + if(CLKSEL[0] && !flag_r1 && !flag_r2 && !flag_r3) + flag_r0 <= 1'b1; + else + flag_r0 <= 1'b0; +end +assign clkout_r0 = ~(~CLK0 & flag_r0); + +always @(posedge CLK1) begin + if(CLKSEL[1] && !flag_r0 && !flag_r2 && !flag_r3) + flag_r1 <= 1'b1; + else + flag_r1 <= 1'b0; +end +assign clkout_r1 = ~(~CLK1 & flag_r1); + +always @(posedge CLK2) begin + if(CLKSEL[2] && !flag_r0 && !flag_r1 && !flag_r3) + flag_r2 <= 1'b1; + else + flag_r2 <= 1'b0; +end +assign clkout_r2 = ~(~CLK2 & flag_r2); + +always @(posedge CLK3) begin + if(CLKSEL[3] && !flag_r0 && !flag_r1 && !flag_r2) + flag_r3 <= 1'b1; + else + flag_r3 <= 1'b0; +end +assign clkout_r3 = ~(~CLK3 & flag_r3); + +assign clkout_r = flag_r0 ? clkout_r0 : flag_r1 ? clkout_r1 : flag_r2 ? clkout_r2 : flag_r3 ? clkout_r3 : 1; + +//-----------------------------CLK0_GND---------------------- +always @(negedge CLK0) begin + if(CLKSEL[0]) + flag_g0 <= 1'b1; + else + flag_g0 <= 1'b0; +end + +assign clk0_gnd = flag_g0 & CLK0; + +//-----------------------------CLK0_VCC---------------------- +always @(posedge CLK0) begin + if(CLKSEL[0]) + flag_v0 <= 1'b1; + else + flag_v0 <= 1'b0; +end + +assign clk0_vcc = ~(flag_v0 & (~CLK0)); + +//-----------------------------CLK1_GND---------------------- +always @(negedge CLK1) begin + if(CLKSEL[1]) + flag_g1 <= 1'b1; + else + flag_g1 <= 1'b0; +end + +assign clk1_gnd = flag_g1 & CLK1; + +//-----------------------------CLK1_VCC---------------------- +always @(posedge CLK1) begin + if(CLKSEL[1]) + flag_v1 <= 1'b1; + else + flag_v1 <= 1'b0; +end + +assign clk1_vcc = ~(flag_v1 & (~CLK1)); + +//-----------------------------CLK2_GND---------------------- +always @(negedge CLK2) begin + if(CLKSEL[2]) + flag_g2 <= 1'b1; + else + flag_g2 <= 1'b0; +end + +assign clk2_gnd = flag_g2 & CLK2; + +//-----------------------------CLK2_VCC---------------------- +always @(posedge CLK2) begin + if(CLKSEL[2]) + flag_v2 <= 1'b1; + else + flag_v2 <= 1'b0; +end + +assign clk2_vcc = ~(flag_v2 & (~CLK2)); + +//-----------------------------CLK3_GND---------------------- +always @(negedge CLK3) begin + if(CLKSEL[3]) + flag_g3 <= 1'b1; + else + flag_g3 <= 1'b0; +end + +assign clk3_gnd = flag_g3 & CLK3; + +//-----------------------------CLK3_VCC---------------------- +always @(posedge CLK3) begin + if(CLKSEL[3])begin + flag_v3 <= 1'b1; + end else begin + flag_v3 <= 1'b0; + end +end + +assign clk3_vcc = ~(flag_v3 & (~CLK3)); +assign clk3_vc = flag_v3 ? CLK3 : 1'b1; + +//--------------------------------dcsout------------------------------- +always @(clk0_out,clk1_out,clk2_out,clk3_out,gnd_out,vcc_out,clk0_gnd,clk0_vcc,clk1_gnd,clk1_vcc,clk2_gnd,clk2_vcc,clk3_gnd,clk3_vcc,clkout_f,clkout_r) begin + if(DCS_MODE == "CLK0") + dcsout <= clk0_out; + else if(DCS_MODE == "CLK1") + dcsout <= clk1_out; + else if(DCS_MODE == "CLK2") + dcsout <= clk2_out; + else if(DCS_MODE == "CLK3") + dcsout <= clk3_out; + else if(DCS_MODE == "GND") + dcsout <= gnd_out; + else if(DCS_MODE == "VCC") + dcsout <= vcc_out; + else if(DCS_MODE == "FALLING") + dcsout <= clkout_f; + else if(DCS_MODE == "RISING") + dcsout <= clkout_r; + else if(DCS_MODE == "CLK0_GND") + dcsout <= clk0_gnd; + else if(DCS_MODE == "CLK0_VCC") + dcsout <= clk0_vcc; + else if(DCS_MODE == "CLK1_GND") + dcsout <= clk1_gnd; + else if(DCS_MODE == "CLK1_VCC") + dcsout <= clk1_vcc; + else if(DCS_MODE == "CLK2_GND") + dcsout <= clk2_gnd; + else if(DCS_MODE == "CLK2_VCC") + dcsout <= clk2_vcc; + else if(DCS_MODE == "CLK3_GND") + dcsout <= clk3_gnd; + else if(DCS_MODE == "CLK3_VCC") + dcsout <= clk3_vcc; + else + dcsout <= 0; +end + +//--------------------------------clkout------------------------------- +assign selforce_out = (CLKSEL == 4'b0001) ? CLK0 : (CLKSEL == 4'b0010) ? CLK1 : (CLKSEL == 4'b0100) ? CLK2 : (CLKSEL == 4'b1000) ? CLK3 : 0; +always @(dcsout or selforce_out or SELFORCE) begin + if(!SELFORCE) + clkout <= dcsout; + else + clkout <= selforce_out; +end + +assign CLKOUT = clkout; + +endmodule + +//DQCE +module DQCE(CLKOUT, CLKIN, CE); +input CLKIN; +input CE; +output CLKOUT; +reg ce_reg; + +always @ (negedge CLKIN) begin + ce_reg <= CE; +end + +assign CLKOUT = CLKIN & ce_reg; + +endmodule + + diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/SPDIF_TX.prj b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/SPDIF_TX.prj new file mode 100644 index 0000000..7656928 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/SPDIF_TX.prj @@ -0,0 +1,23 @@ + + + + beta + + + + + + + + diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/gowin_spdif_tx.log b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/gowin_spdif_tx.log new file mode 100644 index 0000000..d6d9578 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/gowin_spdif_tx.log @@ -0,0 +1,37 @@ +GowinSynthesis start +Running parser ... +Analyzing Verilog file 'C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\SPDIF_Transmitter_Top.v' +Analyzing included file 'spdif_tx_defines.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\SPDIF_Transmitter_Top.v":20) +Back to file 'C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\SPDIF_Transmitter_Top.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\SPDIF_Transmitter_Top.v":20) +Analyzing included file 'spdif_tx_name.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\SPDIF_Transmitter_Top.v":21) +Back to file 'C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\SPDIF_Transmitter_Top.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\SPDIF_Transmitter_Top.v":21) +Analyzing Verilog file 'C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\spdif_tx_top.vp' +Analyzing included file 'spdif_tx_defines.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\spdif_tx_top.vp":477) +Back to file 'C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\spdif_tx_top.vp'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\spdif_tx_top.vp":477) +Analyzing included file 'spdif_tx_name.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\spdif_tx_top.vp":477) +Back to file 'C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\spdif_tx_top.vp'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\spdif_tx_top.vp":477) +Compiling module 'Gowin_SPDIF_TX'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\SPDIF_Transmitter_Top.v":23) +Compiling module '**'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\spdif_tx_top.vp":477) +NOTE (EX0101) : Current top module is "Gowin_SPDIF_TX" +[5%] Running netlist conversion ... +Running device independent optimization ... +[10%] Optimizing Phase 0 completed +[15%] Optimizing Phase 1 completed +[25%] Optimizing Phase 2 completed +Running inference ... +[30%] Inferring Phase 0 completed +[40%] Inferring Phase 1 completed +[50%] Inferring Phase 2 completed +[55%] Inferring Phase 3 completed +Running technical mapping ... +[60%] Tech-Mapping Phase 0 completed +[65%] Tech-Mapping Phase 1 completed +[75%] Tech-Mapping Phase 2 completed +[80%] Tech-Mapping Phase 3 completed +[90%] Tech-Mapping Phase 4 completed +[95%] Generate netlist file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\temp\SPDIF_TX\gowin_spdif_tx.vg" completed +[98%] Generate netlist file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\temp\SPDIF_TX\gowin_spdif_tx.vhg" completed +Generate netlist file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\temp\SPDIF_TX\gowin_spdif_tx.vho" completed +Generate template file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\temp\SPDIF_TX\gowin_spdif_tx_tmp.vhd" completed +[100%] Generate report file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\temp\SPDIF_TX\gowin_spdif_tx_syn.rpt.html" completed +GowinSynthesis finish diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/gowin_spdif_tx.vg b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/gowin_spdif_tx.vg new file mode 100644 index 0000000..55833ce --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/gowin_spdif_tx.vg @@ -0,0 +1,610 @@ +// +//Written by GowinSynthesis +//Tool Version "V1.9.10.03 Education (64-bit)" +//Tue Aug 19 21:50:04 2025 + +//Source file index table: +//file0 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/SPDIF_TX/data/SPDIF_Transmitter_Top.v" +//file1 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/SPDIF_TX/data/spdif_tx_top.vp" +`pragma protect begin_protected +`pragma protect version="2.3" +`pragma protect author="default" +`pragma protect author_info="default" +`pragma protect encrypt_agent="GOWIN" +`pragma protect encrypt_agent_info="GOWIN Encrypt Version 2.3" + +`pragma protect encoding=(enctype="base64", line_length=76, bytes=256) +`pragma protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa" +`pragma protect key_block +PwalfUpB+OZYKK8zl4HHGsypqHOmPf9MNcPRR2sPtmq8Tl1S6gspySTTwgkp0OW1HEMBOyUrEq4f +BzPtrRfkyUbPSUT5Tx/7z+Tj+yCmZiZqxqrhnhrLvLoeswqe88KuemlksjYQo0QB2V7zPLlaXNqs +uWrWd63sBeUII2jg1tpN6GHGmwnjaUH8cyCbJ5kM37L6x8P13qWm0chyTV6li1jFWun/e5SZeJY+ +MTCOuzs/LDN/9C0OupOqOVmtL+eLMMU3EmqlnYaQU9kjRSC/RnIUeOqoeH6d4JmAiHMeT+LM+U4u +9FQpSsrBNMINNt+VrpoLLVJ+6av2g6IqyfYGVw== + +`pragma protect encoding=(enctype="base64", line_length=76, bytes=33008) +`pragma protect data_keyowner="default-ip-vendor" +`pragma protect data_keyname="default-ip-key" +`pragma protect data_method="aes128-cfb" +`pragma protect data_block +KP/MG/c8VAIEDD56Qqaylmo0fwrBySkCDD3827jPQTVRIBkR9/t4O2TPpt7ziM8ZgZRnX/4N07LQ +rsEy2B0tjhEYlS5CfYxKhOKfS6mZrx0FxWLev7/1lpOciDNcdaKDLt8WB+IU754LPEHgmItPvF3Z +jpIZusBiBSAcR/qU0KX3EfpTzOmi6KckS9VXNQ6uJNNqlyoqQf1o1X4goGkOE7XdQmiyHc2VORn/ +vuGHxX2N0VRi02B7FQ52hSt+lrgqGRa5h0CBciK51lMQlpbm3aBMx/wI+du+oN9TeHCT+Vx7/55V +gTNMV++tS6ZnQ+URCqUBUQWi4+xGrZkukRiAHRNnRrezOWvZ4Csh/uaNqX5gNw3WMrUX3Bl/8lUK +jRVNaRJB3Vgwf9HnSEHivbU8kjJafw5S+MoAOOB6qb3lR9IqSs2uis9xKSpaO4CHrOu4AFqXqhMT +1HBV7Qc8PhrGa8aEejn5SYI80P49eeubtfV7tspaY6j4Rm4cQMaTmxjDndidOQTKc3rI4NWzqjul +NnifnnHmm71FQDENJwgfuGr0T3hXGsLLw8mCwRQ92BZ0zOFPoEW/+QJaeeQiImeOG6naIBy8KIp/ +dgBP9rCCtK8cXbdsjlistGhar1LjM4V657fbpajwWNcDHtmfmqIR7Tl+xYU+a4z7u2ykCzqC9HM4 +Q79FuMcXqYGWEBpr44NfcczSKIoxjYJZcmuRJstnVHIqMBz3pHDUwF+l9p6QWfFvYhQo3+VdL4Pb +XtKbIuSr7jT5fQK1MfRLuB3huhs/ahzBRoXSRxA3d+gBYF4jwn2Fg8L4YjFzsfXmVOS1SFjpGP7u +idLgOwUT2D1OLjtUXdja/KlzlUmL2oSs3depGdm2pN+J8bKc11QArv68MVFmyP2Vzq+44UL6Nmhi +Sm8/0csTYLI6YzyktD3d6she19237mdrak0JVCiWlXPCnJz6K88G6KuHs9VsXhEeZo9mXLQgKttH +IZqFbwZ8SLR75h4ZI1/7hg4V+2n7Wu4ro0P82qE/p/gQKTD4QxyAQumQMS/5xt/eOnO6GzzwC5fT +WzeOOdcI38SSuyDEb4V5ZLuRtnghWoitUvTfAUoOCIIJCvbrOh6C+QG7SD9mNF6OPUQOj33pIVx/ +bYEania7hoX/0qJnPQ1g3qYM90dJPvaTaGl2WOQR1Bt+Zw6Tb4sHnL7W51TfCBgBKV1lpKB/DXj9 +1yA4ePykai0O+2p5FV6RIrDaEkqL4q2SVg1x5DTtXfn1Z04Ln1J3ZvXLFM62Klc5+cuPvjGsg2Mk +yAThnRfS9kRQiC6PsNq0nNsXApvfecSYchgIc3zoOzdwV0bx5rBYLQ39b/Cq8VJ7rkS1XbFfON8F +DUgvKQAu/yUipfxYdV7sp4SxXgM63TDHQq7TRdQuuUZQD2cDGuAaVheLlYwaT3khDeKA5tL2ZQvy +600H/MC/AjqHuABp32ddevYGFNFoX5STbXbvsLxudPoDPk+TThWU3F3X6vBpAvkB3jDgYhNTKNr4 +UhFsr53A5ldSZQ5R9P2HRfYttPSW3M/4mtCjOkr1rxyEMspuJtGOUcfCWPB4N8NiAt/ky4Z6Cwjf +r3TZGzqwR9RadetOpxQWdEIMJ/+ojZyoNyYK1VOcj1Nqrqj+TMKZwK9iWZCXnv8s+RYjURKioO0p +99ohSKJRKlMpw7g4+ubL6VHfpe2sStSCUf1aXkrJPxS1Ra5y6OP6Kdh85vlyLH3ZIW/MchXnAtx+ +nWuKyYTNJZU/NckiQoP527TySCfBeU2Swd+xiS088/4IzVAhZQxAkYeUbR0JcbJicceSKdl2bboQ +lDeEfOKnQwoVyAZ3Hi2szFSSh0CujHs/jUqhVGFTKDyqi/KUJkOOQ4dboqm0diVUfKuyOPC5uMxn +rOunG0ULA9mvjEmCJviJxizeD2+CeTQwR9+M6A+ZEMK5CwvNSl8SaU1KbK9D7zqmKyDxdDF+aDp5 +xYrME1PCqGkDxxyKNihFDbF9xQ9Yi5Rui6cKfqecrKhsx0QwJSMMnf2Ev4U2oCSNnXPUt+a7rIB6 +zzOTFRjAXPaJGB/Q6RJGuZj0FRAq2WvK41TEQF8MFK9SZpCzsUk6ZuBABr0e3tAa7mrbU0Ei0FVK +JU34Z5mbmpGw9VBMbGV+wGlKgjXKEuIlkzajo/xmk3BjwSKsKV6+Sxbntyl98vgbIEXj9VMMKS3y +URz/UfbCHYp1PMBGoKF6TpktL2xKJAxz0lR22X9Ds5MJn8f8ZnDZPSJ7U8gjQeynkpb08LQ4y4rn +NsEJHZDxs/ehosNK9hwhJuO/M1HlaD3tFJ0osFNLKpgaeGuTOEXRZ1aLkPhqJqwPxKGihLpxBJ10 +kDCjFLboU33DbPnCUjaUhdTI/jn310dNioX0wQUk2pA/UE2LDAsTTabEsNUqCdp4h/m5OgZz4tNc +L79iMSQisrtlMKnNnt4WjjAskQ2mVQ45TJBMM11bl8IOU2kBTzDn9w8PQRchdbgqDFX6bHpqxuoe +vW7Qc6raZ56a1Nr1dsGSHHzfgEkyA7Jf1jPkysMvTCFgF8CR/nl7X8VsxMtWCs/DST1Jcji5Xurd +nhhYzDKHJhM7TDej4oBedj92zCTzgno/yOnvS/O7iaTrJeE7KrNPhzgwSEM36OL8u0BAeCsz8hLH +OViZDqRjn9UkRr+j0Pn/0gzTKP9WwSZG9p9Eb0Z9e8wkQ1kxwBlWdAOM//dfkk6+ZA9jmE4VPooq +xY8EbSkR0Ubr+wtklArWxCCW0hNswhqLLfFLg147imKSKVmaBEG8fKCMOdy6oE5onrhtCdAZLfjh +1QZFi2e4zuUmkIYLpZrI5HaK4HHHM86kF+ri430lLltCSmR6HlEUBubVTbc7CnpBhqXLdhQ8acbM +S+YRhn6IEZjHPSQbUdwrRw6FZwT7MhYLSlZ1AQaKwec0+aQBB/vPHwqVjbEXkEPK4qbtEU3mjeHt +maR/pYl1em4lSmBNruigIUqzsIIbyx2yZ2tbL+h/rKX27I25msp5vourjVPilZcJaTpE3HTVjWE4 +Are1CKyWr7zbfDcRDcuP1y3vxd/mIwdE1qb7nqwCSK0CkDbXy3gdRhNU7eczFeDO+eGNqeNfLQJH +4LFBAirHaw+U4/XCRpd3+G9p/Sj9KCFHO9fzz2lkgjxnGMWSTMoiB2AWH32BUnGC26x76yhfjSAN +Wr47CwiIqb6IhPLuD3XGYJimzAq1f5BFNTcgBBnaTFXtN2gM3MoZuvEuh72OC3hay/4CxBN+hTHC +Z69qKPPOdghcGMN6wIr4F/PkMaw5IzO24sbKwv7J7cjZxtUfZoD0EwhgAS6K4P/4OXO6mkYYb0fp +nfCmS1s54z4hBoW6sjuzkX1E77w4SaAMHSiVTAPviJ9AMF285g5xYETNxA8aukkG8z61qEWX6x01 +Pn3lYo22pyEz5qXRV2YB0ZEwPkBJ0NJX4Xu5ZxuEDb1BMfV9CN2YIpKXljnxdQfzuy0ZApvLOPUF +hiZVl7YpXuVrqnwaDfQznNEW158fhujruyRROoNE4o9FdGeYXUntE545pX/45yAehbThsK6okxl3 +cso1H8paTHQnPFYyqTo8Vvzg61lIZBvNrD1hKM69vdDy18qkW3TlZzeVm1LJ9U9fBorPGSenLsrH +fRQ9hqyRvH6zYOB/wgH86F16tMVr7+7yeeeYfOiJboiO9RNAWQMr2SnqGuLbhAyCDyOr+QqTiSI7 +tEAj5eKdIV6lwCHvlaUHrK+CtNY5mSHVSViG/BgIBezf8M75SyCB5a8d4F9NP8ukvJlM+POWTVKL +YoYMOEH8syMObm4v0ICpSI6uXvG6Dzuj9TdMyyHTgh750QsVaFevT0zLCm3qggyanOw26YJU4k2a +y2cH3cUOUUkS9hNET6//goHe2jOLVsRpaYb6gQtdStaEo891qxqtjkiKKkjNwaf7/t1SwmI1AYie +tslowDASu8mGUR4XJpEsm4DKvxAkevrJSIa5G+UNhPx41AedrzFUJNGxd/GGoJzku4bKwHxZ+hTu +yWN6nNE3+m8C3lCvnn4Z/AwOJOC5V6QyLn/gLln6U1NfLwueqwV9VYviHcyYj4fDwtoCyCgKcCLd +MMnzM6iADAACWUnILYjuNOIcKp2eGJq+kevFaT9Db3KymWnYvcrGms1hR/dPcX1KFIH17xAPZnGV +X3Njw0hicNJSXF0VqfWq7z92BzjFDZQZ6+gkPdy6M9e9iffdEtkBAmqcxfi9tTMOuCBRFcZhKEEK +vy4HlaRIIVdgKR/HMWo+qSuvEI/1xwbEZ4tgCGvQOKAhF8rz5eppjCohcieBvtK9B2LarSGEnnh9 +NEuFmXsBVhvXYS+HVCrTlNAaw7OXWi/9/AEzfqSHk/t+eC1EH5k7TvNwivJdPjoxDGYhtZCz8OLe +nqKA3Cxrc+d1UftXmtiN0Er86aE2AXwSdUpEw1kbf5oMn77X8Q3n5S5CRnaLzFPaFFNzgVa5nZdo +JDFUFEkejEuuujVISdBsXIEDSjLFdd+NUgXiVwBYY41s0BmjLp6pTLkmPSkmsCtp1NY6l39zFWI9 +yZj0UM4+4fw5L+BscG8fv+9AHsSUQnmQtxX0XDZyFsSY9Iq6IHfDFoANOOkH1a8972CtUmRoWHdb +m+b8xGci5XOi99tnS/Ky1pHtpjqxnY3d0F0p9MqTU4Um3lIpYfLd5rxMivWlXFLvzVOkyynb77nI +7Ge0jOf8GNDKybeB71/PE/2ZKUKXcxEyLW9b+fmUtyMWr4EvFLsZY4A6QSWBwUE++qAuFxIoUtk+ +oZ7WOqhEHX7dyXKTXqJezSffYAEQQTVWrtHPU6VWV3vO80vfovBD83AGglZVPnI1/24+wS9eww2l +FWXRgxqvvKIa7IJ3cjwJivz7KnvW6PvCWe7ltaVZEgNwL6FinB15tFy89SyFM1aAfxN5PZxGbZ34 +T59ayI+6jaJsRCcTCI6PCSGYJTdj3iXpgwl1uzkG/ftBx+MReMlJonihmxBlBLYo3XD5meYZn6KN +dls1h9x5flzwgUZDBk+TyeKdOsCt7hb1roVcPO9rTQlYEgIZojWNMWNMRX7gz5vobVV10p5qvIPV +QyhFN/VC5ElwdpSWGeeLo26wiPI93yQkTnYRSyyQIwaijVuNh/VJAEjdfZlbD8skFpocNKmH6fJ+ +Pz9FHbwNdNPHCQGb27emfXMHyTianv1eKgqfmS8vo3zKvyzkQv0xx7YZukaG+AlB5gZz7BDfg4tj +LQ7NRhGoKWf3l+6p3WRxrWd/QSd9NaI7g4rmzBkc0BBepSzcR/hF/aq2zylMfpM0fjL2OBOSyZa9 +wmw9rcOVKXvct9OCa0YYIWYrVZawQ9MNvfwoNsQ89pTDfUTSJes2aa0ODaoP/hhTvYVlOEee+rFh +8Hmt7xO9QoxPfQvadY36NN8fX4omT8QdI7Ppn9ZVAa28hTzi7KObkrgw4e6NNOiuV/wGVg2H76+n +iunKeX95zNQXlPj7nCa3Fd5eX+R5NPQXFmGDRhnU3gVFI0H1N3CiRvmZXlx5Wg5wGVtSAL8L395y +NF9ELwwVgjgXv9nCRauywPwiY/69JjqXH/z5NbzjOrptZVY4kwNSkBMgrwLAMFe6idvQAjHctGoH +jRrwdC2E9LvayJs9bjuFtyYlNkSOzWwGVF3897ALQDaei8T+b9sGVtvwdVAFsLQm8jEVxO3dFaoo +wXopuD+FYGNMLP+5YxJV6ytxnNfiAmXPEU8pzCbO/ssMEozDcV8GfcipJJ0vqG/rWkRizmh6zvtN +MpC87rgoIU3DifpCds1wnQYg4XM2jriuVY/tzSQHRZh5jGMQ+PXiOusulzTBR25611l6eaCrznl8 +FvBiVlk8GG+XEm8tRxFHTW322E9KKwra1QL8eS0Gc4DHY0TcDuVIxbbJUuOAapPJeodG9wAbriqO +6WXDcbK4u9Fi+uFJZRiJ538fgz0Eie06v9nLoMJS7X5tw7zx8SmcTEliOTdpmE0iMx+YYgcSwV5n +vRRLd/Kb0v2AZJNphxob12BDtomXJVGttAWx9JCxWOL2q/9Tq5J4ge55aj5RWoPxuzVGc7g7imd0 +n5hbXqWf+/JJ55gmjHhGpQ0WjbaLwcbezULyRv0Ae4eEdvKUqNVgGHbSbumj/rhEUdDXEfunahpW +98MEe3sCqnxDrsXSxMapMDKGmd3D4PRglz+y2nqZciFE6I4HFk2H+V2DH5yLI2RMGylSoyP3phjg +zyh5gSV/lwCQ0LTKDYP3o9vszoocy1iJ16n/X1kogPaP0Ttko/d8vUCyeXDNA91+uoRA6ayoyKyb +h5SFLKX1jqVH7e9aJn52JvCknHEif0KrNN8jU+yqE4pzBytmKd/9ncBWBKvogGaYkgjT5Lyu2qZ5 +82ImaPRvs7meO/0AsyjKT+XnkLO9k+3XI/uw2B7sjgUeFBBASrWyeq1ZGmDi1i95Yix4iQ4eQWa5 +owM44AFB/URMYohFFtR76yRUR5dlB8/hzpOocAF3NllW6vxxuAHs5LoDtOZ1Gedk+g0qj79puEE0 +REIEOBAx7HnVhN0Bo8h3Gw2UbwnG4DKf6GVBCyq1/znOan/CZRGb8jVnNyFXyvQ75O1LWAlVCcuv +EmlYHwsEvIHp8A96LVUH+6EehsO9H8ikAu1DdXOz+qM5XF7AQDyPbSJI7pHoQ9dWdCKZ4lksartx +Q7CPlE+KOQTbrYCbwx9/+8LjVpDjCeCR5uzppQqjMwWhD3I6nPmMveWe8IN3de5q0riX2zaWQeIm +l3uuMGcybXNu4be+zCORCl2Y/VCXfEHRegAdWWNCVTtJvzVuqYFQLSACIcRIEkx5ieaomcN9empU +O7su65VmEUbfheB5/vVkY4TkDn4JlxuoWUagckOqDGobKrpeMKkdvLsy78mPb7F2Cp7CbEjC7viT +pT09KPfUudQUpiwtFzad1DS1DAvEyC6hxV7x65KSJLb+Y4vU4CJLWyG6B/+jWj/JAAtWxqLf61qt +KKgNoAFEN+ncUGptvcKGbzS0jhKGnu97mMA61J5/i/+U2evhrBev7U6KPnk9SE6sRFzSpIZgve07 +nkPL4fpLsh9gCzUU0KBtoIwR2AbZAMXPf+FODyQKF0kTrJxGbK3ueySpfEGCSpuxwFauI2XjQ0BP +Au/OYas5ZhWj6YMxJykvyDZ5Aq6KujHh7hKeNDpY4X/ksl+uok1t3+QNm9kjdPlQNNh7MR62AWpU +nETUtjAuxwA9RMccNBoE0jhWxCfUakTCj1mrVjbLGQ8U1Se5Ocncbf/x6QfPxmXe6eF2b4AlNOGO +EE/Um8jMfVI/AGGyKphSQXr2+nhZq2Y7WBcC8KHEunSiDTogVGaADdGtxZc7XVGVTnjyCRGsU4An +B38jRrB3TIN6D2Wjgjy1+0iuT9j0Runql7fcUqVr7Aw2/q+zRgN+EiKppRrCefpy7M/PE7EAYU4G +YKiRQTb86h4cwWAlC+JYnF1KQztdgDlqmTK/1BLYLCU1oUvQmdZaoCu9nq6QvcSwNFmSjrxa7kr7 +j/jphoK+TjIbIA8GnxdTJJYYcGOc44l0dM3HaKS8+00aLSpqnFuKDFFJHKebEPr27T3xLir0K/P8 +Saw0R2C0xB1+gt+9JnpHArnlHFvVdJIDxAyT4rdlfaQvmFEBNvBWH8d5/8yXVRr4GhWXNxDmI4CJ +6doB92d5az8bSihSoFdnjZVK3dIkmqR8gqqpegh9HpJcsUVf2h4OLR8X2khj/ll7vcKcKZSnbJSq +CIJBY/53B077XjcLnoPQ9Gf3MG2N25Qadluf9Q16fZjUjR1YFYfzG0Qka1a1hgWC3FatiZctomlc +oPzMZChUfQHINx6kNcY6mS3kXDju4uQXtnn25BN4+HWN0pmXdSZtZRvRSzXlEP8EvfYK12mNaKsv +VsJ4RTDopAA3ffDUqJgRnNENhzo+KW7P0Hq4z1R8pHAfrKKSX4IIJcp7FXpTnWA8tywE7v9hKJDa +L+FmwxzRHuoaUObjoSLqxe+NIImJ83CRnhWvQa2doHSa7pf6HT83otu8njnTN7qg+S1Pg/tzJUp+ +kPF1XHY9uKShujfNlNWC1rxHlo3g5+ksPrOHTzxY4/cCymtzNS+Z6v36W5sKu5SUMjE4aqoam+eq +0p9Eyng3u/9irtGaDjXnRJsF7dR8QpusRcl9Iq1C/jI0aaoGTANoIVhgxX3E1BmSWXOE+ouPRsZd +S2eqS00JXL1vHFoPKslPP62zj0/b3g8eJqeD6OqtGO+jXMNPZ88187ZcNCLa4lQIfXGPJ2WUhTg/ +alBV4/QeFoCz4g217QxdIxF8cwlA6lYRXtVd79qnEc0LxA+OODwxQJ/l8+wB7ug9o1FV6nM6RlGc +77W/UVPSI8xkbz4m6f4tT7/jD5O6sPHJf/qEeMvDwXptCTEX6tVyV4B3B/SQhSB8qXQqWD7XNx9v +AUY0AJYqueVzr8xrjAS3Do/QWRE7U1yJazaIY5vrwD5xivvWtye9205HPYODH1q9tgp6AyI7NJSM +G9KIA0YhYSpGCTns1igqvnvAI56JakgiM+MNej8x6w6sMNst2OHpEwNJqgAKjMUx7aapf+03GH8E +QitUcFmqn60wvNJW2vBkAexh2WLZLV8Wclx0/FjmO25OZPkA7VHlujZQUgnqsBU2EjxndUZ8QybA +gV+Iuit7raaiENpPCQWBhdMgEgcr7fNynnMhEKo7HNNeuAXYuHvRHlOS7K1szizoziUCu0KmYpSo +RUTplmrerD52sfgvGaU/Y6aXrbGoCwU9b8z0d17c3z8eTvGYlusGlSyybDb5oLHJHMhZ5fWh3V+Z +HxThGp6qSj9b8WaXke7YFj3PVvJ8lqYH7aSPLae1DNvRy1sh3K5Fxi4KogkdDjvlX1qmOBTpryOU +dW+oAm+3zcxoA4ROX/gjD7Fr6GwzjziDtaajnj5To4jHwcZ363HZoUp978FImMDVNR9caUYLD1vg +BKx8iZi2c3uaMi+8DkTwcY5Ceuv2uMZp7a88dA+xzvKmiKWQuyl0xvE+mE2rWGq7BDK+ZZd3jcKq +uHV7+p7XiV3plCHLhML5tNmH9TBaguZ472s3sU+c6woiMm462yAkw5oQwZ6Hv3GJNxD3juKMiBId +/UGqgWBJM+KTb2pW4ZXFsAhZ2kyONuGx0JWLL9opY4dSjlNabjPQype5t9a6O0jmdektu5ebrOR7 +udmYSSqXcEf74+DsOX+Cf3KYlnogaiqGcCV3U9WL+zvCMF4RyZHOItLKAH04Izl75++LSfNlvOMo +tN94JnQRf5smca2UKngSleYVm5NuZEdK+nTWlH1x6r5cvLWL/z4Jze/Hj/oNgb21mZbSIr1Ek4sV +29TRtIHgBq2grWbZGeW5fuLLAp/udIhV7JrwHUkJqJs3Ff1/FCB1Cn+GjKRfAfEnxqLMsayH6k6R +lLh8el3/d/yNnV2TaWBQL4nYUK3ABy8SJSH7oZv7lu1UNvk6sw7MaOXIJ8Bs+P/xJSi0RyMMkY5A +xmLvdA5x9kMWH261BJCETp9qgmOrSlyvpblSNjljtrSdH1pHBYrM/6uBax/qNaoFouQaJuirMhp5 +Bg6/ipn4cFLZ8U9i4IcXfZXN7bGz/lsC2RHwPlw/cJRkUjtwMaWTdrvK48rd/REfLvnRfWLoUlsN +HEaT0cX0Pgl2OAXvCV1V3RMhu19+HAoAxIVf6r/8AA3QfJxd67mtKB8z8/LZHVywsAkCDDMXWypI +X7i+cFoj+1vbddf1xk1pWRKiTWWuWtBcGIbjY2ReolN92DpFoNxCFhQ5GWd+DYphr8cK+sno+Qmq +MLzn+1U2t/R5q7muKp/4TPascNwt8DPh2aWj3B4AheE8ah72QRzUjNhPdlKng+DiRLDj1GNaMKLq +7PLZ6JE6Oxq9Dif54dO2jWERphXcvVGaaCY64W3j2BcXVlOOUoRWOpeX8EDr6lbOBaXfkn081f1x +jue7/qShIf8DRNGM+Rb/3/LlVz+FOrwp/mjJw4uQiD7hohO8ve1zhKEtN6RvnS4644FuqxW6+v+t +9NVMyteMtIE0aMtnD0jRRXfn7CUDKdl2A2r8rcVqmEqznIe2TNhwa5YTse93/qUhAFlGQEGHHbR8 +HI9Yd+fuSEKcx7Y8agxL+P51Zx3RTVUlcjQ1jqJ4HE4mpSEyAeTblYY+446bLilddZhvLsOJVPvO +9PKn8JBrye4bxgOcRuEGwUiOZAO3Tjj/UtHHFW1f5F2u03V6w/2Nr/bQ1Cfx7UAvLdqbHbVLr2Kl +0OivfeUN8gmqG4+ZXXBKx+EkHq7V+twENzu8/yvUrMCxdhHfevSYKl85pJPZnMmAyPPm8qqnachk +96xat2GSr6nCoAlBhEdt/TsNMctEV2XSKXqk+rgRLOmBmIVGyw5Yx3/8lpjA1lReu8EZ5BbkIOkC +Khgxrpv3X3cOGQTqRY3qbMIALtku4EI9y5lByruXbzFbt5DIfqRHMfs+EEiIH1q1+94Onz1xSRZU +8QRDJ9na+oXU9xu7bs/n4vzCY89G6tUbBkkt/2PcvuJixYKW67xydxRd0sHe6M9Ha05iYh73ufYe ++LR6UU5FJm0PjUTrgeAw+Z4vtzyKpObptoqV+gM0Hr8P9NmZokCWCz9MUPkDAjQSL7+1mJvPkOuP +kJ0JP47sfVuin4Ut2Mrp7bGKcV8W3uPZT72uXeR2q9JhwCfwy6OXtpahaheLdq8PeaaXy855Wh9E +YRnWfReGyDAkJCo4j0LOwk/EpHEKPvIDunLT1VU8P2Rw/ahebSQF/+a3OkvrQ9II8pBX7grCEm78 +wHXo4gvNbu1vW/bl0FGHdtIV3R0/z2DDiKp9TsGktTsjL0dUj9WX22RD6HytU3J8RyOcuxRBOKzE +uzJGCndw1gPd3ueWsdos5ns47KZ1op2VAgMSOVL3O6wPHtui2vTf++vQKQkSl2udtBwBfpSo51yh +FgoRGLhaMnuhpnzwkOiwKMnrGUBQ720I5beRlZSnY3XknJCrVlzYGcdlls91FtRMHPbqS6xDGuQu +U/D0vG0WuRr2SUF1A7HQEs3QlOg8TLeuADVqrmPphdRlszbNGzdlmVvw4uam6Vvxzw0ZT0+rSr74 +zyrB5LxdST60WW7JvZ0XU2plc1wmTm7dq8trjorVrJdPd6Vb/W7zPmppJdpMME338WgWu9o2Bkhj +pNa5C4UjZtGIW0s6ZA/+QmfLBYJ9zHdI6fvehdwSZMtQeOXn5cyFcUUFHWnBFi7sQcfZfrXXxOZG +J+mH7/IEJbDHPBwEtGEbbK03gzCE1D2eaXOmP04AEoMHFxuaCuEx9x05z22GL4IJ/G4i5xAQp7nV +FZlViUBmSse0RRsJVwYF721YDunoxRexeaoVn1vOujRkl2bQ10yj4+ooDxHdMlnWbsbB0/QQhThE +QYChmUtkYF3lrlCpRuX13a9aihlmVgqquj73/kHRkYS1xc34dQkp5B4KgHkLikqMPAU1PI7fJP0Y +BLe4xZdYPDfiLRNcnv3E+D7zwVGmYsOsCIYFeQnB9hY0jjt63n8Zy2LuG1CJijwpetLRBj2RaoHS +omQZJ15WTJM+Q1cWfD3xfbGuaDpP0f57TmijS9wnzFzg6f+xq69oXgeqoEJ15c79JzN1yfJ+mRLY +6+30+5rp4zR2KuNVjLcPYfMh1UKYNE7Oj3ZK+mePhuWSSTE38G2l9q6ZA9f/rakpoHtmlDXioNC9 +Z7Mt/gp6cnjkzfEwpFYP+1IVQHgPLgmYqSR9z6zhYYlFZfqNZ/7yxLitB4jV45mTP42N5cgs3cGR +4IWUEtAfUE+Cjn6VpXdPIXeU1F2YLoN77wTrxGdxed+juUrReW0oYCbd41KoxLN7NYw2TYbHnWVJ +6ftLW2/xssdi547QdX1al0zR/E9wRqsKXtXNmf0wCMor29fq55FUA7ZorSWFkxTI7fIOim9OArFl +92xd1nJbDG2klNpHI+QP2K43w9jxwdxyZVAXCVZMpry2O7NfeAg9u2t7Nqf6iqoE9xy/pT8dUW/P +YdWWROF6TZ1WWzl/JXY5TcKGTDxM6aBbS5QwC4iHUtEFjoOPwpJC+uM/0IukVls/mZ79d7gDCZlj +mf7JWMOvS+gEC/ClDh3YjVg6FYY6cM5XV1kMx6prNlOMiXyQog7C44jewCmn80LhXOptatco90Io +KhtOdg9bZRSBDzI5Uutntr/RqQaJkcWjhQbMLKTM2KQunEqmKJb5dHwvcs9PquMLJZ2aLI4jLmhE +o19AzPrpjp3/IqD1yts3wDkPwzdTGPio3teHIltdt/cFT5VNoKegdDBoM7Y0ILh7MToIRoOiwrNF +hHgV8EFd6W8jdM3REHnalgssJBeV83U7/2HD7jIkDcGNHEa6J8qEAKWzLlS4ApEIlgtgtaUrC8Vc +TlIfpKgBGRmbiOO30vMXILCUzrFI3GGDe2mHplg9z6T/GPbANVOFpmFjOSeANW0/xC6oynZ7DBGw +lKt83BR6B2/bRqrl9TIk9Etjz3SyOIRxrZWDJoquDRyKpQ1h4tqV+dqv2nNqxbeGehQ/iDbd4tbO +XRfWWdmNSXRQYggI1sADuQKg3fT/1LE9b0C1ZinxYboKYYSyawD19x9vymDhFYmxAEG6rdi6RY1Y +osJd5LhK/PuBWYVZnmN4Vfbf74ydPDrLM9BP6zfDr5/bNyRsZ8HIXqHRQQBxzx5Q9SVOyxyrb62r +/UXDy8bVf2oa5cWkrI5+sOwWKROLz+yaUG4WcFdS1hDxWYdXeQH6txb3J5Wjckb6Q0xbsfVKuvvt +LfdN6YktEn6U2Mrg+BX+ziyEr3pEoW2LKHr3buxsrO255DTuAbXpgeCK0fvnP+KLE4QmqHSQmG8M +URJP88EIX0V/tedIcfAlVx6k7jFh1QXVzWKbLgXJ9gokJRMCXhjLgJUsMrWtO5yj2fxRCX+YXzLb +L5RcnPcyGtXuDOpDQj21/p+h43Vq4tiSXVAEIs0Szcn3JuBfyDjuLZnO+zNMuDlBASTmTFUUm/90 +eiBOR47D16+Hhrx/Uvp6pjZ8kfT6XyS1Anb2ARocmziI4uk5SErcOWRD0E/PaHp4TSCwspi18/CJ +5iIFrYO0yps6gYxcZyKH214ET00yxxwdbafg0UjfcRarqkyqDaPCXnp3ZMVJDxvUQ1Xy3RH1WnSq +3fZ4nR3X5SwkZTI25uORqG8X2tIKjS1dzzaw5xWSanQ9T2Cgl2xN2LD3CM6LP2ayW2YrGdiD9Cqs +lQ8liaWOuC6C145+laM6oJCBeu4gLJIJ5jzjVm7NspcrUFFcuaQ3T8hkl6K4t6Am1eKBoqx89miN +GtYBmUL+xe1tLDdtk0K18PenzWxnW4TtCFnHh9ItFArwtDnzr7b6MDHoc+zwDnFIBSMPI+mijQ36 +WJZSQ97kj0rJrbFtgJ0kVTdgILPC2ABwi34kK+tbtm7JxY8E0pXQR55t/dkLg8UymzVb3llNBRmp ++F4HF93KpTboobLrQrfQ+KNPkwNX8hg/V4b57jf61GyFc8SjWKZcIPzFI2B/cmHv9ph9+vr3ww+Q +AXc5WOF5jlod0xDtrJGw8C3G7bWASj29OW0q6blNYMZiiVcFl5uRJULkPZCLxJXdVvFMd4Lum2O/ +nge2yW7n6RVXdjN01zPzJTe6k6/4fWhJTtd95WvWZp+ftEy5MM73nkksFkXjsWPD7txrEJSSDIWF +iKXUBMpgEJsPs+tdDuTqLq1IU0QdC2efoPKmki7QzbpFl63GN8gD+6lUH2aC8clgu3hL1gMWQzPh +tTpanbSChLD6QCZM3TRgRoVpUBDzIkl3Q1PTcGnlhzXDwSil48MdmsdE87GYeHZfdtas92ops5j0 +X3z4G0npjN79bG5tGyTW9P7rrBh2yL/eYjaWQPzHpDMtdbdGUj1p6NRSlrYHmvz+VQ7PHNGNSXk0 +yDLhPQu7JEDDKFR/yTtx07NDs7bXXGtexZpKLlhTCfPekcDzU8AAM9qPSIGYDWWKF0zMU4mKaPcv +nLK2/keRboTeha564f7ygxri7aRkqmMB79iT3VQ113k09Oe0AM71xsyTYdUpDFwjpeiT6cBS74bM +tv6E6Qi0fjppH/Kc1T9Ruk8WtGtrf2+m3dDXYzsIU4ZDPwov+zv5FAiCUrbya3Kha/Q+W4KXMk13 +NOWsM+aEtivV/+H6mEMdUrRaQOo8b4tS16N+/dZPQtLM6rUvCu3tWH6kKmHBmbTjaIF5y3BnF6TY +Po79tq8CD1AFWckeA6UWtQxAQf8XltW0z/fKvQx4vl4CAqiuwCclG6vnga75g4BKeO4gpyO7ZAoF +mQTWh9lyrbKzCrDik/AmuiDhykLNXnxpaDO4jkN3fIJx4OsZqwUsROLM0Hvgr2WBwWQIf0z/ehDG +PllfU9ayhnyIB9cS7ZTEGPyoG6d+rtGF/OPIlfzIFecWhheeF0K+25VYnp7gBKKqW665qHQGXe8C +MPi8jJaBAIKAlPtkBHibPsSufW+DakeGtKJCPR/D1AjuAnLwUCswzVEyC1HzXy6lju93EdtrMA6q +5EPgIHxt0el/V06deqOA0VjFMJxsL1W56kD1+pqfk8AFvPWr4XIHSvBMOxixDmZ68Yo3+v6LpcEw +uQJml5Oz3BugubbdoJ1gqw2zZLeMMi4bziKb012R7BBFYrspbDW+6JqdHtuOHTFY2u5cUxZKIorb +dISETQ+P0CFAcW2jmvy/q8LJfmqxulPLlj5N0EQkA8UNoUrPthxgcLpsO3fz+oyB8/BlAbYNUklc +Ci64IXkGS7Jf9moftAB0Udjja1vzslX9evkDDf+LYgb5zM77iF1lJQK1V466oQyJEFR1psY/BvAf +MeToDZRY7r36JxErfnZm255RsdnbgiCfFP70kAgz8MS3ad7M960bflfLkGeUYrj1hQmlKOj7t3hN +ZGfqHTis9oZianvtvf1jcydXNTzyD+szarKisKBanaTnqEkm1UIY/I2O5bIZaahzRgp8s9TEQjfU +mOkiNDRtbp4QNMNCEni5NDUI2u+q45pFtO8X3u+aQbuJBv1HNEpuinvMQ7tY12fhYn70Gp493eeq +Q1iJffGfxx0+VcFfb1nluA9VXVkiv7swKw3qHjwlg0Hnw3UMM+Xm7/ntn59vc4UrHH2eL0LIDULI +70ozo9fSBCPVLufoa7/3LItBZhacrbSeLz5sfPSx1g1HSjgc+HHCTEbI0nThi2icFNqXi+x3WBRU +pP4s+la2qsdRnU16BWWRaijqEDXbxQNdN2Uu/aNN5I0vP3BjBD/Pc66DjAvnuSoAm4GPLrx/4H8h +oN8LdNA+6gulp8n9hgoXwGlrZ5T/y/845n6w6g3hr0EzmxUA1o49h9BAu86eJFMpKpJqlmFMZmLW +1Kve+8iNJU7G845A6ZKg+8hQT68rL1tC6b2Aa6AHWMqTFjqRj0ge163CcmWJVpWkejI8amOiCi+8 +ltPPhMdLhNDysFrWCHy73I/cOxeLV2dXUYOWpH4Vf885qjNEf/eLDzMHSuqCz9IsMHwWz0JQ5PLp +6Fk0ofMGZZm1ujwQ4GAo9Bpu+8TLZ9/9qWR0alKzyyH8bL754Z4hMwuJS3DKbefSkKbEIFFq3FJv +XrImMiXrCc0ycPwhAhOWTo18QjRwNyOqs+bTvRxWQvCnrmEfqULIo/QT4o8Jv0tXgdoRC/+A3ljT +3YTvhRHi2IIDlXWM02dyGJaj75jL4s9qF1sHPyHAgo3dZPnVfxd5Vw6dmtTycORyRyMWcv/Ky/eL +cPRyg6XshsSlQK3R4cieLgLJqjjbYToHgga+3t7ii/C34VWUHo+J+EheS8+pmmCk3O6VM/fIdprF +gjuiYA59iwiKRUFq5YsihvrChzg09xGXPcrchOrfB1iY+CTRkFoPa11XN4X/1X8fW/H0vIf96dTs +UVfhVXEnLA+nr0f7qP1T1NlMkEcHjxdeN9v6clBxWYwvkzKUTtN60W4xMrYtH2fKtZQf/dZSaM0W +dFfjnbaz1BCORERp7l61HPQ0XkwgRtn8hA9w4/wLkeZdIu+ia+voiBYm1kExbGe0Rg+c/EGDJE5U +pdnpijd3VCn2//9+wm8IAN+WRgYpXx1X+BQlHUXvAdAa0fH605mG0KcJU/43f/NSamzxxFPby61f +kV/Cx7YinSXkVUoTh2uU2c6id+v+pzM25Eyy2IrjTOycbHWEDNX5pifauCPK2o3ALLxklxfFe3TN +KHObY7tVQWt4cmhVwx+0M/v8K9t4sYRD/E5DJiIU8sa9xYmGaocs9i6URqXeYxbFryFx2/ZSkCEY +R/0/w37m+HEB4i7SF7ptvy1/PhwIBNK+HvZgvJt1oLO+kXOkRw5PjQUSKQ98lo4iQnqdZ3TFT+cZ +4vI6zD6dG9GBfiePVxCcbkt0zwL+li0wRpyrInOWY16tRPRi+1pUBSweoa73f1B6zOIzeTsdy3+j +/20yPCURuM7LnctdOwWvAohanrAxrAao6P4g110OphjyNQYjxzd9a+3bx97xIA6wfcE9A7E9p3sJ +5Ntzee8JlKY9NjobEPIx7h1C1eP7NmRTvrhM0K/SkzfGD4C/AZocfpc5nHpOM2wxw/Gjw6QY+p8R +7bu7/zJeV7nbzSLO7fvDQv8qrszrvDLbyCPczSkAzkwHQIo7GaQMgfXlX+sa4jJABGPz9sPnCFv5 +sWnbvGylxJ4bHp1h7pZ4jIuhatmP0/NUtqJdL3GIt/FNVuq5s2SS72s2oL9Ss2wlhiE0Ld/QxQt1 +2V3PiVs33Z0T9QgkSLhFs/8OJYBpG+hbUnanpN1mCXqWQwKIuVnIET4kL+r5gkcgIGPpSrKPGI/G +/Ue1QbVXueC7wLWMuLOqp0ttamynwf1almjxBEs7ZQUBo5vEh+tU3F47XxGwYOEuGot6w4drXKZY +n23UYxhTiOYMi0Zg45R2jUEfX6txoGCrZgPoG3C31ix9uhe+GlPbE3CT3yoWexCyW8THM9bR7C/I +HuMAVzTAM48QHVGgBUNhb+s5nUkvR8cpakN6DV618TIVl0cXrWD08xfztyfZdUECjDIx7YLElbKc +YLB+p3EGzZ44QFPFcyMY3Qe8JqnjAw+JLrJf0W6LyBIkMWHOZZCMBG2xOkVbQEPEwozMaQWtdy/G +57AmK4dFhJRlZMO9XPrCf7D1SF3At7oCu4XcUV/mczz5N/2RDI/pdMWNm6+GyVxElhTzGB4b4ZQy +bKR30WP/zLRq6ZUD6ljk2HZb2IIi/ESYxsxeXmXmjmv7Ezm9SR3ozcIgSBcBxZ6No7iPgSEHBUBo +OHvILTX5mO6z6UykJXJs8q8jTRrRvohaK5ws7kH+jhSZZgKmGdv5R2my3JV9sHRsmg80Z6HSB+JB +20VUISnTdKZhtLZPaP57hzN9ZzptUGda/GMAu1k85jxmLlY5g1CRPuBVSE+uaY5Kxojp6P0vBc+u +1EyNYZihGan+bIKtTj0LNS44bJWrDHH5hTWUyAnZmpigIQssz9ayu/2FlhwfitDyurFJjp6IxESE +Op3tsPjqXBQIArpxAoRGvdaKwDNAZ4QWUVc2UCEvCVXwUpYHPgomVROyaGjmwSWkonuTVssDvUgT +I8d8BNBhqva1E4b+BBHFvgLb6NX2vYJa44dx+oQtpSUnQK/+zlVztgKutdRmCXVIOg+JB8gkQv1c +Nqt7R4LNNWcfLQdxsT9+hysHh38rZhjfykjtYV18pIL9YH0eHWrp46IW31TI//luVNWx1pHyas5J +dkNhlzq26lSjR5HcXjrOBpyEEF1OYK+aplZuKeRrPChZK0XmACTrCDc9fmQMYRtqtWCpf3Vmhdcv +b6NplIo31ZIGIxg//+sYSPiO486fHp3G/hCo0lr2aJPxqgVrRFfeIBhPzGUgXU4S+LIgdBgTdih/ +T41KgP9bZ7MMUT5vpsiqQcJEJFhq94wcsiM+SMslao7BjrcxL3IXi1bruxfGJ8VBqLr6XOVM4odB +PDwHocA/hGvTZpA8ypzG+6RiLTnWFx9tTD7CJODDhaRDvItx2vGgB7IqaXakUrCravkFEgKGNrw0 +lMDiSwkuyhlXUDe+AOGIqOi6YF6+3fZutBmKsfv52c7B0YqihtyOFhMOiIk6tUo5jKTnWNd8tVJP +r90ux6a5GUp78URG2ilrMQyrEYc4wmtdCHVsiOCbRuhBWq+oG1I8vdzf3te9xY2nFG8MXyTHvf0H +cRRhrr9pZ8QYLZU3Fv78b6kg99BFgbYqe8VzO1JjRK4Y8A8/nABeKO/nTx0s4VzQMZIW26arerOV +2+JElCtGN7pVVu1fQV5pjEap9FKjmJL0bqlYmqtcE10ptUqmANjOHjG3WXMU/j2OPUR5vfmjd6vS +KbbFO4LnbYBWy5siLdBrPauFgLgUxCERNK6kvh7XaJd6zrfDyr4WLvDmtPTDunJlLHhT2wxwyz1G +NGxZauxlk+KLtf4IpDUmp4OU9dC9XDzbICSf/r5evXQ6jnA8WPvj2UZnGJzMvehT4Yw3/vPm6EJ+ ++fftaoYe3TZpfK680MEmwbpH5coulk0MeS5pYa/06UeB93M0dqmBg4bMr36P0mQEiAWMWCYiBhZv +k8XHSAOU0mVXfXb/oJnZm+ymBOp4aUBCiQWg0RZtXZIVnJqGvnKWV8Qe88Q60eIKtBKrZ9VbDLN/ +fjDVyQXC6EwENhFMrPQ01HKjsFv/P0FIjTTq88daNnZWqCRZflbhMKBMXPhTXiz/JOUMcgcfK3il +jtLK8gVv1lzLf5D9pZrsGHwc+P3pEay/GHJ3VGAiUd5Q9nWJOj0mISoKG1Iz+pxvgCRrWAOWVHnO +ZjI9pXkblLHgrkQJz7KhyScnenUF/mjEsj5YQSLW0jVg7re9QOeSQolvJJK3NGckDzeHhtXhXTN5 +Qr46o1zgZb2Hj3aBwJSTWK6hFH4sAbxgu4Th4hS4eWDHi2mKqHv0pvaIgeGVJwkwnt2N8i9jWHMF +Tq+l2cKHhlR4gMoCzkvLtSmLDWipGlsg9OnJMbWWBjjECZAH/iuD/zje1zmYG2HTKPK94m6+nf+f +t/4NZNfTt41QM7sgEie3MWWVbCfOAyrZIEBMyoJY7KltkmdlIIloD79E0pDwsE8nVRVqKE0eJCFh +Na5+Nmz6jK3OuN0zvUt1Cegp6ajk9uSh5+M+e0ZGbPKjUd5XRt7Z0K7Hc5BBjDA4n8G+oyRZhzmH +/dCc7pceoE2GNFRqNjjXuY4s9U/i7loYHAtnH9m482B261vSm+yJdEkA6gbUa0Q7LRTyJE4Yq+Th +v7UdQlOtvZuDiK+uDcoYmBdHpllJB6aT6ymz2FUXyHDbzzUTNpJ4XZIST6QGkpj/5UqUAMEBl/o2 +QydbnPxQFW1kesVoKf2o3doNwzkyTPIfWwJ9cuZ1tG/9s0Ta7xXR3xaqdpj4ogSMd0G68+bzfbg1 +sJYZ/MzK+gw/X9lT1ikqru7Mo4vWPgLS3DN2QXZWNjoVbzvqJQf9r2MvrND8oXOqxI+vUS0MdNcK +4qkxgvSwfVkyqHwpmyO+B52LQS+wpxm3auIVzncnAoMaVmUfp1sbRq7kIHN5WKWxM6ewZ6pGJSd3 +hQXJ2fPSEVoaKaomodYcRzVArWvNX3NNHGtcErKatmDmuhnXKhSBTyhSO+2YLZGhJFx4aZfKAIlo +U8bk7FgRRqZOhAloA4jS+xUb6X9kn49KZPRvce0Bze/7aPbWKFcTafh+RL88LINyLdC2W2ODMHD5 +rf3tVqMxg4iptjajUqkgavsGpxJFFMx+Ls+VAFzJe554sAxOOHfjyJPVhDxIgvaHCQDCR0cdTiAY +0r3aYz738Mx2ncACh+Dexl2rVEvy6wgGbwvuqGnHSt5uUx0v93dQpzeWTF9neelQjbQh5WQcYbOV +yQ1uesfGN4QMEEB1sOmvxIJ78fjCq2fMqXMDpI27NQb4UZ3bec3MgjXrNixISXIYR8EC7yS/fs+a +k2eM0KFOgJorZ69xC5flOUvsIQyo/y08RfPCDw3SVxCU06hsJ5UhNTK0jw8RfobfgBSsJjrIhwk7 +hbRy/w63Xrrc9DudvQlADHF3aR5VkSl7lOGF2ytCkn3lIjn8jk1NNclWd7TlEQi8FQC9VrqBccdw +5i8vGbyp952+2WgfNFshutAxLnKJr1YbYjqBQkZOlID9Ft6AzcmE8xOUDVFpu6t7W6vysQtXsmAG +wAzYjKISAsCfAzOJMkM1Z2Ia8jYn6Br6xXCGfHell4T0Vts6BJm+BK9Y3r0l8lQN9PZdkapJk8YS +wGSf3n//Ua9aBxaNCkO+cT++Tw3letwddGK9hC3i8f3DTQ6DnbtYI1+PRlx3vth0HkRpDWp0Xvod +BDn3SVnuzINEJuhb1HWzj7gcleQfdXz7cMz3B6k6OJVm2GHnIByuqs2zTn+3P0kvRKZPWvo0tSsq +mleZsXCJWy3GH9VcZM2o58JNeui4ffQ+sNtGxdh14rZpuDUXH7zOmO5V522U4iJXcZj3KfX6oX/q +ftdKo5u3mVlNGsEMSqO9FiA3R+HQsik6npaEEvrhwpxVjz5MLRLx1Salg49SFkpRGx+ILTlNpdGp +VCTAKhQhl41UlHtflKObWQ8j20BKaLDyG02V2b25Tcbt6balr9scHMfsTXWqXVOIZV5B0yc7tEyZ +6ALPxAbYaIC0Dzb+1rqJT3R8tZVji7FKciZL6ekk3xeduv/WIL2C9y9pOAJjOxW5NYJO/Fp6kwxN +1mr+t4u9NZZGn1hynCMGA6+PMLLGTbSQidna523nlRLNK/OYO/8F8on+JTTT6L8n2oupZJCKfvwl +H996DEVzbbSHO130yt4ORf2aOK0F0MkXmueVo3ed3I9bqJmIPj0j+MFQE0QnYEAP8kg4NZSLOGo7 +QisLrTHHOtdm9de7lqXRk4BAQovUNwCGPfiLqvAgEiIIlqjuVbqmGw/QwNfc872zyXdeezQpfk2H +J3CDnVb3p/ReSj2gPIwwPtrOw/l0lTMNPOvOStVxQ45drmlE6yddE7PuIEMODbBgwy0fTiJZKoNq +5Fla7/0Cmo0u3uqStNkGCj58i/RKfD864phKR4IOdNyQ1OCutu+OmOYBtETklrpuI9IyjIk0QEB4 +PITyAdGBoHlMc4G6jFR3bMRwu58Jl9RZO8HT57/nHn0jRxLCFm6WCF4cHYZdQekqi0F6hhqrC6xC +oS2/b+prNi5qJvaYj2OEyjVUihYTRahurREuL9WwxUJdvMYPmfNuWyjISASXrvGHcpDWPMCS5hj9 +V7f+qKr8WvPtBCrjA9MRkcht6jLb7P701lt7RKqqd+uUaGJoBVHb6RdhrEbnWRb0agh5928CIDZ4 ++8d9g/ll6m5maUx1YEqo7XOrGLQC5kS6oz9OHDXLGnIHCPS+60huTeTPnhjtfOOVUB+6mKBbTcO7 +oCh+GSAQ7Qe920KkVenl3hNh/2gWRa+e6RPs1jUsBfLsBWescsuUE8y/CrDXiDBVtSleHedmzAgF +/3OyJfnjmpT9lrSFmRVaLwbbVVOB0pgcgQtvdWP60OcRYa+gRBpOj2EpOnf/dtPbk19KXRltnXPf +hH45qGhej6JJOUyj76eQDY31QrWI8+4XOCV4cNVtIkQCChQcrLfsA1cAhiQCRMDEB5OhbEnf8UiN +x7znlhYBZMKxtUndZU85wJ7opSewN57tgjGSPSxi0+X0SbCsnGa2Zh4fk8j4J75a9uRYlORwBjuT +igmFIz5twuIQnxyCxIMHqk1S0Joyi1t+mnzERNNLJySITQ/fEHEGtBPM47LEqNUMLxYX8vHBQnH3 +7TomsBsqMt/aKgjQUt3Rok/+IZDM790/UKKfBsG9ZYF2JJjxtt6FUNYM4JHtmSw84gfx6DVEWI80 +Gp5VKEISWd4/pOv9EQfqWowfImca8cTlqdH8X5gWZu9uvQk3JzIaZqyv6oKhDot1PfC7TE3duL9N +3YA9r97lhKDbu5dhC14r//y/mlmBrzOP7kxjJPgEWroUIA8EmhyqESilmJrfl53PrP9f5miIrHdI +cri7EArGeNOdr5pVH9ePaZ8W3jyvaW2t4NX4/J1wcsjHjNIlkRRzDVABQIuwqzO/OS2+qyNB650G +yK8vqTBt9g5Za9c4cn52VHbgO7L1v4RjN2GzTIaPB5uDkYlJwtUWdhqwB7pkNEJf80BP4L+SIKhl +e9r3jQ30FatrCnM/pzCSnZGTy5E2baHLpRpn5oONi9w36hnrQ4S/qSzqEmT1ObR7nshhqeXtXA1Q +HL3e7F2dm2OV0xPat7b5sfaokuHszxwxEX+RnpiQgnhPXAmjsOMEhCq1WZ5zAnnOHg/7kl1J1V4E +kcyefptPa0xeZCXwGa3wHf5fSh0pQEHMFCk2VbfCi/TvqV6cwb1VNDnLT//QMi1asAD+rf3aRps3 +xdZXbpxz53P+NN84HvvtJy9HsuXZZG/fEZVEZOBNRLRJTTk0Lwv8ZF2fRTfFNHRxgLakiqCTBoJ4 +0nhhw88+au2MtUGunkVOo9rgt+m9vPnjmwwuHop2xYJuccv6LFBYVP/yaCDRQdjh8V0Yli9ZyKLS +2leh2FMqqwboYAyiChIeGR7EB68KbuYYh+tQImG5/J1pJ12hylvoZoavlS2McTLFVkBTa9Or9WZx +nEqjiTSk5FgT7ZoiEaV7Zw9tIc/Uo7pIQUl/QnenfjjS0hPMzu/Ygj/QI18a1iW6rJRlg/40xP1o +ZsOctbYz6+ZEW20AjIYwcEHebS2WtWHE5NpbnznQDh2RCOIDWGrAiCrbblG9O6ZZcstDmpw82QJl +35uoJejBk18NM2KMw8J261r+xV81qdvZPNqM6zCYwXSnldosGLkda/VWsDHFe+fSXFYWRuLkG93O +nUZCSI9DYoeJ2JXs7pcVvEfX1fMozN6j93DxdF7mkzTbWoXDhZt/YHM3Lrj+eBnoIOutAwJ97Rkd +PHJ3zNVsqXUqdwQtq7mGszmZhl101aCwGooyYu0+BHuuKJSJV6Lpr1lFc3ReycIaF4XDwqunDyDm +cMiB3vE+BYMsjVR8XuDVgsS0deqUYUYuXPs71xOdFYQm5jdhJh9DqMf9bvw8ej9rXvWh33eAvC+D +YdIpqtSOvZEy+ZXXbueljJXjLDT14VqEd4T6HW9LJJVlWOwzU1acNGlfjbZeAolIa56Cmgszh5Jp +8Zda9X5904em4ApyfSF3Q6aJj9gTGz1JQ6XFNUA0kShvLeNQYEubfdYuzN5pa8Gr9Q+9qxN0aymy +xrFJO52hHTJKhFtZ4DESDBBzUPjeJGhMpLtRrylSLbwQxXum4cmMWolsfDTpAHPpWIwkiWhQpNuO +plK17GSU0tAuiftWSWnL2uWwWUHImewlqHT0kG+Q86AbcsEMViQKYVdA/kfR54cKn5DQ/CZVCEI5 +FD7EWaNYdmZuTcdTMcku4Ob+9Hwt0Jwgrf60chpTXv+ilmrJNoljTykncCWU4q2AKV9Wmx9RFvnu +CE2NDNU81MBJcKIWMCzmtqku8w82qBEUUehI0kiHYcWjsn+b5eMWUHGysX45WQf2M1/9aaUC9aDy +ElPwdWbeTfsp1Lwj8icuA2aFzOljrzBaLwEp48xq+YtLE8a67R1LZWvx6CHYiA4Elzew0eZcfAu9 +9trleenMSvBFPSFio5ENd+pKQX4+g+URLSUdTHsuenLnJmGLHyGk0pTYNnE6StfRrtfwtrt/bfTy +BqbSojl/qK30WJrCq3Uc6yephangLHoknXIuvoa9o8zCUI6fa2rKXXr1r0bBkUtN1UnJk/W6Hdis +QcOwTVRccvNVETsqNJQOKyb6JgR7bXYdSARXYs/mopEUwrfM+2+h8ZSaqfLjmU1xIfRU/ts12psg +2sPvj9haQkMN3CmB/84uYw0cVA+YJmddE6yoguDhE2OXV/0ztAnv1HXu4IcS4bFU8mAsAob4ln7C +lz7i+EcqEqCcY+oh3HrBJiZ8uVm2BiVHLcYkbwYJ87dyONacANZO8BfiXxg7iNWgYk34GUW7IJ1/ +RY/kVxwc+XPTl0KzjwQ/6ESrVo9N4uE2IaCR8F9rowflVjXw0CD8GGjMCfSppQncnhidQpz5maIk +KFOR+F038Twt+F0Tx1tjEzdQsn7tiEIwA7bsQAWd7DrXK0wi96CqYK0wbTKHTLAQSPNfL21dfH3T +AMcp8PafBLlkeNUintlEuFG787AUDkVEJLEYU9R/EZKmo0TNQecyh3PlcpG1S3gFJ3hQhZ1fmLU0 +Bgue1klI11VPkelrSBICZWDLoF89WmQfu/ViKiEpYAdz1YrbDSSh8Re4lshB/eBpmOCyE3mddAAp +dv7Nxw93knuqkvc8iADMIaOGlVrUG/EkWYjUODTw+Yru0gP7wk0Tufv/hO6iZV6dxxL52B1xxNuM +9Hj/T7B2Y3PjR7XdPyyOOS4eZdv6OS5hpwcXJMFQDr9/gpueFm7MkMsNhKeaiFxtGcTSRiGZpwd/ +WAww7B4SYumCLnp+F8BhG1SVkRkPFEtcQ7Zg/uaNWECEVIt9fTbP/hSdOZU1pRTKM1nYMCXMKWG/ +QCTtXkmR1uhfEnwx9e5ryGc/0IHx/KFYJgSPl870u25/9+UG9EiTCDPPmcMiYI6khoVj//R2D0PG +q023LyBoSJCU3its+3EHT/k7ns6C8gp4MaIY6JCCa1oVSdGQ7avXEQM15E8gz//VpHfSuo/F1Be5 +yJkhKh3+vACx4gqGUZvBvEPQd6fTPMYlQwlNWdM7xUgO+93flAIvlr7bwpu9+XkPChHnDFw6zVKB +G8JniXDQQsnb9d7ne7YBNeNI4t30xUDXLav+PhWVXYF2DvAUdBV0xg++Divtk1q9WJ9mLbv/uo90 +LH6u5/ETq2RQxd81970WaNR31bryi0N7kKb8+QpFCH4vbBUeHkicNAyusApB2zrPdbfw+Q7leIqN +wyjhwGQ9pq2WE2jzoXOdSxd0XJznpw8pnGPmfc6e0yXsuP89N8T2RHJswHMwuEC+3VInsjvXGDoy +odBzQ5wFYfStElILYA3YOtlrzw89lK19IYotXV5XaZZ/GZtgmSyaZs/xS3afVHQu8VKA4Ko7GTsh +rSIg1lfFF93Ix1ciuQar1DMp3fF0ksk72Mt5XGBaHt3DmV/uy8hE0b46rKYofZLPM0IxSBKzUShx +1zcHiZQwajFzUes1qkEA/60LCfyqnjly4hGi975wb4/eqbfqGVhXcmkliY8ob6mrQ+csSS5a4Nu4 +PxFyzlMJvAoq9qcYQWTSwkVpDfcqzcFN0oB0sBTfA3mLS8dxPALCszgkwLuOOY5oTPVOqO5fFqKK +Y1x0h1FmUsyqg6C9qxLjp/aOMtD7vRuJWWbFUMWKTaz7v2Jioxnb4tLr11luDRxoQyesCBFeCZP2 +0qcmylmlBGr92M2N57mIkQ4zuyqwnvuEeIDommXCSJIHMmefac2p89PyZgFO6rQmwfFRxE3ttGcU +GOzFeCzq/bJvjJSNMWza7VWuTXPnwZ2b8ViLdWHgt40/fD+rquzjw+BTSd6/A3xmwr1QEVjFYZiX +yV6qDlrPnoswcX40srwUN5A49CktHAmk87LenULiy6aKXwhCS/JMvGRfh0Zw/sVQgvvOT/E7NGvm +Ew3gVaAET6f9VQ/NCb+ieWWmIei9kPsdWqDGb+Ri0p3J4sGUrEPChKG8wLZ1isVSYGlfIU5T26vy +qqyk4SmsNLOMXJZyBBjQHjP0JumfmUvK7fd0sHUkrhvh+jpKtWy+hMiRFUnmO8kDvSr09mgGbZut +vTXGPDJ9mw/MIbqp6f9ph7bIGQTjJJGvRDW30u56xNjKuIoMtccLrVpETUoGlYMybi3WQTr20dE6 +bm2vhznpXNy57ku2uPA7DLdHqGVXbCJqr7EbvKemXNjT8vMu4rhnww/dd78uQo4nMnbtmunIE5HY +IYnXtUHPZaKgDfXNuapUKYj9wF0NVbhoWMBP7qmu4N2qr4OZRKYf6mxAEfckyQ0xmu8oB5hhyqFn +rFXjWSjY7bLuhD2aLvXe2KeztqBAb87HkWnYM9hKryEpc2cHzaXz8wIuVdgmUMMEszDqO4t+VStr +EDy8PPvwfYPwdNGAzmjEux1X9oWmCnhpVt4fPB0d+jqXs0C4bb3FpmkN+U+DyCTgRSKAmCY25fXD +lz+zl0AFGipdvhYhFC6oUCmZOkL3Bu360Um5acB/SdsnjcHEuSLTjUtELQvgVt67Z2fmAfMiWzDi +6oUKzsqXhXD2vZZ6CAvyEOZVkqjAxXF/Xr8vaBerEqWz00BLRRNlZTWpd0q8j3/Tj0pSYFcJNySm +KhpnSYyVmzXiKYu6WWxxOK+qBbhi9i5Kf7UHKJ8q76rStkd1SOq+6pPtg/4GGCFUO5LjmLNUtOvA +d+mLFiiPxbnUXDElKS1qDlbt65Ev9za7lduyVUCmmkvqh7dO1B3h5vqh3S8RKXDdbOa1mbaztPDO +T9rsnj4dA7l2x5yPTiUZYngIaZLbYsP2sev3vwDN052OUzB8OJhpODjmfC9NaLdl3kddmAlhIoLk +ps6Ec9BxYRZ5X0OKqkoq44ObKZYmJxzWt6aJ4LiVyNwfmSKV6BZ0SMuDPFob/gXLDt4zDXZAm5da +uMOUFot7rBs8cY8qYN/PIVnB44GUr40Tca5+QQ2TXTesqhprh7qZa4ZFLAPOXRDr2VeOlTfchPFn +UHzFz74qXiC3S/gGhzcjouRzzG7a5zQwSIJp73qAPaDLph7XOcenrprMqe4kLQxmHNi1vBYOxGi3 +ZSh7t5XSrBgT1edC9Hsznx9TjLMwBfIeyFZjipRrsC8G3AHjnYb7Gwb4hCaVz9eSY78ws5zXfrcW +ybUKEdQppBqTU4PEeaqCQttx3WLjSSuP5Z7yKF3t77aBr8o4vx+uj3M5xhjEKF8hGbGei1LpYDE8 +YmJadkgmqZm7JiyNuNHCVdx0g6IrWSK4SYe0v3U3jIHEoR0g20OK0ZRoko6ioJiBb6FpboztUa95 +CPbRuJXl/gPBvXr+0R6+0NcsgXHiiGdkMA+ILH/5yMc6afpkRK7pI3QvYWwKmtB8sgQYuVHjIJzJ +d8+RnNqLCeiwJYgJhzq6TX9tF1WrFQ/kwv54NPYlp6uk/BLRjTRe3OC5mBkMP0GQ1MsJLRRrT2Ws +BXjhHfI4jFH6tRch2KRXXMYZepBek3uzFOk1aQ/Rq2CjhF9KYlHRenmFMSVQtgrCcO7VDbnUvkiJ ++dyT3X0ycIgX+8Jknt+NdwaMj41nO3W8+fcmggFQkS8N9IPg5WF5MBgaeoAG6DINdJXpIG8Q8SiG +lbpDZW3ppq9GBRTz+drjTkfTqwD2TNvi6carmle0oiQgV5MfvGLmv3khqSZ+4ESlj02D/6tVwejf +4z6oU86Cjlbaum1h7UDH83tF7qt+uNcXvbeU02UegoUiEMb2V24VoF3h0n0OxiV4S4uYBPUQJr/h +UN0rl8xTDhVQoBdTRYKevel1l71G0CIwWvddmYGanutKDaJNYW1ftmXcsHXM4B0OP5/rC7lqFQ/c +7PpeREHN7mDEGH2oUAxLBcgZd99C6m0sqWqGklmqQ218/NtlfELk4Z9a2ySCCsBFwJgJGUAH+10G +EVXTG5tha+vTHd9hV2i0eHtd4YDIqAfXhcqRqpBOpokZC87aDuLWRXWn2zGHtABLp5/DEJOOtzUK +k2K4itkrkMjczO2tyL6URX4EJp34L+bYXzZYOkNQt5TT11VJeMJBOyl48BC3JbMCpbkoHnWjD0hG +7hJqgsdPnnCSOECL5Vwydj99e4yxOHkX08wmcwbpdKIG1O5ldbirfGOAv6N6V/xuiS5WjblFCR7D +AQDd86RxuWhIMf3tFGYo/3IZZsR1BGlmMdeUrpwN8WAmfsWCZRbE30thjzsOKaHuAjRqyJMIiVRL +1fU9vFRFqjn6FxnzN0HkJZuRBhG4Xh+GDtwCSIGzIzzzFfjRKNdsleXYfZnL87k9WC7vwncMsTo3 +j4tVCXIuxMDKBweZJboTVi91bxshu4JMitI0KxMN1RSbfuU79mVBTOaJAPeIToE07+c2WgVEXNOQ ++Q9ywJBa+OPYntNAX+Ia5Ci2HWakkHDpuJLlmhqRjdRtXlGi0nOEddIw07PePgLQHcOgj/VlMnaz +hOO6zJ8Uw97ixdi64eU4ufOd0o5jWDGuedtSHI7K5kVU0fiaEtUnANUhvinqbyqBtKO8JCU3OG85 +9Fy4pONYc8rrlwIZ0c4hsI/z2wJ9THeXKsg5H5fFCMu0pmokRX2tejnnoo8rF/cts8b3djEN8SjO +p/xjTvOaN0AZMVTdjYMvpYK+XrIg2Z9u4UFHr8h9slWBQol0y/dakwcly9S2llOVH5JqqAjeSr3k +JVaoYf70NXxqmSx4zA8MmH1ed/uhaWUfoYvWVOZVUfyyPJtZA5qkAqgLOoBJ5F0PltwKhAQuNcv9 +S0K45HRCwJeUYnIrcd/yd7Xr996WHBhpKXnZGEqUOcfbEtaxoYWSRYkENLCYWK1QP17x2YZm7do5 +kOeROgz2/wshT1I+eWUDjMpY8fQjVzXyUYctqrE/7Wx88pWrJ5IpHYuVdQRQSVCTqWJ1yGMyrpOy +irUtV5RaqdfGmnYUcDySGMypWULGo9ctUz/gAagE8+buxtvXcoGK/xRsKseEpOSndBxnzBbnwWJq +MoJeN+TxIw7K+sSjneJWiZbKUFsbn7OJ6ywzPZWv2fgoL16OCqIIU55ygOZe/5kAb+XRcHKOmNyg +DQvV0JLDIGMCdVLlvK7Cb9Z7Q7ygouuGTX7iYgOb9A5e5HZmDqSpuC5btUkl8gJufBRzUuLT4lX4 +tOMJQBm3TjJGbLotuQeILrwdgBNN2Rhq7dqXxQkZFAMQU+Whg7wXe8Lf6k1r1SCO5dq6098HqQms +H+MxcM3fS2cutKibIFcc7xuLCyIFUqmAUu9dZ6Ts9IqCFN9wUzRI3xaH6moDg9NKn4nz1OgKFmWB +AgiUVv9g+g3Bsfr81DbTvL4gI71O0mOTo2HDiBKvjk3az5GlKfxgrSAvV93lq1qtBqCeYeLVXr8T +W4DVnkvpbwh3a7nkwMaPjZnjAmYVO5Aciku+H2nNuUnzAqx0mfhMZjKMECnlFE9oLSHfB2y/m2r6 +Ov9hV2dC844ovJUgHa/MtFasr+y8w90bcDfpwzHPPcBhAQo7pFJEeVKDIw1Zd0EQkBPOTbhuVFQM +rau+0K5HbOtLNbTLoqcPil8f9CGOS8bekjj119cLlewDiXYg33ctSRfi/OlJ70w2gdokoEsdeA/y +dqI0RDOnlStc+70Il1m3LM0qPi1mInZbfYwTr2TQkaqLV3PuQDsTLDpEWWHyAnXHK16QMZEKQIJk +KvUT4AUerU2zHnjnkWlFdhfba2ong8YJGmoxMT9id2Kxc50YCLI1NWHSyPMg3sDRNlLHvt/Sg50R +xmf9iRkFzblra5OGHrqZjRBn8lfwx32IYMTkEUqZ8xiOTawlgLfb9BLDcpTXXi6ZVaBGyUMnVmxV +1HSE17M3DTmN6CLJp+BLjyb+ECDStJ2ZS/N0U+CQSDMiyBe0IwCN8MsroErF278U1RXwkwg343aa +2HeMVjChXKm7IvvrRaDVJCWYHqWltvzLWT63UzbWCoYev6QYeHXDn7gWSA65D32Og2YObP74uhDU +sXjYLsRX3H0nWXR09tf1KXGYLi3xYJs6hZ96ge9v9vfpnDZt6ZlElOluds5eq4hXz4MMskK19N+1 +YB1lcvIWgj0JlXL68Nqo9OEAoE6Nzopf0p9UPGTXNWSGGaUZx/WVyFq67dEz7XpHjJw7M51JduaH +EJfaD0YwGjnqGNBsvxop+FZ3UEiz/+23W8AZQVpZkfUbSHZkWGp95tK9W4MowhUmhOAjNIo8aG5f +PEn28B0bLyoEX4gIS2GLLiIix0+/DD5zg7Zvk1ccJJ7QDwTNNP0JNIM7JaPyIacT+SRiZ4iwlea4 +3yjS9s2z/9jG5DEgQYfpLbZ4GVqeM02/Y8wNtqq3FT4ZAL78tKciszGxiiuhECXolZkWE3wOldYX +hJVGF3+lm3p5IjRIUiR9Io5xVzIJOn9f2a7yooKzOXtZ7MacMXY4NUahkz2Z3fVGm1GriZV8UFhf +6I/OpOQVjpqpiDmit+UmHr3+S57Bvx875JFWT+tNeDRHMIIWYDninz8WGN4F9PTskaOe/b/gaZ9q +W0wB6BxAH0avIX7ujoKG1CwEhYjj4KEmj4XZQg+QWY6YFvbf41CSatHMtUBMboc8kWRW+eB67t/i +iiGyzjGfO1E7VIjnB+SEtk3GBE2va1dxfW55COLtWX4rDIkk5wdecWEGNAb2D2PPVoztVT7gX41h +8YclPv687HbN2tGrwxHEikfHr+chkTcAGZmuOkrAxiDhiKYqeP5xpr8odHHp5diaSG4iDfT3lbhu +btqZlQz4kkqf9PHIkrPbyNq17k2tsGFczd1cySaHoBIpe6ZEsuiRU6aWTo1pDxCLf8T9gRg9lnKB +Z3Gtp1v9AZjsNMsAnpx3dSb3tYZKMXf2WufhoIkAgfniWcjvlmn93Yc8wUFJFeBpATQ4f/8JzAC8 +4Odu60oBgw5TsOgvdYf2rPbUJNV/NORquML8oEPYEuGV8+jcfEswsXkEq13EMcLvQ17L4GECanBz +PHEBdzvmLlfk6DI5FK9ulU6BoPyy1VhE0Npi/rF92PRmK+aDzJJ+0u28/6mfWllp3MH8XI0iq5Ur +rp0gRk5TpoWhIgTDMsQr3wTk5yVMe23Z/IzjW/oQC7e/5vRgP6hdxRzGJfAls9G0UCcGl49d7gmt +mlRuNcV845HiKrv7JI0r7j/5R3S26ELenLw6/jXH2lN8tYRzJWauiuu5WnYD+1/kbp7SxkhU8+n0 +6xFRbnBdU368iTPFE6H7ENR+vHQcSaScQnA7FbX/8H51i6ELRe4Jui9hH1b2gRq/H5IwgFND53gB +6cU76ZD/qYhYhh//1Yw3vAlJCL1/I/SAd746I9uAOY3WIG6DrySMyjuypcAf2QEmXfci9KNr9DqN +Bq2s3wxGYNeA+A0GY0zPlCDZIhZiEdyECnanZnRyZTmbmZU0CUyKeOgww4X9UO0tn2tPjcidhJqA +yVtLLrMKUnEdOcep6fN3nB1d0NkpEDecCoSJXm8TdztiGuDPRGaC2jnolA7zTgHPBtjaEUmvzeXJ +6XQwcuiw3212m+uLJTZ1PZl+vVfAOUVsjSJLw9KL8b69CRAIDRGmx2R6jONdU5xR0pfTAEidl+SX +zn+SPjBlcJOBOOSZEbMDZhxh4410+t+wh2tsO8HZXLgtaR1kwmL8J9zJ+/YcNXExpX8RzsUM1rgv +g0n2qBgCROjfFJQjQ/kRFuCA17QUxjK+gvkJ2mUmxgylwpkImtAVoTb1zdEbgBlmETyKJbV1038n +mRjhcSrDmOTXfVlTEd5HfvuSn+NTB+ZD9Rwku1WZaytzFHF5zB/B+9hz7SyRbOFEzE5SXsDx4sFz +3CKcWRhO2pxzoLg+jaOgr1VS62H0PRwR7N1cejQ3QVS/EwlAHD7zYk9HzurhlCzEbO1lBJk9+Hh4 +zckJWqAygkYgVFuwi6eAN52rwcdZM1bJsNrKwE3U/pODOTOQZJSig714vqsi78iODnnPZKE1Xzie +88l+8LBLvnZYYfTDOZZ1LsOPTi1j9R890bjwZ7o/OXkjSqqHo9DLltJPt6QnPxepnhqBah84iCW0 +VPTEyJNB9PURDnHL5KqnlU39zcshtfj6UihU+Hrccq1NwxHMKDyhI5Y/1/DiO/CRYFFxpE/4gtn7 +q19B3W6r+RDX4f0ojxwDz5NYvbzj1s6yvJ7KCpws9EykCqkuEmVPbL6LBfDEalAwNLOFi0JDnFFY +hbROXFooLET+Fj5OhKwkyeqW9ys9pF1QTGAPVc2EFJLXQx0RG+8IsieTVk7dqGL+W1NHVv1A0RwT +D5tPUQ2l/KFO+3G0UdCgUV7EUWM16G1bTOO2ZHnytSuEXH3LRPlflB+0Q6XtgKdcQik/8lOCUrlC +8gO6LTcMo2Dna0Zbd5IqfmEZvAb2pCCN7DaCW+pZht+MNs83++adW4z5faIjsE/FRfJ+eASdpOC8 +cls/mtj3SQPxcSE3oaxUl5cfkCoFtLg/DCJSwKkVz8QD//K7/zJ2yRNI2donV6kw4fb5P9Vt1imA +EuXByjB8W7V9YC3/a6wMxUI59mbdszplPuA36MeYn++HxUAZtS1Ia6g3d59ecHkUiQd69qoWeiyg +D8zffTtN+ojfA8qRbKzNzZdS3UBxTxIyAfEsF345F8oKg24sBAB6gYs0Qftn9BESpkeV78vEPxbS +pEHvTBLA3cCQNMD+CufM2NeoYR2yRArur/5Y08tWa6ylQEd5HMq8Iml8qsAd1+5aUeA8UMH643oE +NcxItlg1Xkxt2ARgVB+8FzjiURnDhwUPl8V8WEiAYFonCJw2Byu+2A9VfNpHBlk6OlTprkZsT1qQ +4Iyspmaovz8FTHX6IaXZZTelOxESL9Iqg8JeBcCWaIWgILcnKf3R0bRjMCfLyeiLIvJsoCO1sfU9 +t6eUIyloItxSzkRI3T0i+UWh8dJjqZyg0GkrI8NFryNsiA13oG1L+hJylw0ZpTZzr9CrZeeF0FpB +bYTIzrD7zeyRN7Vrx0fTqp2w9o0xSMejXldiPDtv2dvmDfYijzWSpo3vX+5BPd9poKiqm37Geuan +rIHxpYO+MfCw0MKXARYSIHlAVwJjeLoF4NjWLChq5ZPLFzJGCZ8jxAZhZpp6JAPb4WeH7j0povlC +mjmE/NyMv1ZJiRSf1m70sVizPdpQXYO8zFQZd8D2h5HdMf0H2JDrA1SvsbOPHInwIukLB37Oh92P +yreNCRg9nGigWR59K1E3BclEMO424sJYIZjWb0T4bBUahOdv3LvR5yGozhIYsG4gce4zYPqKvJ0y +Zc0kuV1XKT0qJuKbCgoGJhxn43uy09P0lqG0E1nm8/pjIcOeUx1Fx7wvWE+9TLikl7zepIgN0ne0 +7vbtab0iPq43dEU/LODmurPVpCjRdIh0dT3mqeW09aiMHApfrfmVnL2aEkKwJOM9qFl1nYe3wrSp +4aD7DrDvxV6u29hL0kEQhPcRye8cX/fFqr8+/Akd8wYR0/e+PDLmjOqDCDxL4bPwOdUpoRqOiyib +KMkkDqf5Roh0k9Z+hzXZ5FUZ2PkbViLkLBYQ6k+0VzIXSjVQqK3eI2OSTstVKGOnw3dVm56TkUPc +L8P0jBH6yBKovpBjPkeD5bIbkWv4ePd59MfI/1Si5V3i5ggesGo+1Ysq/Th1bxWijvd/0fF62fpW +LHSlv6dIoNi20M5VC8T/TyEECxHbnEdMTVjZNrJ1yX1UOl67ZftX1VVpw+TWdOj8HvdZsIG6dphU +mgois2JoZ5cfcIpi9xZwmwfVKFozcX/jaY569IEamc+KKydJq/VOe91UIuVvw2ngYa7+W8ONzQCw +ZfhLOF/+4mJNMWuLgrznXVKU+LAQjrOcPgRiUo7ZEYdPjKIpAoMCpf/C1jrcgcC8d14g5JdEAsBn +2t63ylBP+yESBR0w5AzbqDUIR548mEX9Hs6BG36FHScg0TjXiibGNd5oTillP8SrxQ6cOY7qgs+/ +t7XI02EpFBDPa5BCra4++C4WKEdoiyEx/LX6D8CFvq7AIYz0u9W1NEtWgoQi1aIfLTq8Hvmpv32q +Z8Tq+P1cObHbdkC9xW4kVdVx4n90201jBAingM8DX162/LEcyh5OHZa3XLfvRWslHLlqwAVO5ue5 +tRkZSvcl/xB40tW00MuO7wP7uTDwIGBnOOLT2TG/HZkxKh9OMbfaGrvKexovFC36/aWw8nixmv5Y +JoI9vVLBI+GypfPRQNr5/oJ+BOtY3LvedN6NLUSPkaXh1CpHhwLtJih/oP2wlNLy/4vLsHH8z3H4 +0us5fWktEUk0tQVGi3OPSwIzTO+pqyc8cT8khOoziewt7vIVCxPiRBnL90/GxBODEnMATBG0F8pT +oZ9H/6hnEQLcYMQ3e62MimIv8T2d/yI3zf9Z24zYJKNpFs3hXTczOZJr1Gv5fBpa+tNuSqdHmCVy +K7E1OHGYT45oyTLLSznqM5PUDJ7he0+5KKzyTKnLNwweCeXPs4i2LVQVI+lPPQYxvM1SCO42JLFJ +05Z4fKcNrvqNxJ3zZyWA2XbgwcsIlav9SdPOIRGLRX9cwNuHL5/DFAvNuUJNk4om+U2/SiCV2zfw +llna9gliDbWqUiEd4TCjRM000KoIbTt+3kds7+WfuctlokbzxadHtNuyQJF87qs47TpDO7fWL77S +c+XKUuuzTIYVZENGIsvhMyW3hmIiPWewi80wd7gCxxl8HLmUGZFqjr5Xb2yn3ISHOfZUZXFW7ea+ +0QF1JO8Ppsd5RflYvmQdtmDCHIQ0tbq+KDIFKTkGn1YRrdt6utaUDoS4WXWld/jJv0w7i+V36nAp +pynUsC8AoVYCmYOpLZ4CfO68l3Zay+PzaUzY0LysrF9p6LfHIZkE+KRtzo9HJteAPCOFeZeDgA58 +TeWaMaYIVItmLYVfKQU63s9rkmAuYrPhZcKhZaSBfFD/t431Vnzzk+1xjNDVVg4zRabHh73fpFLQ +E1KLa9bKsj78qVEvAfA+PrzpNf7Heu6Q9ZAJYbZQu/X66IoaVyjfMPjHL8M0qIVPpU6zGzjjv/7z +ASb8QfrfKAEedw2I20faqJw72YRFGqiIitw6P1uSfBLdsJLa9P7yTrS/sw+fxQlNXtNDeDW+r/kW +1IiQorw9s+Ih6bLGTm04K+brPCI48zFyT1pfKnUOPZBPEo6quU7zy9mO/ArPskYNHi+XChYrIRA2 ++uYW4Ppjr9YQ7okoDlzAyXdYVk0YGp4Qr4TFYWthxt1ODH9OE+sw6eakRUdsUOHO0cqcGfbgrtyx +p/dpo1tJ4NnJR2+4aNUwCLM4/XYfChrc01tnbT7rcDj9RIZUjVjWmA+pDoKvvZD8e8mMwhumI0aW +IMVgm8kmJhL1V1ChK6XM/m8IFv6wpJPwsNNgJtQO/LmiKJmYSAeNmqpyFXr17CLpAK3rUJ29NQNv +CqqueFq/qlBbKRDRYkKv8lLwHt4nJXITSh/40IVSZcJ11jTAPozuCggfdaxNRVVX04TqZmmw9hbT +FEjwHphbc0q4AO06sJZBWcsb7SH8SmxBlOG8+QPA/Qq39xFAnmjl1gs2XVrgc2XgWkzQsboIVYAN +N8V5lWft4FYcFBf05ONEbW+g6hsrUQzy9VGo0nJA7br2dldapxUQascgtkXcRfQWkUVEoNAszCsF +miB9JNFEg14GUZiSdPogEB+3Mw3nVj+IbppNvp+Nsahtdd5VsQAT/4qSQVcQao3degxTkNPAar4y +Hf0AUEHJ90RffY7kYdxauqD5D7c+3WN4HGbp0+i3YCd0XCLkR72f2U05Voq5YHCwq1Z7PYEGnuXF +r+JZXN4dpStPy7PxQQameVevWFPlxlJyDbi1tAX+pwPOHGSVKvZsikjXEyKg200iN76yub1Nd3+2 +AjvnqL6oBe41/Ct+pdC3F81SLPaQqX2yb1uuUU+daM9S77HSXZfaRhg1cW0xRe39k8X5jXUvctez +RL8BMysaYy+xa75hfYQ5WZPA79/Uy8maKT5qMHuyslYKXr9CfrV65vWWMb7kIKEaTuK6Ei+uLP8D +WGypnNkbjtLS7v6ISKjvyGXXpo7pIK7pl8tlkZNVaJm+8clPEdLb4ecyZvZ7zxnlLVNc4b4DNwVD +aBCdpfJl+haDsioOj8HRPfq1D7AIzAPFAJwgFtDFZLWGXAuPQTZNI/lEsCVIDzF0U2TjT0zTBsSP +OEcg55OsrCfYqTWSfQR5gkKXB2Xn10rKTB6En3kiMiU0uyKC8vjfbDs5UjWB1Tgd9Uwq3oiYxP7Q +ElubiL4uMRrLgVok9Z8STJr5xjbrVPB4ufb1za2nygAz1AyObRkYfL4nq6wdyMjsQPgBJY79Med3 +VopH3kkxWoGSHZHyy4DCl+xGkxiwFur2F9+IHrx25BBovAvfWhN9SwkeG7hq+nky/YVq1PBTPLd1 ++PliIZk3whJoa4lPqEuYz8GBt3h1lqMnZNwi4R4pJAJ0PEpwfIkQDgtmLn1uVbtXTm1m1WiYFGD9 +gJEyWgVzQGMmIA8lawx6FIzjziLUJORL3iTzxBuuAytR1F7YQGYe7JKTSaFqF1Le7Y0+bGaq9VSm +Gq16LogCmZac6VwdqQmbGDh0ED8KaUev8UJ/mkjq6OxyA6mVRwMjGfw3e0YEKQFqB3VTmArpimSx +8aFswsm9r0bJt/qtomzURINf3IoPxZU5Mbx2qcdatf2PV0mjQpW3koOIo6wViIR9v4yNTHhcKF5+ +Bu4sxsdAu/7kUI0p0VwUkM3IzbErAGqWCBRnF23D+8Og47KFjOg4WUj3j/ac84wAF6wNJnx8uhKU +fJ9I5tLhqNIsmN0zg1CMR4TYqa8zxxDiL/6qNPfQJK4G698cFtBtefec+A6CLZSbIwAV8TyT5fSZ +V9fyWWukP8IeFPla2BRSzlvUXut706M8ck2c3GfXfqAjusxUgdOvA45OKLksWEBQ++nFjmjkmJPb +Ud+/vEAc+Apr2T2QC1Kq25mj7QS7SeFAO6mouuBulb+oqJd1lfz9qVR5WgXn9v5UwlV+JkIqI79e +NVLzdGSbW2IV77yQMabf3Q/cmd5BpTKr6iLPuTYD4JKgWBj20gEc5ffsC8RatbdQ/YDyxQSCv0MX +oQ3PKkFWxI4Cva2mI44zxys560YOTTkg0bAujdDfGqMs6aJVdKtaOwr9QnQV10i6f5rguGq2NBvy +EUGe+LN/Bl3H6z81cmT1F8donLQm89RyFsslLOBypCGvxmcbp5uuPvuJ4eBqnHIyOOSMsC6k0U4O +2z+woPmdyv1W0Cf03jiVKeE7ms/q2ULC+aADd6LabjPeVXzFZBoe3+ooKA5hL+vQ428c3nYrcFe/ +VJ63npvcg+oveMw7SJASDm5XXr4pTAZLdjsh6EOSwGd+qFwlPZp4UlXQ/sF5w6yndo+OsZoBj51Q +1OUm+0cdYLcGlZcfghdfC1lzfFct7yNWsJT3K6EekoVTUBWDuZdwgB6Ct2LIGIK6trLt9u995ZXN +rk5cHcNJxVbB3xd9JC8IOkM3hxjFJWFrqsk/+Wwj+fK4KFUsjOOwAM9CjYKSI6Cvud92isDD9SmQ +WRgPrcQHZ+ijs9AlTcy0LFngakH+1jSIJF+ah9EkSOAzjwg2Fq7prO3pnD/0i0gifbHXd47I0/HE +7DoeHBK3bYGAAzbhf9/6NRtD//EUoX5vfCLvMhnKjn+LCsJJTNH6PPV3zA+eMh4blGcL9qsYqPbR +Ms875dMfltHy1QmTxy5wXt1BojH1hRnGnIUTIl30yaWAQ5VB9kSF3hBnKwGV/uO4vA1tFPUrDgxj +2OhnUXUUfjGP2+ajnLhZ7o9uGokP8i0LwmzE5lfjl1dKH1Wdy1QsY7Ce8GzAZWojgv/1ZC/i4n6N +3yacfr25oPnwRMZmQbAX4DIP7e5PaMQknETmfp2Umn3EX0yIm0IjKQ6kevMfIJiRLU1kdMNYkR/T +qH3AityxJ6Ihrxjd83QjS7+1k8GV9RFElL5nfyWdrri8OcGsQcBtTPBbpvoh9Mtx9lRgR4klhtor +BPKgAslLekuJOv3XayhZpHKKJG6laKYsXKfNVzQSHRpu2dYK0JA+Z2fPiZ5a38un8Sc1zVOmcS1x +PvaPxKw1rbbmtgSYWyTecBKC16gAiLrBB5JbKp3B+jptdWZmeuhxcsAb+TvyQ8EpUPF02Z/gDCtU +682Gz79jl9QJlRiii6bBHfW3AyVlAgHbJjJLdOTAYUcmoLlpSzm9m/GbaZ/fTEOIHAZ7APCmxPaT +8e3taOiJI0/lG3wUytWVI7Z1z2lUteWWa6yf/UWwruemvDzq2jMeprZUKmAAm9S+yHXs7+QY0DJP +0zpMNSRQzJZp8H/mD/DTZdBZu7Aww2W8Re9vLKnmy8SBtVXvmb/JYKHGBY/EcSNfFB7ZvvLvC88D +onhudTaoLJbTA6qg7t+V0XQF6zQyL7/GFomdhYZHsPXuiAEDhUQdcfPDjhKSSU8E7YNFbEz9zTvq +oJ+0LddXuo8CENZ+QfvYIaz7QfWa5oVPxhV6QI8WsIsmOOY/4XQHIY9Ux+7b+tU6UtyzikrVZPs7 +lrCnfDOHEEtV57TxSEY1OPL3Ys4HzLbPBsilXT4Sast8XPHBO0TFuEG+LNAbs3dwdg7iWZUiKPFn ++1EI4AA8sel4evnqufwzmt7s7D+bF043b7U2V/oKb+fDd6hhITBBS0VylREiLjnmT0E4HvvBmBYI +bGqRj8hmLrK00hnRqhWahERw7ZpRmysHS5VKTDnIBc8dzAA5wNADMmSbJ/LbkOqbXQWDYcmTwsR1 +0mdlRS9qKT2FGUS2jw3ZU6+7rPh07If7ygI6zKkrgf3f628xUCsMvsRKidyKsEP3ZlnU/f4ey8ca +KlDoHalQqUf1z13NiuSoGmxBZChD5g8gTlyzB/EwGF/WcHKFPXwetB63ttbwzGFkdVucowHD3y2i +wtm8AaBNXpiRIyq3r+9XrliH3wJY01DLdg5M2/hbkaNudARwpctKFU0F48ml7vR6p+/Pwj5XZjHH +w8FheqGwbgM9kUobqyQDZf4YY+DToiljPJ7NXzQ3jYR+R20/7rNPuFjrzDC0tRSMZNTUdpq7sg/P +uMxwK7RL9f98+eTmFcOBsys2s4epw0iHFhA1CIYw4UEEvpG/3EgzZWxuu4b+mFSDDnbWeMLICBI7 +Ne3zNQDiM3kuDLJDANed/po7NGVlI6/mBalqNwbm2zAFTAululNHYGR/tcdXXNd4OFb3Q+GeaVgr +zllz5bPB9PE08rh53f200XWLDxEvcm37wvwxdvEJl4P6a9tJ1heuEmOFn7s+xkdCV4AY5znOzsQY +OS5Azt83KBfZC7kd712lHG14ODxArptBBq2c0CV75Q4jJVHXSSOG28Vv5GRdkCtIthJh0J7R0WL3 +w8PqqfuJ02XnkYEXzc3njxW3O00SaeCgTIKfTi7bhbPR3Mm0ATwwz/eCEQU1h1Y575o2zf6vlKYZ +0MXyscbbQXaAssQJKurBg2Be06UQVS8NKF5D+ALaXSHKoUI3bqpTC4X7BMA0sfqnqXF1lXDvJsyk +tXKlRiN7FzoxZBbSmDPRvFtTWla3bg30ldwzT5iloXFSiOAzZdAP2hrdih2oK0ZzHXdf6+Ui9dJz +PclE1OG0LKrF32dJAwdvRUY7lI7cObGF0NSthYguSwH6mufx5TYTDTmJwwsIl0UMJbHXApTtAiLJ +d8n5MXCnxRywkl4iKyDlfXPjWsIvcLWlgrS2QnsaYPb6BermNVfI6cBwjS+21EXOjN5I2zChmhHT +dHXRtpv2PXcrZWxlGY4Bwp2YQdkiBZFf6oJ+WmujtwZ5Bfz5KBKepISrFyZpHhC9RXaD0L2mqXZi +t4YxmQHfYPI83VH8UVIKstLwoOf29CFw7EQIVBqiYV/LFd1fV7BMxG7DOWqO3DayU4ibO6xrHZn2 +/0VBaXisPOHAnExVHO/U97TgfmcxQ9556TocnU/OgXR4pM436U5d0I9RBzH6Xp/iIswHrjkAUomN +TnIHwhcEUq0wlw9bOPd5rAe7pWy4qAv/SdgBeZmjBl9NsFiVSoGN2Y1WSiR0ot9GMYqzwCsrG3C2 +PlmPTHpZn6ofUAPPTQCCLdSR3YnlK0/au+/3Hb9wE0VopneobpREuaxiohbjngkYjm6uQuRd+zCJ +29G1IhvoPE5FF+6byTT8lKLLdCFG85ftG+tJ5oCla7NJRdAk1fvawU15Z6gLi4z62TEm8CSDXvVR +9pM9vvYqvgLBSYJDiMGPkMV34OjjzVkH9hYcCl3ZRHhLnm7d2hAiYaS2ZHUs73AJEQQ0tL1KN5+y +xyZ5jtlIDo47XRf1pNpsp89oIZZ1rbj+9gIQelKG2SasFHBhpoTkPiLkTfaeq5pD/gYnoJtGIGHs +uLtpEPZnRAGh+nywpMauZo2IlBkrwZu/Y6HiG7vH3MZZ0EIq+HbMkxNh7+EldobGrqSJ/4qN9u59 +Nhdi+u1uj7MYnuvgzcapmq/0lQT26KQZKqOOznS2G9SodJSLvsR9EgKFcchGlqrtnSJp/ulaCiGO +vKledE5blLqgro2MMHSy1K7iYQlF93RuO/EqWETx7ZeMQFEyFr7yZGbKErGbbFaIm4eyyne3P5e9 +M3J2amp4AB0gpRn67I5U1/xR1F7iWKu6raB54slAbQbC30fZjI2MzsjpzfY+F7XNPb3yumcSoKxX +EkZj1AM5SkbgKysw2N8x6M75jTB7LfTMKVU+ld2Etc1/RsOQP3OQu/5JF3Me0oFE9OMYp1U/QCQr +eHoPQzgz54tFReJOHbSofJPrMdifYtSJaT+4Zny9jcm7kg10cTh7nuAhC6pTi4Lx0BafjaVQimda +I2E9ShIc+7CkEPJ9eacbA5fAOFZo9InnOfMvuqM9jbdi4ltQvVuAVz56td5W2XcocTsfgC19Lbw/ +Xqx628LkBTmTYzPcoeZYSgwo7cRUYi2CZZSn72HdKkgbLE7pE0AlPGrNDb/8RjV10ds2YflpPWxo +mT410NODHnJwn6PVuPy3oDbEK7Yt/gxIS2KP1HDcewLTgY0+95me2hC82P3MIiEhWZu+8YyD3g7x +Sr/B6idzm9+f4qKouQylgl7MGkN2i+AkkvryPWZpAfieFOyk42k26Wx1W6jXuWGtHdID2f1XIGC1 +FB8ZVDBBEMdyMqpnY9f5y9sIlCg+ix5GQt7o3xTrPjvOrYqya7ywLt4vDIeQEEVfnj0wYuhDoxvG +NiaIaG+P5uGsLTJihDYhpwzMN3VJYAVvwyYEAodWq5/kk5pQmh81xDMGGcnUzyAuJ07YsKFPswdt +Uvq+FsZ//O14YMNbjBC4I8xztbgFez3pdqYuX15KK/BUi4te5L3w2oUaGox2lQ0uel5RSuep51F1 +E+c7X0R5d/+2mAuGCxKBgx+iA/8Hk/AQoIyyX62hRF/8ffSq+ZLFXr8JjLSWqvZ/STTdAsKsIHGp +QUMkIvFdWJrnDN7QaIxTlq1F6NUWFOqYKxtz2wn66D101FnoYuLQdBD9EnRqQjjtCOzFSSdY1oj/ +4etc45bXXdG1tGVDxYyLW4Lz93dYFb8wFyhioWJVQbOGZi9FFR7udobK0uObNJq0d6C5ipgkwBhb +X/A6rCAWuUg0S1FMurofWUMWEirYdC4PfQjIoLgGbTw4ILxq5gXgDlC/9hAgRSEkyp7/TgO1t87Y +0bZf4fX0AVTDm7KuRJ40pU5rVFiK7bcQFt5/d10dPvBaRttJuqSm9us+QQ3HOOySHClc517BuDcr +JsBQS+uTIFdygvFzcfyeiLkyHGt0QGA8HGTjRh5XFeu4sDr9O5w5DTfIBdkJG+YqSLxpgnTLY9aA +tFTVyZCSprLd1saJvSWNiY67Qyv7R+lXzCVLw5F5S5ALtrihvNKdZ9yF4BJemNFC4PIbtFvlJ3xE +psuWchjamvaTLcQ3/uB+E76+7mVVI306rqCwiL+PX0D7Aks6weogRl2IbP7VXFBiKpp9S++Q1x+I +ivIJSxqCEwELARAfFF6ENHw1G3wVvHOJo/qFDXKtjM7Vzqask2YpfsqGLV64zPm+0czg1IRpp4HV +OjhSPjDWgnnRLTmFGHqpPFYiGfVPMH0rYQbEZdFIH4VxwAbGzPhp+C4ICgPR0NZLDEz9+pMOCD88 +4PubKOZ5o4PiexzytqetA2gDb0ZWsGKqRvnoLzvnWm90eS1OO8yMoYCs/EqEHblU4rgk48cwtyjH +AR3g6CQcL2nSM0bhVM8rlAEe9+TawPuy+6nNmUqaOhnTWuXE7Qy/zpLlx15OmySHqsKDn7ItI4i3 +q+1cdaJJRcEPxneWKafc4QVARlZZlT+eSBE/xOwuMbz9vqXKN+qLiyRpHX1lyolDcM9jRenZRRC5 +SWNlm1IPkvkVNIrdLNBFUDF+ZBI1GYGdNS+8V0BlnNoZgL1F6yuTbOTMIO/xF0Et7uExbUwizpPF +SB7qj+14MFviU+ez3Trw9x4kDKoSmHS8lj27J5OsG0oAubl7ViEAgS/IkXre0Hb1OvC1asSK0gqM +OWnL8iV1AzA8dadZnLIZgUwgpV/mylhRUsZr697T/Wxo89GT48zUwXZus0YvSVyPG3UJrjzGilS0 +DE2yUXXNSXoxAdCoiyVNhfM2DvxO6QNdp+kR7eAW2WGA9wJO1o5TdmO1Q6NIvvO+U406TLBxKxNB +03A4TNLdDmH63rN/Gvgjxs9cOHeRraoNjYGLwhfCBF76n3BIvvkUICqnJXa2pj+szMVRf+n+m87Q +B3LmisJILhdfUDKzmDjCasZya9dSPX5G0PGrbX4AbBzjeE3dfWClILLF5EfWgk4WuQhVUs4LBKs6 +Z/Fz2/sTPrcUmh8UocSlZezYzV0QmydznxjfL6btRy8+axociLHwJ+LSj37JSAi1GDgsz3es3Vi1 +UTD+dKjuW2ssWQI4DPtkLHmL5gpiFAQvIvIWcGjwJ/OsRK5wUVKiIIwsphPci16iY/rhXRxnZUID +x9M/l0x0r59o44akpcVDqkRhyeJvAnEVDI0qpUy8tXhA5OYlijXU93WCW99aRKJ9uxyq6/Sj7BVQ +kLcXjg8yMnuw9lCl+WBQgFgPaLcfyvNVNsdpAoZSazkq/egO3KamD0PBhZwbiIUnYuidr6MAmOXq +Ndp4IuhEMAzAcuXE4wVRUno+O5v8xH0nE1Hb0M1HNRUuNXmB29u+inLV4CsucRvsjgpGRTvF8puk +AN6ATeU8/Ar/EWIa+WBWN47lLC49CQtXqfioHAUM3Qjb4DyqfTcfNK/wDywXZobF2xz3EMneucfa +OqdDoRop6KJWWQq8wezuJGqqnzGXyIuk5Zzm0drGTqE0YIeHGEnAv1ytWAxwXIPquH6fAQCY9Quy +X1zXVsLN1+kvaToUvEtJSlynRdL1T/L0trA13G28JpIWhroFDDLHjOyZW/XnKLWRYyD4Wj519oQ6 +B/eQ00Nws8qPpW+Q3HosApzBUd9DcRJ0/XqacB16KdWNgbPC33bhB2Goa5OCr3DfNTVs+aS21/tU +MbQJ3iA9An4aljVgTw4pAK3ALWAgj8SirOeMU5H+CbGkTy6WVDJfjXkLI67qI0aQOv/M6+4xSz6P +tAzDBeyaWC8M6pSlOIWmmUqEYzGmUt+UCBclBIQRah1YmiHXXJV9JAZNwcamYYQuAAiETKPiMQ1s +8Hs3J+Jn2VocPwP1Qzi0ktDGJAjdMdkeH/N4mDKjNIzYZay+v/v/A7FT0SC4HwYtOAW13qF++UcS +KORxMbLZvb16dMfkKPJ967MrY3cbsZLvftFmXZpR9O4Vd+ozF3UGAH1tGYMXJdytrsLCkmQwMYgu +vhMF2kLG47qb6icGrHU250i04AoXC1gzFSfsWAUg68pkmOZuCM1JMbXc5WgUjH8itUiUitajaN0c +CzVCmyRCLV/PjG5D7S6ad0uueYgWcGB8IGinVXD9bnmsDlIn6mdwcyluVQlZLprH/RA4TV9csMdU +iz2eq3OP8ebAtEhoxmktZKchSvJs0lVOgdTOK+M35wrMHbKWRBdEl2b/jqnQkxGfkaUsX/2fwD1Y +7ggJSvMVUA0kSGOwzuesf82bedlWb44O+IIXoqPmPCn/XlwAVQ8M9bdU7ubfEUISUccL2HQfzWXP +Kbi9IyNjkimqvPwan01mYy9tjj2tVlUJx7cUc5RzjIOEOHUh992NwZizcn9suCfRUT/o9daT8fKp +ZZY36LbG3YLrGsWsqjQDXbJYQ1S+x1HiDK/mutlpo0X9tDIjxCPV99ktQeBs6D0dUzizEa029+/b +v44oSoTTE8+x4Ny8MSW3bk9QRycI4dgYzjsjRaBxAUTMMXM1CR4+8sDLxoKGFRVmgNT9onRfEeuY +LWyYf6LFBqgbgiyfMG3x7yhvzr0NTgT3qxTXXQRumn2Ugw9iKN1cXD6MjUXrVmZunMRbHLQc5EGP +vXKEXp3xy1qdQp3BcgYelZTrcvkc/qBWNJbS498Kfu7rCennUEm2eIhQ7oRllHxwb3RM0SVFQUSi +I6pvn34= +`pragma protect end_protected diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/gowin_spdif_tx.vhg b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/gowin_spdif_tx.vhg new file mode 100644 index 0000000..1e53810 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/gowin_spdif_tx.vhg @@ -0,0 +1,682 @@ +-- +--Written by GowinSynthesis +--Tool Version "V1.9.10.03 Education (64-bit)" +--Tue Aug 19 21:50:04 2025 + +--Source file index table: +--file0 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/SPDIF_TX/data/SPDIF_Transmitter_Top.v" +--file1 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/SPDIF_TX/data/spdif_tx_top.vp" +`protect begin_protected +`protect version="2.3" +`protect author="default" +`protect author_info="default" +`protect encrypt_agent="GOWIN" +`protect encrypt_agent_info="GOWIN Encrypt Version 2.3" + +`protect encoding=(enctype="base64", line_length=76, bytes=256) +`protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa" +`protect key_block +Sipzog3WsJZDpO5/LoFTASQHL3FZlTKQx1R/BdfyfgPFaqxLrCb3CcEotzf1EkdFpJxpl/RRdkeG +X3MeNKfdMdVsFOhv0c0jGY/YoYDB7ikFTir9yhzFs0Th0+5XRIg1TWQ2gLFdMnxciNrrtC04xFdo +0Bi7cOy9lUW5m/rjtZ7GV5mo9ZEMn+dBb5Of9e0T8zmWXy5G4sLQ9kctLfjpRteCtUGdDgKVmr3d +eSdiB7goQdZQ11JFG3oRhfyVAAPkqTDj1dJYR80AhwQPafFpUjvXY1m/eP9P9yyklv3Zsbp1XW/b +leCQGJG9/upETBwP1i614RfqSXM8gr5v0OgdpQ== + +`protect encoding=(enctype="base64", line_length=76, bytes=37120) +`protect data_keyowner="default-ip-vendor" +`protect data_keyname="default-ip-key" +`protect data_method="aes128-cfb" +`protect data_block +KP/MG/c8VAIEDD56Qqaylq/VuOXFwWatpdI6KPdqliDVywDURc1I7pi//o3XXXQreJGmuYU5IU3D +pKtPsFAJus37ga602L/JlO+SygNSKiybgNryZZ8uoJHs3uXE/kcDFyHsOpES56a4UalEoM7v+XQh +PJgw/e+NwZmVjtii3L6P+tcZCcGyDNN9Yoz6+IVPAbwPoQlvH3OfgrCRirLVU/vMrKvJH59718iy +nnN1YaLUv/eV6kNT6Iq61auPbgpeAUBBzDUHB95fNV7tiV4e9MjPvjTWMbMHYzhp/sHZIDlVXm8L +rtkH94kV/TBCMNOkCeVvnWCBNuqlA2Cq+SkoR5lBgtexwAPBbDvOxGnMP9F1UcI73bjPWSRKhFxO +R0w/06ZrpbYVPmYC2Io/XoeRCjiiASvtNC52veMKeIPNvIXduyF8DT49JS8qPm0oRM3XC1iH9S0l +qNsnToJe9WrzPqeqK6eiFYErdSkWmuIBkE44f1HnO8reqWyaXC4Y8b426lXKZEJaKSj9boMVynur +UiglFj5lKbRcJzMorMMSSlPIQhu2R/OVvZgL4LYe1w4wYCHGLLda8OL4J20BPnEc4rJ1HyNTGV1s +YId4txVkpkxPtWlKuzgmj4OV/bs72BjFhiC2wGUj/YcSIg1mdawEJxJgJjvVbLjvgSA1aGJpLkQA +6cin2SO4atJmaVt37yp7KFFmfOUETQOoy/bqeT8i5HrhWxNSMiyOaadpWYnLMPByphuse7oZb2Sx +f0IRTUhdNYbtthCCSFdUf698ckmgaX8b8ykIlrOyOyyOlqCDI8WslovjkeCSTjoXv3m4E4CWGpVL +1cuxH793IIiuKjsvYMmSzTS4AlAy7Oo7GeT4X3dYIVoRKOZ8mMB0cu//vsDxb1zylvkw7b60vCXF +5KSin4j6xE86JlgDFu5V9sF6aQi6pjqUc80b1+I7lSsJyQj7aG9/qILjX6DTg1jvC9QK2cEs/wP9 +nlfve2Koh1r/sgePo/MYM83lLyKQNaUZ6Zmh2nqOA21y3NPRqIZTzfUhyaaO2WtbmMWFR1YoP/i5 +Z6xgkNMZNcSYnqMfs7mDNj16mmB3bqv0T7U9bIRi2L6CQt0N+tX+zS7VCeyVL8vwBZ+O+yN9jBf5 +XKrJH+RkzD16ZxE0BvqAcUNP6aAsQvmmUZNM9ZXrCo/j8o68A+knNhrg9XMqys1ldPO1aPJ0ewxz +nI++ZwMiN/8BDXGEdQ03A3GYXw09QmlZvXgrWa1DBEYgFDa2cmNqMmCpJWBJxpcMdnRrMK2MW4/b +yhjh7psBOa+pGfkMC+QvwMgLlW436pAUcLKwgEyMNhW+EQWg7U4JTJjf8HcVo5eYKzINGqfFMNEF +IJruQk0fDtDbqueqQS3sbmLQELHdeJEcTm1Mz94VjMCPpTOs3/goriBcoY/J4ED+nHRuofN1Z1ia ++rt1DLOgwHYU1U+v6/4TyEX3C8cdl0MgeINLYD9KzRuNj1TKTh4jgbMk+H4/qcV/Z34opUQdkRX3 +j3IEXoC/57sopfV/D/0xc3km+YQgr23VuQmfRF/4Rq8bqGdnE4pNDjky76h29m5S3zaF3x0SG8ba +FEn5ITYwD3rk10N8iwrh8wHxUb/Ft6gridh+bdaJAQ2JTZXMsK8BSx3S63HrdOamij6fIAo+E0J3 +9qJtxIRekHnSv7yU8sb7nPNARdthqebNlfbwOQfJNCAV4DIvf6yryFb7UND+v30RgRUdY2rKuGc2 +YY+lzlspKTCKdoTmYZQk3WtsxnoMDHuaILb+GYftuuhtRrXMZHhw4j57FejtJmxZNRPIslgnvgh8 +TvaFoY5bx6b367OXuJy6iEJ8OVp5vQRCQyM76CQGzy++psw6Sy7bGz3qB1E35h3mjmQHiFfYsvWz +z8AyAy7Sfd2th0zJenWnqJfcEDOvIjM7ASYG7ZG0LhQdZsNqxcayCePYRKufL0Hghat1Hc4bL3kV +5SgeezoIl0iUSEACh987xWWKDpNTVTKZe0epFKk5RxdNNtlp9VKGUgEIXqo1O6hOImLIwrX8Gkws +WjfXAs/gZ6cbilM4Ugu96JoRIL8ks+199s0Io/8UCVsEbimz9gQGoD5VcgHLeSPm6BCh4svv3Ept +tMCpMWHR+ZVRN39+p0Yw6bgPOHlr53LIgjEKaWc6F4VrGevj3Tk6VOs4aFWEzaPwkA2/v6Bv4DiW +Hq/vTCwo+rWL5MmEsaE7g70uo3ZYZJ5pKGQ3GKffhgdaYlbpLv+IAqU8App1zY2cFQtlwXADQNF2 +rSAHZVSmlW+r0Psba0dItVnxWREai3wNvtCo0dFZhRGZ+kZpAmWQWi0heky6Ye9TswATwb7Gm1Kz +381nNP5kwlw9N0hFkAnJ/S19mfXjtFz49mTZBlXaTFkIBVqOZKfBH9zeSE6OWzmBCBYRhaoZ6PxW +inQQ0n4yNu2XMcevNZ4EeBEaFnn9FyxLHpIom8hUyF0To5gt72kk/58YLo08HbCIvCrpM6ahVO1N +KxEMzXP6YTCn0jUan9PaUvtGrpAEvsVU6y0uSJThlCvCNHDvgPqyb5HDsf/cdH9FUeAftWTcKGrY +4DBH2SKSz1oC+zVZW41VYUaDGvPNm4cWwN6335pinuYyPnFrXukt+JzWSxuuvrt9+jNZ/kgbIZOi +1uM0M8fWXzswMFKoaNQqJvz2B0LKF1TryV6XhwiBTGFiOJNbQatF8LkhSWb5q0xWxaX8uPNOrq87 +gHpt7/OuWbSi8WwiWtVzlKdr1iEt4eyf+eDZZMSKplYiwUng1z7a7zB+sCMH34QNZ+ygDvReegy8 +O0465XORx+TGqI0UoouM0BrNrOduC7ztHQ7TvXQrXaF0F87dBHYfaI6MLfOHPrUnrp7q21VE2d47 +mCTRcxdPTHY0qi1wEAiccYz+qM+0RXp63AACtbDNOZfUzC0J6gj/fLWozWbi2VA6GHauVGQCEzq6 +wBAukbQAlXKIi8MFq84S2xxD+J+juflHtc1jfLc/rVfeZZ5+ZpFFdk3j2MxJ1xIObPYi//aJVhwz +4c5rCTEPV41+Mz5Z356QF9kP/A2p6JiAZzdIk4fX4jX3JKtbhXszyvSZPzVGQK948uTDlrsE6RBG +ioJ9vIWa/DTPKxzCZ2dYnFvg/iVaGztI8F3Tq5iMvxM4A/yAxnHCLYF+Nk/OrBaihZQwgCNgvcTR +i1pnD4akD9QgT4tMzD2OKe7Bp793xbdW2Xt0+t7pJZPt47W72ghUzTulym8ZqkbW2+TMzTQWwFsF +vlChIcnH1dkkm1Vgl0qVXK3qg33tOXTldNACxe72WsJx/MVDqQCmOiAdPwxwAWSrmxKPMNjY4RZF +6cBIirI/MmvU3gfSy3yjIbRDRbWiLYPllwC4Lgvx4NMYOmwEF7I+4Cxp8iP/YXzZrNWJ0RIdOgA9 +jJumgnxsEfULS1fpqOI3nOOfKTsyyJD+RkmedBMV2YFUovJfJeWd6pcqkeDMm3lgDUmziuqQglT0 +c2eT0Q8TPx1Go/Hmzxu3bS8BG1hly3cEJsHIVAWvc83B+TbgJyiwNeqOQ1WiQkJCkw0iQ8sLB5Hb +B1tBxSaS4swfunizNQZYGJl2Lm7h9qIHg0coCv1Ofl0/DmyzI6FrhgGFvhgRWh8DOr0CDBTmBnYc +AqXTDwsXWWhTJjcGA9E8Ts6LIl+i7uYraJC5SDOvZdUd2MqvVToR8ONKdLlUiY1Be2+IItVIgpOF +epqHYCJdhBkm0zjrD/VnfPW6iReXiEaEhRLJm1igM/SCQIR3tz7HqyZb96zGbzW+rsqPDCoTVZtr +22zgzEPvCBwx6IGP0lDdcLcY3ix9YH0zVAdNcvZsQR0FwZDe+2HMs+KB7U+yt0AzztEQTN19shLN +2iCT1+yEWYhf15JdFaPNJO77SGLjz+g/36iKWrTne1RznCjiqJsFCn9aCrA1JoJ/fhAlAxfDpSdB +cQE2shyjEKq/Zty+p3h/HJsofBUsgl6lasaT0RfrvBISRoiDoCU36ap4pMIWvpNVeY9KmGbC5rTd +LVGs6G1moGRGAD0aP14242unUpC6c1gWEqe0u/9rqinUKAbppUo6UeNCl8RydaMQiwsf7irAhVlC +ckzzICihKOpAqbqEs5HWdOvy5swBX3RDGAWiVULreMpPq9l+8RaTfmDrHxDl4vGtnv+tQvxZIH5a +k5TEnf06Ap9pPjZj6ksb6i4cJt+FOGEc0fM2YEGN/OqPIXjYfFpBVRPA9i2AxPMaauQpEK4kZAUe +uGCOxN796V3tMxwpKNCYhYBkFTGd+cGJMQFtAMdtwLDgjeTw1FrutZOUDhOzHLZ+5kGNDbOH/0aN +fI1lI0nzx2nyYIAtG0W/Uqi1x8QAhyRoYFpPydWXlBTYLSTCQ/sbh4OK8kRGRGjAkVkb6yniQYgO +CBhL/yRzjdSB1eCQgqTtjYtVVCHISKyJr0qvVa9q1D8SUXdhEMKPoxcwPC4I6EGCMHI+cfWAqOYb +oQw7q3YpQR8CY2FcePgPkQ1IsYCm9VxkrO7Qad8lLn4/UwZHTIVNaZFEbSUb8FSfJmtkd5pYMAnr +Zmo6hAqiag6qdJdX4jmm+yQsWPx04TyZhtc9udGMGwdlcp1KqOMZJ5rWdMr9cIWKdw8IU1Ks0ZqX +ykRCVCMrLNhc+jKKFj+WJLtsWXhD+YuaUgyuXIZaKhspeIHClhnP2r5+uxk6Y6yVQo7aQj/q97lU +d83p4jgD//mJD4zesfoUwVcVbQqeXD5eH1LimGcwOA27kKreIXXYqLpNv9NiuIyvM8cXE6iT/fPl +dcQIJpWhXGW2qizxStJX9NIRExrxlLnyRRlwrMCGvvPTs2B7DCPYG3X/dRbSfWVoI1DJPA1gyKDF +8/CvH0N8Ha6ioFWaZ9M8UC2Ucyo1RPFXDFeSACl+2+vYU2h22sTFfHvrKwfV8Oaos0GpI2kaBtqm +MqRLP0CCYXRCFpLI45WhJlAWoJNryTq1c0UBXVb9pCGhnfuYYY1rvMtpM191PvmCz11whSlnuZqm +4SF2Q3FU1PrYyR20MDLYlDGxS+4cWot/dB0abqFBzs2/TayP18MDhjr0go94tpaurHouqq1L0yS0 +X9i5Vghr1+EmSwSSET8DebAfenkjaxqEaVuAvfPS+crI491PTaSRqT1mu8cP6NTVvmCSUQjQ5XBa +gJFyFwcEdhS5bvRGkRqJGHGW1A1OsHbcfNv+VZOz3rQxDy57hDFvGhxO2AhXHwB0/rr58bL+4swK +svO42iZZTnwZUIGCMdC6VDmWBrFQbLYe1NTwOteprZEA4YAPo7Ow27cd8SYbaCeeRpRyQhd7DtaS +Gnk5/z2r6SJ9+NVSa3p7A6nZw2TgyFvIyfGvE3V9Mvtgyh104oXLCVFN44GMq8uf9xq8UAxHrkQ4 +DG6agMT3LyUFgv2vq8g9OFPvJr21aEkJtMLwF5YkwJWPpYWQX615P9pFT2R4Hog34Ring/PwJraj +NKoup+gnkR3h72VPT+O+MpIQbVtqdSCaPm9/jtffQ6X6/3Wva1wQy3cqDxud9M4chdMoz2qqR+Is +3MH9VAs/hZolbrKGmhQ9mr+4SD5Q+rq/3/izkaCfyPz3CGYqWAP7v73WzpWJvOvvfdxFBJ1hP/o2 +2oUFh9lGI1Iap1fnFT4R6ZcJYsnjYLqaI9FTW7wIJx7rztDn10QA+RnzmkcEp8Fqx5BQyRCpNVPg +IWEvcMR/pZYcvhmzjLnpWzzZX37k1BUR6LNuaJFn5WDZlENPFRkYRTXjqhwAug2AAxjuCoIXmN8M +Gkf9bfmpQf+EhkX+Wwwal5jb6pRl8n8gYIg1X6v69Np8dRAkBO30/JuLYy1YzlqJI672EWukX6in +Jtg1OOTAt167eWQSdlX83v/fox1+8Gc74MzlnSH7iVgasRJPE5uKhGX9VDjEhaSuTyWHdOfnRU4U +89nOL2AQRKR35BLK0ZAQ6+zQXLkX+/P9k0snFdiF4287xMEcKw8DkJJYzhI7baKlHxHQFHSZdagJ +z6jw57APaAjL1yHjacxVPDV/dTyCjc0L3JCeBruFfpHIvoNtZC3mfGKik2Wtq57WvgHfNvs7ZjE+ +gVM8r9QgLATl+ZAUEtIerd6hD8a5kUoyL8NtAMhH3loLZNVfPEZViXJX19aRsxEneQxEioyb2yvY +/D8kXkBqT1G+7Zfu8O8qb+R0NrzS5x+Y1vMgTKITqzh9RB7QG2fEaY2Ea71kUjdofJtKmYuesJcW +bkk24SLDWDtMHqIGl3EYooT7rONqNYxyojy7vTqua756NuQ/uXt6lkolFIKId5qu1ewBu14cbnEi +jieUi4ZxfDRfbrlqr0jBnEoktBwsJnmmVnso1t7V6oGiF40Z20cCr9vsut24LK3BfIyyGX6ZLvcK +Ikhur44K/nwjkt0n6JfJD5UEP6VfH9iTHmtTVLhlyIZXJQJntm6qsHFiWA4pUaDBOBZBSoKqkHFY +7zFW3dYO6BKS6TL4+1IBJ0zDxXKQWRF9McfZkpHeR5aBPEuPNaTAJ4tTOI/gvEHl0pj7UUh48pWp +0xf9xmmpDGMgAcTGNMaj+L4SspnG2gl8RrDjVrxp1WrcG4b00va0gxc0J12DOMSmpm/43SELy7H5 +IMLm40k/9C1RRok5mQnYhz+BPCmYU4IF/1SPVXHrafiTr78VMtpEzAVnS4bFA8eE03FnXAhSoTdy +R0i4MaKSDX1CJKgppxHsiZpKKiOPsureXn15DcMh2V1zd3m7KCwyPpVN9H3ws1azSOp0L00+7aJF +WKvj08nxQyfSBn3v8TSG9SIdezEEWvKrvyRUP10l+WJTpLqpr3RJUeivqJibto6OuRrr1ppSBsbK +MHjR5en944Ppd/dc5n4IuMB1NQsnJSSYvd2XxkGMswaAiXIdsdBDaz1nr2mrIL70izQtPoItqawq +5R73Ike8IkzQ+8p+fBpUVmS7UHh8CPT75vajgIItn4dciIK8UA/K3Oi0nMqq6sl7jCO0Adrl5Y6V +lLg7EX9iKqPVq+l02vcKvnK6YqGs6lP1cNthmulyP8CzpF+LMm9FMb65Uf3xMkbViG4EKF7AZcN2 +GnwZTtqMvO9dAElKllrkbekRaQFRgg64tncjAF84CpvaBuGdgGUZqx0eqBegTPTyw3yeIinDDd7i +WIVv70ND8i4MbumNcgG+Sq7TRoWHxxL9vHT624jU9EfVn4brIZ2K4VYa9vfp6IjvNgia82PfYwPC +ITI1xdkb1dTfWfUxeAaZqYNtUh/wzqVRQjOQc/xf0VMm4WtNYpO+faOM0nu3rOmflRvzQcdH2eM6 +KwHO794E1rDn7N6aI8Lcl8vYGakT5k6+uOASjYF8p26zRRFvRNXVzXpepsLe6UvB+hs6/essdpl/ +WN701pzK3G6MvUO9C3jDxnP6kLXrhQF2nF/L7K0YUEPxrtK7i0N94OsQNf/hSghnKTwjkjGaaUi0 ++UCV/Ez+KG18Qmk56c4UlRSMMIS/X8JezNsn+rTJoeXZTm5zhpIULmfyS8y6yx7+SM7J1Ey7+m6D +C1slKygh9/Eb3Z0uDlHKuPJUZlH/q2/+YB8ba5mX6Mj0TZbTO5CpybNpSrT0O+uhoXlA5R3UB4p7 +JQ8Wdd1OZkFWFj8nI5Ss/HAqPpvmgeFEVgA012V70Us5GobM6PPpfbvMzZ9gLP3pri59zrR/UoSI +S0vaFMJn1d3NqAdMOMMrVjwYWJtI8/TvfgfTQoI76/aNlHTArmob9WgEErKQ0KgY+Vwr5tpZL1lT +htfky/Y4kMffrPAvTJi4HwWgRIWkSn/grEnN/nJY+Wz63J77d7y/BJXgmpsfzNLbCliCubQE/xoU +8fR7hZ4TdjIJ7YTlowl5a8pEzK6MvlAyH/IWobwIEma33KH1a9YWBXsDC9ZTIe1nWJpJKLt/wzyV +ptOgDi2u2OdlrQpmnxF14LvBbNSJxRAQ658ZM506JqpeTtu5T7PvvQzAuoZzPp3wvlBjmVwCmK4p +ICTS1FhM7uO+TBKm5ep/PsUme01pYnGhk4By9yKQGiKrbjFzr41Mm4mI3sezdo4wy1783OJf5Y0j +27rjJA4lYVr1vbdGWkr7aMfe1ikx/Lrdw6Q4Ntvgy9tYMXPiKIzSidoJKrwhN6KFqlNoGzgnhBL2 +Dv6uvxStXTOd5/n077RUe7KKBvUoz5T0W12Ek7L2f9suNJgnA0ggBgnoRsxApxL7QP0x+82tjwZl +Qm07zpmRwcSUkMSuBXr+DevfGWjy17rvZR1eo7TLj5UoK3qhBo1XMcWjZrh3JezU7QMWV+J3ZyI9 +xpdoHkdB3v4UGfXwsJAKXmy1nwkLizaGjUDPAI9xryIvVBzxF7nYbwof5Qd6BB7vaSDw9xxaWhwN +6BpfLqFjehSjWgMwtHBlGDY85eUX4PiI3FBDfIpA0oobzqKH8OnJWIno4pDovwvYMmrCkGd5pv5K +U32lMMP7cggJZKjtdqzl3WBR+cUQTB8TDp9LriHKaMym1iF940bt97CZMvh4v8RZEI7dEysi3G23 +BLDpuGrpS/y9miky45Y4RERyIf1PHmQILirq5+PMjp/NJj1gNx2hu5G0Qsjw0ST0iVKUZ56U0o5D +rVgoCuGWaPtR0AZZcXSy0n83Xx1fLuhllTOtm/uvqP6quvn/yYpVdv5rTaiK6lSa2oRpCYlk26+4 +W2U7qIiup2jZIKDTUeyRUyBJTBK5Ev2QCpASDTerl1f70NYWXGDSRTRbDptCY4cyWOfrrWDHaGgQ +Yxt8V3dgcu6G/odPvLQTF3YQpLpCvsDyRNm4GwaF3g/RAO5JwmJCMa/PfTa2YcPVgKdFlhqcWTOf +YuLq3M01kiJTWZhe0pvUanWW9khSwQIsOitKEPZnugbPfm5GsxdIb3gaufG44tDy8+J0hyv2leZt +QLxMiVJiE//wrEgxcxyOe5KRUT7AGAlmNG3l/CrBjPd6RmEplwOHjnURMoDxZZCTv/DziGq0ytMB +MlV5pFW6I/FwZ/4mKpb0Zb39AOnA4ZBLsYQ1q4v2wAtLQo0y9Gyrnbhn4B4pKY8g5yB4Ojyqs7Ml +DtP2OLGG5m2dgKp6G/Zm47hzK09FcRq2OU8UqGtIrygp19Hxvj1/+UoU8nCdUqUo9oOcP24LxKLk +qYFgXzFT8xbyLyvADXJpERRDQkEIRFHoFFX8OCbJyAw+EYrKRUTNIH2Xu4TSJw0RDvaROXTUxjmA +7kMjyooCFO62HbfhHuBKUfQXtjfx3AE9hr0bCmPTmDcTAz1a4+fjVFZIQzlbSBxjt1q/GSqNZHR6 +eVB4dWUKNsJrqtk68vDdf9iRcIZipi6IM2IwopdPm5k/f5VaLuMnf+bdfuGUtxkrGLFAXK4VWvfG +iAQBDeTp2ciIdZkfPTMafn0HmGKjLHgGYl/6bO2v7SU8SZwETuqZ7W99/Bn+09wcao+kii/cGLja +Q0VmgPLZh4iYGbOaNn59xcr1+Xi1FtMaAxp/XtGXCNT6Sw0JmkCcCkEPQfmbMbBlj7HG9CddF+2i +/zarAjPuzSkkti1vEsio40vvqio1DG5E+THcBktzq8Qg958ZAY+j0+yyDrnUgtRzMASF5ie3SU1H +C7NcFv1IV2RgLeb9L/pdS3nK7KafB2qL59EB5GNBf0F0mXkhn9e0KzbUxFIEX8dO9dT5cXwZibUs +uYFLFJ9LBcrrLvAkm7uFpxU3RKvWgzklydzTeJsnQyU8wIyeYR97/6RVMv0i6NOYec8nmnOqi6+w +voG/jUgIl70rib0y6jYp4UWWLYUxNroC8JpHXRaDe83my+k9U2ej6PT/n82CQPcNAImkn9Lqo2Up +2xv6nckQvyBxgqIVK4IEkNS5bDMdvCizm+ynKkffNaDBswJ55glO7fBP9T7FOLAYb90BsximHNXB +YxBtVjODJN4yXGoIxMLQyZkPpYjiKuucIfTcH2EgLxf7LLi8/Ab751bvtc5rrSRd/QqdkNjGBZm/ +kJ569qycOuccuQvZJ8iY1SlKcFMx+mj+N1CbDP/zfywWZ9toJu8yjY7T0THmrJBTFRCfjsaZW7dv +E3MNoB7/k2Y20F5bJSV1mORiaV7RdGqU0tEbDeJOFgepf/GIRANWo7isQBnOiwVbEW/jRHHIX6jN +cYJO2SEW4vNX6jZOmv6esQRfxvFBfJi05i5eOje1RsfhRFdon5cDRi0i0svtfUr6/VpGolMV/bKm +ukFFAAjZbswUYaNBgH793KVdfqznSG/d59eZ3k1ZG3IpU1FkiMZB6CKh1QlIrd0nDn+Ix/tEAF7n +xW7pa6HuuQ06GehJu2g3Grl9pUxoxdvJXWUGlMC/zgSNVMU2nEyovZxp8k8BNDpn7OI/xYSZTeZ+ +Pmg9wXuXLa0Nk55lbujt8WWHFenxuOvKWD322JuMHJ7SRUsg/rCtGraeEgOIhSFRZKDbITqOQOnz +ex5G+b3GpqaA0uvQtj1XMQZAiyUqXylGdmwO3iNb7bRHB0NpSetIwfQUtCJCcVblJbQXOiwDW5D2 +bRN2h91OgBDIyTwa7u8ndtlXeSr/LVpX6yQRPIViNKAsTeFQAanYZLtXw7ICE9koadE6VGgBFcJH +nageCuQmsxigQsyvMNX5pujLOO6SwDLFP4GIbaF1Rc0jPcXJJttZHnxMWObZUfZUJKQSDZ1HkQ+p +VVg+GvUhKikuMCH2TtsDrPeNrNZ2k4gKLUZ+gFgzYyWoWgTLiuIOXXgI+GLEvL0j8qJsB4QbExtn +l9ifp6T5fcMSjHvnuFdKhmjc66UZZcRE6cpBFEg0dI1CXhYKuVS044sDgYW1uLGpN9LtgQPYU081 +ALMwAnm+yd0UE1SCcd0T9023MVsTjZoDaWQOQBOWuy54M7Tr/Ybjj/eLMGjNIlJw8qAYADOaTkFX +iPjL1sySRjb/VhPax982J4qR2UnpNz1zODsrD8z3Q2ZORnk2GOCAmc90oi5UJUWDvOlmeS7m/eOR +Z8WHZl9+tGNsY4WaUfSqX8MjlU8UlFgudXgou6fIG2nF9/WnLyoz0HodTLrCZRsyeeW1dtUDe2j2 +CpY+34emlHb25F2YLLGo7figWlRSOKH2+OPIs694TbmPJP16W8FmnQyuiO+t3pFkJUeSPL8iBW8d +2Wv3jF5cLWO+TiOEem0WnDI9dlpinenNrevE569g4lUmUX9gHxm02tQ87TUMe0vDPKdIqah3/DIR +HTwE7ypXsC254IdOtWx3kUBTtNvlyMPFXxRu292sFjXmA7wHCOCF9vmgbiu6LERtnj3rCyUaWrMQ +/cgOkzZBc13hbBtKFuBD+WIpgZfvOy0Ept16x28d59hUKIFbtX63mkTrMUFw/E93CMsfWtlKMJ1A +41LqVMi7oHL+i7JHZZdMsrNPdqoMHgtYIEqcbEHA32gZBPPI0x5mdnSDdJaVL7tZ/Vm54E/odWVv +LQzmmwJ7h4GRO4v+iyXNdoET6RVpPIgWoXT6C0S8sJ+0931V9SspyVpNEtOoLncaWbTBJdTHXt5N +fgu9RVKk5T7MLUu781JdOcUJpqQRS1wShVsI0aHSuiAlLreN77pevM/V1QnJxkAl5RV7AyMwhRzy +TpsSx+ejbZ/9U8F+o6eKaT15buhMuqhL3QmDXhQl1VxEBTQeXRjnf7xCYKLZAUiL6Bce5gtTNtri +rjKHuxn16ipPzD5aw4lHqovh+ySfjmBZw3nU09J6wZfowxvX4WOkgFSMkA3tAgEe8IaM0LYtlJxD +KEA1GfCrntoDwh8PVjqxRUimf7dKr1x+pKAXBtJ79A4Kuscp6GnrKygCuOKKI3iQy4Fzwys700r7 +7Ph+7LPQpEMbqNnJVK0i2FOHPkVltTsaBTPcx4z2oj6qHaNcC5JqMyo8Gcm+5SoDTH6VIM0/tDn7 +ZDD04A20cOvqiqX7v4N8Y0DeO3NfFDRpXaJE+I0VLfLHk9EwKqmnT54wcCrkjp78ycCgUtE8wetz +ds+kt1P97vk1YCH0UEAZiHO5GaKtgHRFXUG3HNXpfRXk0cJetuoMINzfqVa0uH0fYtExU5xtgeky +/BBshl8c1Q/HvH75ofzMfRVA/6GPiogFQFV3s0d4C97N3IbWPsNC2bW0kLUD0iaNMhGc8HWFg9OM +bSlVhm3Fu6TpuEa211Vr8MfxmbUU9nn2P39yrMyYS8ZWfmhet0Tg1fu3IindOJDB9qb9T8AOP641 +uNYm7ZqqHiA7lr60INDV8b5xGXQs2DQ2Ojq1XT30+OTFixAHCGTaw6atCAV6eSUvjHo4gsxFCi/t +0vXs96cWSx/fs+IVo+gzovJDmzydqhFQtFne5b2OagDIF2+IuX/ckJxUSIdwQAltUS0OK9MvOgjC +4ZNoooeQdL6k2RbCzrIX57+n4vPAaIn1VFAp7GyorFHsQb4Y0Dg8vYQGL5DvioYFG9LWXJk7cHhC +KctqLVazXfSVHauSZNGNXzc3FOcDBPalonTvVT78WezTaKl5AKNKHGNQ5C1SNZP4JQgNus1W82aB +sHZKpqsr/176lI0sR0vnTEQkvDAETvl0CBb1/8s2ru3vF11VgszYHE41v1DJqgSI44ioIxsdCbG5 +i6BOtS2HQo9kikhEB5BfrC4WyLZAAWZkne/OiXQAEhz6G8rsim6oNcX72C+VhSw0lu284HFq5uj2 +g/5nYx7Cr2PMiM/ld6wIgCtuZwfbfbOyXwLnUQrNI7yhs0oPrr68+/PC/w+LKhZY6R8eTESpmNon +O5foXE85HraO1MphxaGB7i1Bw4hU0ndGTqKVF1pwhbDAQKe4zjygho0oZr4E+q3ps7eCsaVD72qv +Wt1Iklet1o/Eg3Kf8xUsXEkT15kOrrT6sVO3ya0qU7tR35TIoaHhcgNJXQQgjtx11kBrmX2feHwm +Q4eu4H0FmKLg8m0VZItkdgTeGPgipyOWPc2ESOsv2zadCBbtUtwg1ZCm3/v4TxJmvY1xJBPMHuC3 +j98QHD4NxppslZgdCMYIJGe3+GV2+bebsokh+Yvr9zUp3CnZ2VeoLzj9TrkWTQVLlqW6h6L/VPPN +R6mGiWzBOl378UXsUNTLVq4FssOzT2ThoAPpeRgbi9Q21+KEEQ7wawL+WH9NeGWC0yzh2/GQfKwn +wap9ix1ZMRp/plCxHxUxtfpcYz17uTQ6awUWoMkurtRvSNRqhIaPVQFDG4WQ/iNA42cjyp3N/rBM +utsMjhEmUN0oeTml6r/9yySA6XjrOpen22vTqXuf2p/AW/jPUJ/KaCJfHpQnXRaWhQ5oOzrzDtuk +WbMnGQrKT3HzMqZIKWe4Z5kv3M60kBUtODmzmV3bIxVmVM8ic/7KS3CmNktOJV8uqSK5aU4emaZF +nVfhydsFjXDRMVf5VAHZn93otuihob7YRd4x+bHqtJYg+KOODzMMZqh2mjLxTGylNGiL5OxS8Oao +5RxoNusDbDJJtVvcw2Riy9wbNFex94rLOxDzQu0J2SK9BeE3sCnRUEWWejry+Tpew19BFcgfbo0K +ZVv7WOgcEzKntjxnstLgPOgu9+eWqmbzcWIScS0ovkr5TtTn97Cfl0WqsDiLonz4Wz0K82guf2GO +qSt+/3y0SAnDqV1nbQrVqm49Pp245oSqiL6nzrnRKLxbms+I35FO0rkmXOwqYmHu8rWQOgnUO11I +czdgAoVsaZZFz2151XdbIbHVOw2HT11cvO1sg60jatLbLCPOHMM5zZ0nLfvMwgthe/rxS4erVm+N +X2iy1YX/JAKDQ7hAXY+xnGwL+pNRwWKIN68HKOW+FniUe18HVyHLDphsKrdJGt+rxBj2IuHtPUto +4NcpFszY2fJR1bIFszIyVNWwIhD5wvtvfJiTwIxojQpxYg24zYkuI3hmbNa7GODykswkIvjaAvRU +7XoaJ1UNZd/QTfl7YeAxr2hLTtgIXCxQFZ37/SNBA3G7GGJIwtvvF1StrEcAsVXpbtyYQlgPfJbH +582/WmgyFaXe3VShvpsOWXcjd/vvM0adpBkrqAaFQJAo2CVcp1VNTY5qr/UNaT6vWPtUiMSCVHuS +ei3EqvBL61yiWyGC7H8OQ+A9aSC4AFvmbA4Prtxd66CUIEzSYJJld8TEesXmU20jL5JF755wBM/x +F4BumSj6DYWxEgok5UCkYwpRLYt7uMdSwr0S06ybrPWn0clNUjTrU6eMetcdRU/U07aClEH/vSF3 +uamRUbjc0sOvXRdjHZz0OEqox5RdCRj93/HuUZdx2/Q/Lv39h0oOZdG6ITIOMM0HzgZdYk0XdA3U +9lgEFJoPf4cFu7fbNJEOx5DCLecY0y+ccwhvET22CrdS6Mcwtvjjg4y9r1j28c/mjzC259h1UXv8 +LlcnLqzU5n1rtRAlZG3tcYZCaE2qpX9K7pNop4tLDsfLSlJNhiEhZNQLtoY9VOD+WmTJ5RDzOjEZ +1CwjEddlY7GddhIa7oZMB9rD6R/rX5l/I0Sl70dUXUaE+87bls/MODa5g+cPAL+Wh+dcaY+0jAYh +IT5b1TNa+VqXh6lvcxdX1d1MH6nWrCuyTAIzi8YV1GofaXkiNP5dX9dUugWJqV5L2oy0u6sFNRxO +KOOo7iuM0THOIwMPxvP8OgJ4ggLs9WcRzn0GNNmLM74UphlEGPaZLeYnS9u83/mnGYDH4Hcc4IVn +BYw1aNrkGobQXAbrXVgLWBdEFGBgjDELl/nArUhLZ4o044hI+2FnuatUstW067XrY/Dj/1TWEZ1M +FhKw2blGGct3+COSQodp5H8oM4FVC8dbEbWMXITC9/y6bTvDNOnTjONoeEoPyL9KCjWu2/ZtsGxq +NR9qmUPNg8b1JJEJz87HqfDrCxXb6eedBv5gU0lCdVCe4uMAfHeCQo9uxHefTj5EWDtYjJ7oEqE2 +R0NEolBFKUPE0xh7WCZ5swfpaJpIkavatXguKjjTYJyyw1P3jShUujAT2vLluTcyEKqUXKwqDzHe +XqbPlg66EJPwtCW2/R4JCuIi7xHNMCXo/YHcuJvfzpKJlVbN8xgclaod4xwwMoPgzZyrsVvEHP1M +5vzMrW8qkB9HTkM2no4Tki8bEeg8THhDxF2WGaG1mev0ZSbGs3AZ0GchZFzLDXoylCsh6ezWKZbB +pxwAbzE0EWtdZoYC2AVC6eHp+J9PRoQkmmmIdx4B0oEcSAh4sWRjktXjMzwlmHO+7Tbt1xSvjR0T +BAtGWPZ46Mo0HgCsxd+jjK1cfujNFn5xa3lSqtliUN9InB6AS8uXc8A2/5ZYmcos3lXnGkhXWgph +8Jj9DuH2C5SZMI9ATZ8nqB5x8DRzlmWtygsF0sCjVGIVYL+dL1bIXYwnuPiIdGDZKYPUkwZk+978 +r/lhniAqIkbY/AACejMuZ+qvR6QxxPofSbFMjEx+/0yEiGpdLCsHLdjWVASxAfmH0o1IM38ssXAS +HXV31QwRF4KaSbZIgAdBtxNOrrxdXjp4kqjPztJE62NNWZWAJ45JHQ7y0SKwLQOVvVIvZSNvPtYU +7RFkmKTne0mWUJIXm0DXaqDJxQFQI4IMP2Pgl+69sJ/9c/jwvSY+PuzIPq5Me7J/FM3+AIyEaQiG +W0hTWwr/cV60qiLkesumf5gqvIJMWQ2lMrQn4woVUCnjDGYb1kMDLRaNOkupQjgKOKZpE1KlFo53 +k77kWnmXU3o8pBwjNFC9OPPdLRe+2DTUDRzrAnojFGktypx6PIG8+b8wqaxmZxzayawjfmiWHkBf ++P7P4J64cZfo1Wz4udOlXaAK1nw4fPzhOghXT9CnsASIx2T7zCADX1f0/49/olLBUcfbmp3YxmuF +qEzvsnJbJQWTeugjO0z9JCrFqhtsFhYxszthki240SNTEiB6uX33ztUNaD5yP08TsR2MDRdVpSVl +avv6FTXcLcm8rLwygUZ01nuzW56G7JcYVGGSFQZoDSLqDSoc6kxmUABGgOJ89aQnMKl/GbG/hbtE +zX8VC6dMtFuoAOnIvk3Q82ldv+7z42RkotDM+qVNDh9uEgN8Up+R7fb6pB+4eaa0Ks0FZ4ejGVi6 +36iOjH4jx2VvxVHTa7ozL0NJoK9u76NB9HeZJu671dx1hv5CvJyqIFRkFLLCBEjYqztwrzOAQdwx +uRMmZlIXAEkvMJDFZa6nNIFATROORBM9GktKOnHAxd0dgVpUnXH9CrHV2m9SoVJ99GZU+H6EH2ks +c0eZt42mC6WlJIIJl8l/X2OCmIsk8J5iKL0eEvlmluDd0tPXRacsWevQkGr5mC1dJYPv7UxJtcNR +Gcff1GjV+6WQzno+kbJ6d+meBWb+MsG7NeOCm3AMCsTdnLpojHz33usSQB9bpxp/QOb8RAi1u++2 +gnOCXU043Q1ZescW9EAVU5CSSp2UpShd2CMY4CflfKwzff+AGs4NbEo5o3SMtXSTogyUmA3wFW7b +Z/7TyUKyzlefTxTqdF/d6b8TCJh4P+PMz+e+HT4T3CxttLAuoQrbk8QNnAm3gI/0ce4FRHt2t8qq +3e62dnGaq1ApNtf8NXcT1rqI/ETy3P0zJnAMIqBVtydswqgXB77e/gvpQLCV7vd3OO3NJHr4mquy +0g0WWe1xrbOsNwl0Exg3CCr5P1LE4tdsO8Xi0NXFQsQGmP1TBVkw1ZO04Gj8ZMVUfs9Djostfr5o +2pAbqxxZxGiHnw4T0xf5TApi8zZjCGRkdPLcmAsUkB2Q45dJhYkZf/TGdmWIUFhQudY7mWLaYQ+w +b6LWHvvuJE19QGD55I/CnYdyWmDxCTdOI72l9Tssl0xaKFOtRQgiTDoLT7FGwVEhtZ2E5VX9cEUb +VMV8lqyaz7WxCaIqOf+Rdbc/03tzk7ytAA9QKjl8nOS2zvz5Vx1g0uITBlJy+u6iI5KFGl4DpyWr +6IFRmjj7kjQGwszkrZjZgsV/twNSg0ktfOuPoHfKn4R6ZxfFIjAxJYYt0rYj9yh0AoS1MaqsJ7T/ +ZAQ52ysWy08Cb025AArKsmSSDM+poZhySaS46De3OSpCvWzAy3TcnmajfA3r+UHc/44LYq1zO1m0 +XRidRbAR5pDQR9Dz9qT27hGTgtFNNtF5QMlzBQRlqg3LtKbZ1gk4mH2Mw3muwvzg/YceHNWE7+Ma +Q0+KZoK+o6IYapsyJA1yWz1hiAg678xCEDgzEkoxaCp2XaadDxAEXCUDdw1OTGVZzZMk/4+F/ZJh +0rRmkv5aOQNuBtXjKy1summYQQPLCBs3eXLkox0bJ/D+N9ww390yuhYHUcYkpGkPV+agDXjUPH50 +2YDP9VM1F5IaAslLSBQW93ATkJMNX6y0sFuzLX1CWyUyXzcb6uYmLdtuY7UvSooAiXZwoiJRKwlI +Nbun2r7oE5MsJQItNK6R5NV8XdpYCVYytHPyBjgEEwLjn69lx4NraEnl7wq/N9QoL58gX+a7kfJC +TLQtssk2vGJ+8ZXSrWPHHz4YAvXKY/ehvmjGpC0kafZzr3lZ70IfyeLI348HJKJNv0r1dkVWxCAQ +fnExRSp61w4ls+0Uk4X/B5l4aQr1PrqjUg369fOG0VshJQEV00bgjvPVRrZOdsNR/nxOyad55lD/ +nXKx1CtD4CLwsk7CvhUbt2ONZjMDFVN+++5zMtN47qQp6yRU+AjNuAykOPpm1O7cs9Lo5PsNgNX0 +FaRf+dJqKdMFl3NUjqyb9bJ8DrCiO9BgfH34dUfLuchA7gIrETAa4OPsO6HKibhwJbzS84PD5Icj +3gg0P/VKLofiWD9xJP9rWANfoPR8f7dIyLOkwx4+M/ieEZal5wpYESczHzf40N6u0wDjn4AaAsil +VHHdqQbRHND7eY1Nr+2oJPxdGEaPBNyG+3/GVEZZmxr1k61yLJ6pZW9uB0D+WbhM6CKCiErQVTW7 +0xQjn8ULnAUQbLGVs8JTklVTM4a4swgCMZ+LK5GUB+PIkuqzpVnhnLZQ/ZHaRqEkCKHW7vlmprTE +Wg4EI9EMnuUCelcphbpB+33XiQWahrTbiguxESslrTOSnZpHiZX2CTTf3pueisBR94QzE2obBSnH +8WOavikprrND9C4ROSVLTzlgD0hd8L4mzgWyMzl150JEfqPYuvRBVVNfBOUqea0oZAMZYRGM9jw7 +0Lf9/pmhVz1yC1ecl/olTHdnBR31P3ZKPTsZPuL+PDyvzJVe114OUo6YGsmcUYa4iddWsVYKR1wK +cbGwMq8xotW3uJsm5yDIvOOkS2oY1JExIP43KaZydwqHW32j74nhiH70JNDQQLumrzUApLQDsifT +1bp5H9mdX/xVcnGHn7EQ8nROnInmvaNfO7t8woDiof/i3CDBVvqfP75fIqbR/6CbzROEsR4yXsN8 +CzkqJK2nEoydA9Q+X26tI/zFyPi158cwJHJyCDcTy/CTJWIcrzHUZV8ctmu+W4Ar+AkHXqUPU6N6 +Vq8v21S9QLo1erL6olm2Vmhz6wcPn/99aoRXSDCGzy0VCNGLM61RDSA9wuEG+aexf5XRMpvYIsS1 +TyGWfnYtbiuzhCPOGYsRKr4W1nGlNrOdlY62YvwDgTpyyoGo5+H8abogkPWFG4mGgFUONuhoNfYb +DFo9mUuh/oQ1egokNIUh76eXWCzNWOsuQvmSRmHs3lixJh94uZlsKgqPzvDrjoBxjsVDSrG+jv+1 ++13xMCao89ShBG1cf5prxdwjr7RpJaCi99RS1hMHHKQ0JKjhJ4G33uGpaekkKzDqtdm9mC131z8U +A+O4r/xberEGgGKv40QPyMf/jlmv1002NPIC2iyUyZUKW4GU7Lq0sNS/0EyjbktsHGUDYymgQz4L +fdCc1P9Djr36rtpf6gAdQ9zOcRjO+HTiAMhh1lxyVrwgANn00stMlXqiPImjmkIaCkW7F9pDZ5P9 +4kxvvmD51fSmDhPdReepuXSoqJ0GzJET8UgKGTAgMxd+zD+AINl6rO/iEh6lvCe8rRaFIwudao+/ +7tm+xaZOHjiBsUS8gkeOnh2S+L2fqGnVENAMzr474bCBO0PkjaEI/OPKUDnLIHm4UNEzSyihSUSD +D0++VA+HumxaGMmAlglh0MyNxGgl0yIFbuURzWECrOfMv1ZrOCrJQ+wLxRF5TBC83mQWIgkbm9TG +NRlbOUobG/iQzLHHp6J6tuG9Fawl3VGT5AGzR8Gq4AI8MbHAnDZoM5n247At23u1CSj6c1ztO6/C +xzGHVRshfgh7GWWFktVxqTMBOVhmiQeiDfoVyN0eQPFl1xFnQQy/j5K7QsH9aGwM7Iv/yeAd22iF +IJ0S/UdeCGMm+f40gIXwU0Qtwi567temS6qFsb+0OlNBzkTBGRuTsIXjTiJQtHvPjz/FaUqti1bj +MiNRsPiZ0wHArNLQ/nnW5ZUevKdj/UUpuAY3TddL0YTF0x9+3kFOaA9fCL2H32w4MTaOOHJtElPQ +PNUfTgcNIH9R8v7Y4HP6VmCeWxrLIP87sObWFvkpzC/jG85KunJGQh3hI+0Hu6D/GMtMFGeuAsjH +6Oab1xzl3t6Yw9IMREIyFSN0i+dQx4vsN83qRa9BGiJcmaFSgL9dOZJKC42iTYP3Xolek6ajcYrx +aZSqkjN9w1nb1Q+pP4CxmBLeL1V5Hu/fwrfI2KDS9mj24Q/TSa3XMcU8vmZifoWyt+WdQmgzN0sc +B9xNRjIQYgUe6jO55HYtH1vjGzbU/BZqSW+tt/i98V8BVLRRBWugMEro2Ree/XpcDy3qwndwlVbX +vkGkto+uVM7aApcetx0NwBG7edGz7TsB5eWxdRyeL8RIvic+nsfiom6Xx1GdM4gmUR4Q7AYpUrWa +ns2KqisAzryBs9PuiP7gFOoZlCvnNdzSTWXXh9GIFFryglcOuBSLHvadZGUCCBLjXk+CrxP77COF +210XC61EU/pGh3uiHxq9SQ6ktDptPO1cZkvRNbg3yfRHhlqvLKwQL10o/MfubJepB3pNz238mjXM +lNk/Evjkk19Zc/mcMvCIH1YeEM78PvMV0Ib3FnZnjwVQSkFJUyB1ea8whuo52PggX+7T0j9nf2W7 +3P4OVvazlnOTc0a3fr2526CVai0M/ks5f8BHBD1OFkX/+4Ubhd/mkwEpqoM+g42mniIgzpPM44LB +/LDop9BobzqFne+OQlArMUk6NGOP8Ks/rbg2durz3eVJeVsVdi/1WwIHPv3VCyReIHeJnNB4Va8M +avovZuNRtCJTQFQIEz2mY0EyuNotsXnzXurhVczi36COIHqKO+CxpHH+c/te7BThWkZ8ySKA2YLs +s7uTIiednPMASg5s2M+yJVlPGprQoG0lzGEHz6hk1pHAwbq1rfqgewYV9zerbBFkfqLgP1yCxP1p +1nRizsMlTFkHA0Kb06hiTJ9QiZ1ON+NNPfqAXga9KdUdhianRtJlkenPX3+vI143LNzJKX28Oup1 +zdgpZZdwPnUmlXPo8AnN5ZLnZ1rJ5O3vGfUrKKC39SwWh2TgThtVy4F6cJgoLFapuSRQ/M+EPBbo +Zvgpr4mm8qLqDwRo/1Deo4icTxpZdCzRhsC1xnjxyMq39QMb3tWtt0XGnw+i8VSIWzxeIy+QZun1 +Cy08nSUHvZddm7461pQZ6AusL7vpDFTWmzU0382OiFw0Bp1hdpbGMELh0K83/ccEpJR4aeUE3RYt +EYnAAhcfA8jrtuukomqo7icDbSjQeP3ggB13ggdAR7A5ZgCPyVVec6X/RKY65GCKQy5P+syDc5jw +vCjiSlqP81PpUfw+eczfNNPPRzhUT1SkIbQZWPy8uFpO+xlHipfVuJP2RhV/NXWpvSNzJlfUa/4z +EffZrgazmWMsoRLz6zoDYbR4TDAiQ9M8AlXb98VqsxM3O09JdptDua0JOl64/MtAJwgCNeau/PUC +byDh572XsmuosunvYymYuVodF4oDwOP9+2yz/X5nxFVCIryCLQL2TAVl7yafBqX77uoEwvEJsnwP +bNRPLeEAlQEfKjs/8JS8PA1fpDW0IrLZVPa32P98PLnryB6mBoakLEJUXpcyLlpE2MXgnuJYdcMr +2k1Gvu8heIyiW7kApyhczsP3M+TcKiYM+XNyGmXBnzSb3vZs90UDGDd7hrxtSzvWmJJbO7TPj8eE +6iHO2Nw3pcXhW4v3uylyOEAZ4WPAQFAJjs9GQc/vkYlKwtu289yOsEst/LWdjgJuDcaJoyP0DQyd +LlV40nTH3gXyhnYC3AGdtfdjjmJDGrHa4gJoG6AIGcVkWILs7rj0r4fhR2e1zT9T2ukeZIT18IVZ +I9QZnRceg/QGVNkvisyQPUYrk4DqMzgZmQ/Uas9m9Q6n/6I/kw9D+VIe/9YtjXxo5supxsi6aP++ +spNe4yYsS7ttZBjTPJ3qeCRW58G2oCsSOHsKVyawjkz7g20pzeg/5tuK/jxG7konTJdshwLWDqSL +7FGq75M7Af2TVRDECBSfFVR8ma0hmzwGuC/PlyaYxM0mjZNKkxtWhl7Cp+iKhH/VviVji1eFZFAH +8AbQ1CqMd0WuFIxq5Bhbs1VMoKVzOW4wTYngX5iweeX41jzOd8CuDsQNUNJO84jPXyUD7LpMCmMr +T674S95+8U5zM647vpLUgrhwy7FNZuzfO6BUeLrYi5nRslVSzjKBn9XpbX930A0lgsQ7lLBB8DLX +5Cz/7p08Z0EEK0gFVG9Uo3bEIok+BW/QV4VIcX91ed4906kxfE0nwq7cc1LHb3bQ70ysaDp7RRJg +NDlTnfa3N2L0h0FZS+hbozfhaVmFpwQsm+aBMThjAS7zigDMYGPJntIlVXFFWIQl+ENGBVlT1QLz +cOpv1P9Bkve8yeDh2HcqhijAC5HHqfRWJlb/yUr0zhT7Jb+MpdVGkl693SYjy3w6KyrP3+qILbyG ++f0cVrW4RfZEBwcGncNnx8uR5LXn1WKNkUXVgFaMdR9yV4XSBkcPf0Se6L5EVQaCZo9rrk6q7uqJ +Jn8AHosXpzuOTjiaMTSx5AxpZ+MpGm9TSXAzkdS/5AtFHB1XlMz3tmu4FGiFg1VckPBvf9qnPtJb +t05ZP+wD3fTlpwcoOzGuSy7rwW7/GloFCBkH8arJxxrtZ+cP7uwM6zwBb1r2AXdclVMuIb+jhI/S ++bh9+WmQY2nve+UQl6TzWy0DouHFtgpHTHeNodWVS6XboI9F79jGoz/vxB38fpvwHxY4tqa2KmDp +5L+e9V+L9iBWGhQdshDfaAp+JTEDxRo6UHhWQb6qbe7sojwqPQArZgAMVeOu8kZb8ICSa489x3w5 +ZarNbC/1qyzxjF1QnrnMRXLxFMMJwUPeZuGuH+xXZjP2FqzVMvEGqnc0ipMjTfMHihxM2yhLmZDK +Ssik66YbkN2CHx9SmNt8HgG9WgJ4HOUhs4Ig9i6zq70YhNK7GXs/20g/3qZC24Yy1L2F0IxCVV5g +72lBpLrbR8RWAmmg9BDVnKT/5cjwJI+3/ZIp4DBDmXvtP7MLIuWGcSzr2kvA5D1wIMQWkaaq4MEA +snm9V4jHodFllPyh3nfUEu/HpbIOaEoOseJH/T6v/jBo4DrDUkNPU2lcFGPKIfpH81yI+Znz0eRB +9woKFQO5kPtzjPPcN86iletSdCwQCJK+99tlV4GS2rPPhvDotWu6sATQmvAgYWuQkOWxtBw3LKWE +zZ9fftDU7xk9G+tpXW5HIsj4QGeF9zOt9oA4ifkBS7PjnUhLfQaC7pNSZoXEcAHObwX8pZnlXPG+ +EcYfk5RiSo98VU1hY8IL0FguUSUHQSERmIr02Ma0xhJfS+0RddJYlj3ZIXACiaccmsyAv0pb2Ho0 +eQxGJLxOwL2/zfpz0Zg+jwGRLPEuAMUn42PExBzUsZPegX0AIvyNqa51/wR02OQ76RojsA2e1a/0 +8LuESosrEvcdTdijNv0mxAFmzMRSIspQfD47TWBJ0k4lcM4cSB1rNgoIgyYqBJQJXu3LADTWYEsI +w22jpBnt6S2j5nFDG+t0c0bvK+TAKI32G2hiM5Dhg1AiGxivMFyzBAxzhfAAEiZM5seyIxluMQHS +/8OBORt7qINAKCs4Qkfn4pS/E5rSkmz3nVa8+UYJyi9szDOtUpYz0VKTviPeYKOrYvkvA1xs97k7 +IElayZ+PTDKmfhKCzwd/PvwXxSrl7kgZHLrfaTGXEtD7pmCoYINzp2fRKS+xZl1A1oGLs7Xk/DDg +IRFQ/icqEE3r9Vp76RqbU1yAMdHORvG3pkYUn/RXRIwXp8W3IMMSzREav1a/JssKYJY9ctTElFvB +YcZH3Yn1sXhB1ok8L76OSawzAM9I4ejiIqo+rqo2V8PLbE9rmcQqJdQXkxRGNF8e77/5Rx94ZhU4 +fr2hDfzhhRQNutdl1IFXitO1kJ0vpIXKa4qEorZKjTkxmRZ0V0h7w29uYvHhXv6lCq3TRXQMdWbc +GK5WjmWursRnE8TTDkrO+gLIldcls5zm3pWE2MxDS13lk0Me1+v4AsUzR1bVPZe9W+FydCzRIclq +APolLCB6SO97wz9Ry4TB8wSIPZgvxKyFOhtOWN1GGdGGoYV3KojbtbkF7Hy/KWhNgAfDu5wq/5Sn +8bR1ZVs9ziRYogyRpKIdEMDJ4w1vhmDp+7dLl+o/emHsWooaRaef2TYnLv8pTHjbBfJdlU7Ijo0I +QZYdv2QlDQsN4wLod3nw46a2lLc01sdrpmdk8r9eR6X7+9og9EbRf9cEB/Z7oXWyeVRvF0LgpOoJ +4dpKSyS3P5WNUlUVZ5gt+TR5SXo9inqdxlgDvO0JI3jhc0qw6rwXn87SHIVLwAz4nyy/GP9gJ4eG +flivPeWsIpXyZvqsY4QRCm/qA8mfJQua067vQ8larJlOviuR1AJ194GSM1KFnc0FGLc4IgS6K5ud +NLNVLTc+BRusbDyCxFXcyepB8dk9QQw/kZfJMmht6F29me6MW6k5JxhjIRaXvQRnIpNt+ZQxqg/l +Vfx6TjGl9NDenDHdv9tP0uB64Yfy2f4XHfx4ZENo7oAqpu0SJdtISx5EQmQZzHzsgNBAGJQm/nbm +ZUNL8FPkxI+WTYVawu2pohzABa8EmPfGb4Yaw2eawMN4jWBNT7OCqDnoK80Oo/dvcKOOBXxZUXfj +/jSRgV+HTxsMGKmJQEhJOpkrguUuX6relkcFiR87xplFRtCYQUEESafy+RQogRbvM/TvMN9GxB0g +jMt59GJYDgHDLH0LcIHyZfmT1cAdzlhFmhtFDBrKyZkTgeAHi1VKLLcuHbC89WZckpMoDk4H9D3l +K1JDbZfMum05uk7dNi0iGkapOhA3hul9SyeeixnViZZpC3payxFiZWCU8zaaFKdcvtS0shGmcLnE +rQKJdmjsp0sN18l1/jBMYaDbV3W6Y8fKQjy96RLjv9yypOrgT89HEJ4s12jPC+Gajf6A3y6EpFsB +rIfRhxm6va/t5e4ggY44HZeJy6p/5UcgQV9fJ+YT16FRVole20tkd6CHrbpKCjqRywLEN4gl4WPe +PvXC0q0rDZcF9Hzgjqi5NgA5G6tZn+vv2HzMgRIXm5Qr/WoCU6tFFUVxyAYJrft+ssk0RJPdZXPc +me71oT7zTEaZ3WGb3kvko5bOLVrCXg7C4umfUQlN4XLq1/Ujo5y+sECeDrJ6lg5jdaZOlF27TvAH +Tt9azvDjtYlJzBjvgZYwyRp2Rg+VIr7n5sf+kYsKGwI7GI0IDwFk1erv5DcfSFKDIkPpDXkC33F8 +SM4S63anD2QOlheX5osUBLZg7ITBdH/O0udeOgVTDUGdaJirI/i6n6M8rJJKkrPBn/Q5tcIfDy2V +sZAKOnVDk4FCDloOn4Qkyofag2bxatZEqhqym3rgCSoNBKpnBoyMRBywd6vpId3BP/Uhg4qcDdEm +d+Zpl9ZHaKth80IOILalwOX5LSMEHpeUig/rvpKya7EwRUh8v4AgedaNYIWITIZ1t4KJXni1ByfQ +5iOD/ktb8DO648Mcw/J9RrxcPzX2QMYd78Qia9tWRahABrw5smPsf3km9Me6fVJ8eB2MydG8we+8 +BmEISp/b+PTo0odEGLKkIGw+hS0Tv57pUqn4IDTdqe21CJ5QUrtjt0ht5BtObQoaMjOCZ3vNPrlZ +pToj+wNJQj1RuhmW5HZJ/S5f/4gBE0JyuQZ+x5VWKG/yBqn00Hld45hHwUMDPN1+Cs/9znDZKtBQ +tKTPbZyCd8tOPj+ElgqFXfsidNjGa8RFDazdlHYX8J95tMHkKs8j+pE8ClPJoFqCyp0pLSzHa/uv +IjpumoIWPl1nd6lOcoRaelC4wTsT1QIAq7D0LA/10J0a9Q6sN3O3ftzXOHyUaBkaSCeNx8+pIx7m +tuTWVCsEoDqCls2T0oLjGfZHUnpUj7dVyG026sLFufXfQ5HXuHnG5L8J+xwcRJt5blwcH4vmQvD0 +r4F6dnWpmYYT2cy2Lk8AEBK9ojDNGXCN+1tkU/lardNXxRufP0gsbxn2gyGUhZvwLHi9zygrdxeb +wIoyTolR2KFuLfaosbn2S4qMM2fXFRALxlNlpUdnXuihMgQBtj9Llg8JUIagdXgP+ubiPgA2Q3LW +NRLCQI7AwSWpxqwyHThTJ4b3bS1KywQoxglXN7YSDPE1BlbiblNM+E8y4E5BTuV/DYbqTjqoA0D5 +thTHmr0ufl2TJeVwS9+Z1c4bcsfL+uWyd/4mo+lE5SXacya50i1mUIsdyKjgnvdkvd2hreW8/iTl +8xEwNRnE4xpd46zQ62xhF+UyJ8jUu8jlVZjRt/c3cgLdJSH1+MgYm/DdbAe2/VpMnQB9QgdQg9ih +lHenCvJYtCZSoRFV+j7259HgGj4pzeF5NMY++SugqUXM38M5OqFHCRiXNPmJ8hxyVT7knsDaEEah +yM/n66JBn6NVemeDWBbJuJjGFBkLhz5hvQL5XW/r0d7Gzig8VjdcJkiJI+BKYs61Wuu3Pr/htT/k +VuUB893YLEErVSjsbq608mtJVh2xLw5PPOu0hbNq4M6jBytXhYBWhx/+BwxEauVlWv/Ei2efT89a +uoemSu6eEUhFs0GRfvN7GjHUPf3fa4xE8gTArW8dRjnBzsxS41SOZXmR3oMrfpIba/pFfyUvOx2L +/ZDKuf0LayKFOfA6CtYHcQmsUYt9t/5C9RztveZDIjo13N/dT4hA12cS7mN5/HvTHEGPg4bu6woi +jQgCURK5fJqhef5Ul8paNw8f+EE/rWo3wTRdtzYpRrzGgJMOGpB1zk8wkjQnIeC/N6XE/J52+Rw5 +SKzmjvc9kFMUQSg9PSRvU/4dk0j0xGQ1kVcHVO3/yUws4j7h8TrQZ1v9hdPcTOLSTfVyzDcCWdqt +atcijig9rW8DKgnhgc2afO4M+2EaX0IcSUwYQmhnrqWTDi3cyyKpDz7/fgO4P2O1Dsdc9FDLVTus +A14EvS5Ke1DZyCZVC0em8qQr3KI0De5t/60NB8VnOABZM9tVBMG0bl5SHThsIniaOwK/BCikhuIp +pxYtUncK0jjOJrYo/VMErpF8BYT18fl/Aa++rHpjRF2uBploJIUV2YVmdXxJHPHY2Y5HsuwYLZ21 +1caiW3sxG0EadrziJLMCvBmDKq+sBQQO8cSt9NuF82Yxf9mgYokWd5nR+ScAUVl1+nHSwlzrvfRT +LDCM4Us3PvUqLX1BURMVqObcHKIhzyJchRi2luOE8LezX9fsPCiUcGSaldoIWqm9/CVmx8oohyNy +SUB5ZEMnELGPklH4VpJ0CypgRlbOBUnzP+lqDDNPdcWMccw+UbwwfTOb5XQ/MOHPom0dgIsG0my2 +zvf/7MmP/C/FQGxmb8H77R/X0xrdCFFrxEooLdd30sWQhzGYnZxRd2plAsMhMmXL3GsLf96KjiC/ +pPChuMqduIpk+88CXMrVQrPl+SDVnPjg+e98aIBpeP+RQ3BK+PV5fzpU7SgXyAS5Co+BJzkzu3LQ +lhjgDwxtkhcdX83Cq0TDnwrEzfhPhp6ennd7bq3IvDMlTuSdlipgV4x3gjqMb56gevvD647DXMZP +lVjYwaoRlNG3JPMIzNWcc5hF0KNTJSO7zgknxqCnycEGvTUmiqFGJD8AvC+UeJPLU0OhGJFrYVxC +xZADgeFoGxbapp96edXyMuDxG1h6Z2tJrXrAot/sHhp7ph0/bTwVtfjgXB8AH9n5IBCcnbCx1NSE +5q7Wefu353W+tMLWtL7XhQAW9i8tubDHNDzZ9lT2Z/QnTwwd539ArJkZeo4HHfGfeld7yglTbIP1 +XG2FIk+0E87J3VILQ+HIuKhCQHRuNlZRLkcg95gPZVfwOTEDk0M/yJmhGkn0HuIsLKxMzts0VeRs +RhOj4Vh/qwhNCXC86wg55NfxaqwCA06oI5JNt6COJMndl6paGZZD7u15qMCFJM63Ni+7FVhMHg25 +IsDbXJo/u8/Li/Cf7l1WJTHHd64upXJI8+zBM+oGoAP9SFzbuvb3S9zs0w6MDLmxC4nU8xShpPdO +ZmDEO/yOhqewIqyUumWyONlGBZpE83heJDD4Cnv8fxnYYUy3uybP8axh8c/p60ov4X+B01zD79wi +ePDqp1hv9zaVFBgUl8kYlgzrAXxR+ix9Pkfn1pxCztOBvgZOACUepCE+6AmYaHYQsTmJtUvo4QUC +XMlGIJ/ZmxVt9xn5jM+kggp6pOM3w4bBt1gdRJPz5W41Pu8/BpvwHywgLz18jatTs2nA0JLLwfJ8 +dl7fs5ggqF/YxDhZkfeQ1pL5IFiNC68oIIu2XXaW3B7htWssDkyLKq7ecwVqoZvKQtFDH1asJhN7 +BqvO4u4JlQQKD/bUdlLPwP86qUkcz7Vnx/6p3ayWSYBdi9o1iibiqcdM2ooLqnWvMNBpYUZYY8lN +vcAgeyk+2GujyWga8UajD78KMvnJ8BOfUf6wj08XjjK9HdOhW1Q9VCcbKLvzI+6qCnffibr5oH+U +fwSZTExedqEVXcpC2GKlM1ukZRXeHgBOosjeOFAtzfcKGfYH2DcnTEFqxRELuP5HIzxS7yTR6tJR +lNHYpSm0lENk8rYfQasgMjLXK/klRiJbAXUGho5DNjkv+0gThJ7DVsEfniGKWXMM55pUzWlouSNk +u0sTwYoTmjEoz+rUbPnbatWiJ75hIBRgaz8AFLfI85Xuwhrnq3RB85Zq6XjhT7LJbZOfteuGCR6w +TsM7xbbEhtu5lIN5c5Fr4pMwdsEm3Mi3cTTg6+JQ+3yHj3v2dH3Lpe5St0Lbh+WKubpuEZABmhR+ +Q2sttqwsSyyanqE67XS0WJiZxVTSNN+Me02h5fRpNktD5i8MEyrEfCa54GtDBLZtaqW8hx6W6ARO +4M87FhvDteQxVKKgGvmN0rU3wYH9KMEjdZshIR4lEiKRN/eqH2owCl/zZ9OHBQPnUwyqukz7MlbE +gYbFyOq202zBfOiSiMX6LDwqgsQ8xUltovYWoiLl7dzCvkt8bcvYoF9BxwEFLE1GSWPvMD2qtnaO +ekcRZGxR2ivzSEYny4KLOrDgpEugOxhf9CpLuxBGd022oVyFHY8gJ1F8fYAJ7A6nHP5gMoC/6+2E +Z94tgwhrCSMLbZZx39k2y3LkyEMV0i3GEvMFctiKJf6khVvP5Nn8hosz61eGWmVi6varbGwJsqGZ +y4glF+vQJr9h8ZM1fpBLPbrdMwIWiVdggLaIKKFJ7nm1Yc+1/4Kx8cwMBvpTL1nPIfwOoNd/3DNf +yw6/yCNJ5v/ssFngB/T9hQaRVGUxKGqIk1AYYQ33wHqqxAd91lTC+ztqbe5QKmTtyDJguCn0g2MZ +HKZwUlRjnTMhGqNpQnKhD2O+tD5dsQnwZ1toqLovRDtaOKEk3/QU7BOTNEp91OFqcmh4I5tbvsor +3n3Ny/j4DghYlPS7lEJEE2QeW23YY/SMqYkhlrLMghAsHemaPA4vjDkm6MhTctRXZ1lXiJ0kXzMl +dC9eIl0XEmfn/TvJzoW8rxQHHKXwl6kJvtF+CUwwgJiPahKNN6zbbQ94F1+K1CBmU3Ld2wdUd2sA +jWXEG/zW4va8U4cYR2i0lNduHNGP1VnP0xUTClVG4wjINgt48ETxh5bFInGL1KhqZi7DpbzbXpv4 +k/GlkwI6yNAKnJs6OJs+X+D/FZaGdI86S2juLmJkvHaQjjsLVKtXfK/pO6nGEsvQEajJN1nVX3qR +0OEQoStaz3rb5fcbHP6nXp214v2vmJJuNvedlLMPHFOC78ZEguggt6UuosLOdORkGvweCjkiybgy +yOOAnarHOgO01EnOwJDslBX7FekNBXeXrcDgoQBvfqfLnUcPQFvNxMEN20InVDsjrKy1RRe+nVe5 +N5xhp7g3ZzO0Mc9SlbbduoCR06WcImzxLKoCWmvgboE9U3Gctfmpn5r6akRKUIXbDs3imNSvvRUd +hl0XG+KohcTQ9cUOTQhDWkIOfuL3rmKe+4DEohCWenKPceZ9TsGVrCToN6WT6jh2agC65pyWQg+f +wyQg8yiNCmrodXy+0EVjm0s5mU2EiobxsWfqPOeOUsoQr4zjX+dmsz6bRaXxNjBWW8ea+AL2XIq1 +F7IFSYndLJDHNTbSp7ayrWvlktmKPCn3ZYT/cKgw4qs6DU8PYAsKCaYTNb6HQS8vZiVwhhlCulzG +iOI8/rpbgDTG6JeXD3+2tZizao361xGIWIEvCTamEzEa5AkgTdwZUmwNsmPYXTpcfUS8/r3tL6MP +EBA3H/UW4KJEBPv6joPF9+6AdgSORdY4dy3z6GK1cI6L8v5ZrdmCsnqH/Qg/Vp7ASFKFnfYEB966 +T2n1XZkwk7ay6McED614zg/VCKlI8ZqUcIgM6PhllTM/q9OGyedhb8ye5Usa8AEREAkdthsvu/jQ +0TKO7gKzczRujbrTQPIj+WS9GX5d1jV+ZgPlrRlSvH32YnH8zQWnCrVpo/3lrQrbxjYwVqrqmfEQ +tSS8GuMycFA7Ds/HfSw+YIro/VlUdtJi2777N55J/d/8PXvkfhTrwzwo1Ub85yGmzrdGr4glGFDS +XQOi6CSMqYMrTG3KgsjZEL5o8Ybo4LWkYcACdx4o8mbvHE7vNTlKymnJ2Fro46t5n7mYzJ+YXKe+ +onl8y/jHKH5R4oDzuPWDEeYI4IbpPfHl+9nLZ+FrCGtdMNtmpTMELfqCx0E5mJwHzVSVYhHyAfao +CjMBp7P6Xt4E/zGNxPvXzg8UX5QIfzMJd83a0+OujOhxZHXL3xmZfmJewJmUbeGeB8qifa3e70Vh +4Fc0AMBaiuV2IXSEwvqePqocceF6tRRc4jCkoNles8CsVRlnxdC9TAMw41eZouMkQdREy4IND6gT +jWKBpfA/Xe2f8BfChjubVI+tcwkfcjhY2pMAxN3cTy1O6nrWvHSsn+TIwOvzNpQ+K2CPj1X3D4Yv +YwmWoGVW3wapFOidLZ7j8cBs2VF7HaCHxUCGJ3j25HS6nQOUzq+eyCFY5Pr+/EUvWNVVTo/R9bMT +9p02jVMJhiYo3Zz0oX8xvy/q8D1CbqSNEg4K6Jbu5sIoCsp166fa5kagQrP5JmUSZxtghZDssvOx +CnBwL5+WBtfPxkVrij51GYQ2Yypnr2OEPYpaFPagfA/G3V++8dFn37+92e42yQFbaoWHXyWvMED2 +TEOAAu5AcZWRGkeKlxvn/mAxkuOpjtQgtvmX43soBtceUeJeK4PO8kcf9Cku9xctacGB0ojTLYB8 +RkKtOpLJn6iiTyaSbsJTUzWkM1ohNUdQ0lTeWus4dbzisnLSdjl8f3JMj+k3G1GBHYt/AouZMIOq +QQX6f9pg/aPiISpD8nwQhpYdk6UCU3kkhNCHf9sF3xWU7uxh2J3wGfkjwMUazEEADGck4yDctqZE +7Dlh7jehZ0IYEEV0HDbn4jvgrvcuDjIpr7IU2oow5Vip53wTu2OTSOKfXqLUL8LhPY2ns7XpMpC8 +CE6tfucHy+K7DS4GDNiQMCbTqPoNqr9AZeihwf5FU25USwjzmueI+lM6im9mZAnhuGjveo2MgzoF +eGJiuUZhUVOiWjuVNTurkYkt4FXFuu7mX+JhzwusBVX19jEy4NBuKGzu28Q/6TFhaiYv4zSr5MCg +U+2x3wBRTifiyefnsfFUwiKYS25HMqxINkR+wuPkNJ6kw5ZueyCMHN0C87tpco2FLJYdwmIk5nRO +0tc9923GVVTQbKxyhTbUA4c5rj/0vsVUv1RyCJzk1jPfbWAkOadXGiBTZ45YN1CxmOYcL0Mit9rq +OIHGESKcsYEho5jQ+1g9FNIrm7r1KVdzJSv4qgR0rpCl2v/sVDJpKS/mG2HfyMXQVjFCr769wE20 +CV/Rv7EnPFmGRaCDpbMUdk5Jjlkl1wCXX3fR/M4mt64bSOi29V5q5IxD/h0cGjxJalJo4iltjelu +L7HXZb9gt4j2oACLczgLL1d/+HhzEjM4f42zeOl+Iq6ixkcHhZD91HuJSjY7X26kPuhZSBtAn7PL +TpVVZLzR8KnlOvOg+SW/zuWHjUER0evVchcAj3QkK2tvm13aV4FClK8FfrBpSkbFNxo2Vy2DBjZW +I6iykiXPaFSf2txLpE3MZAQZ1gBjKF2gY7ba7uFLYse/rFBlo7jgMhr2NlmaSW/g+XenYOCWK00G +7iKkLOXHa8w+lfmTyCqPoKAuT1q+GRx8P9Yz4vUBsS9sXmm8E4IbZDz5Lufl4d7eCIn2IB4Xdi1v +O+VS7Mo/vvageNQdGNgeo/YtAJ4xYLDFnvr1ayQAmBSfg1If7vEfyah4trUh952o1d8fX76fURaY +uVdvi5E3SllSAyzcIdSEu1etuvVSjJWwh5NrtPpMckX6QjZkQxLo6bevJF0X0YE1IErAs4mDVEb4 +d6pa+1OdYhonq1RP4FugOFu4q+b4RLET7IwHs9xO12TN6RzlY0mSN9I7W/bruygV0uuuDXHSVmTw +swMWfwOP42eS+ajqREIhFwDcE6Y/2r3wYMUkUaTGhO9+MVxs7g4sqhr4uf+Gft0OamJugthN5Uux +GGr/5lZvCRMRg6ZmYuSIylNcvWWGoQvNRebkyu4svF8qtGBgiQ8/NLFeIy6Zx4+2VdCVfXOD7fTU +4TCoISBdQBkeYFxS5ioEWNN1xxnGYCe1suaYAB30gCZWi/Ll/kEmqr+atEo0xykONOC2ajUbZ4CG +qGqKxBDhTx7xkMOpdC6QMyut7VU1tUlTcK7NwN4rIMuI63aXpjlsfn3ksCCA30iy36a3FNbVbSll +E5Q4gzzUZfVgERGWkTeei7KL5+zghq0LiQUBVkCsuOveBc0TeqiZprv4NM1DQDH1JRGCvLhIRiwV +jSkYhx2mYv8575ge3Lr7nkXzlxwUhM+D1Gu7NRIoGkiR83maqv7lfPUbm9B0U+qfGyqtC4e5XTDQ +I1nMQTSUTsDcO/CuATI4IX5hRgySMA8+gFJsexxQTeJG0OY3ghn34ZtoLCHAvgM1R2Z8/1y82x9e +3xOY9MyszQce4j+HW6Zc6/dfFb8DBuof52IZ0p7T/hamuG1D9IaY8F33+vJJALEbrI6SLQ6KAwCo +WE/NxhqSTrhQ9LXElqohljNOSYJvuRBgtERcy6rS+nl9ffiqo+SJFHVzn5V1i/Wj6R0UeqFd2SCb +lc3H22mB2VUs+pujxQq54rlfk3CGqv7/65gzucgvbTs5m5aZCO1BIwM6uODFacQPrFSTa7zKAG3X +S2OJuP3UYQ3VxE3FgwgvFAh4lE9XDPGT/YRogl53jSgOnK2YKqUbDia96GrwUpsjkd6ZgysALC5Y +f10Fc7rC9atL+zIWoh6oegTj7eat2Kv5TL67KAiNoLqPVdoXMqBMWKK/+hWkwt6wllCVBbTEqqsC +VBwo0Vt8d6CT0VHLjRhrx8VNg3OFlg1wU2ZOBSW+DsTB6P3Fw3lxpjrk/zvHZ4++zJ0n3TAso6of +ggP7ufl1yr5+/z0tcUIv55pOyuSm0cPdqkEWE5FdJEq1HCAIVhDJPI2i3i+3/Z9eY3T0N9u/eKsT +EOTTJB3V6Ahr/H4td+JIhKYfF7iiICvtvsuAle0GbFC77mqv1o98CFfbVlxPy3NrRbE1kQeAS+oG +An6Pm9Gwm5Nhu0AIPzV5pGede1oiJUWOsZfpgHUy1czUhQwkLXFRahbtnNMPT+7+NV2t5xlCdqQb +8P/DJE6M03gdPIZRGqSEan21qTZ6C4bC0RSZhFYvEoAM5XEa1GxHd2DwvNsCEkNr1zQnE04A/YRG +XYh2JZJNO7bb5ZoQ/9sTCu3JtASR39hZbj5pAsRYWfomgSoOQXdREPGiftG0Mk7pEN5wMJRwNRGz +fywDQMcDgdGIZpj3RB6iCqhOSEe2Vf2IPhmJV90L+2z8n19VvUDd5euvpyFu47uAfAxqR+gDWG1j +9lxiC/IuCskTyr/0PnpTDX7TUhltQk35NHcCN5zF/LLu2K3MZFxCb3VgusV/qq97eeopeS+KDp6w +3z86oVFddROFZq3POxM8DmWC045vwTY3KSscQxC4XoQJFPG5MztsfuWMGWe/1qiffVJZeV6VaQIt +yyUhbnHMMK7noq8zgiDQ6iXmqCWSHDTu/bypj0+kuusire76I6Eq0t/gbE8ichDLTgGgSt0oMJNK +nOaxlwPOqs/C+ari+Zk22tnXCnie4/88EJLk1BoeKvD+Ilc9efJNN9nzZYFHjwMRD3yISQiC5kcf +TaeQKskwfArak3CYKbD/o5iIgU80GEeCAyQVqH3hMQU6a27ug6lONN5y7VrsGlqgpSmAuL8mY6hg +UAoIVqFCsUb6O/uial8V0yzJHD1cqUMbzEXGc2BOotp5au4f7P3boYyr3Zx0XgmwgIakZ1XQgHoC +hbe+O3wvVEoCJgL/Sq05uH0g7ESzpdGRFpKOBh2RhwC+zyRyu6x/67A2VaUgctH37J5xYQBpY3/D +yXzmkTlNIfxn0zzAC9Htg4hSIEJUoL2L2JnZwgkuIUIZMSylvtw6nnOrlfedCOki5vnB2guge8+W +lZMZH2+CnuJfN1o3hRhoeWeS7ue4IzEubk7HwroKkfrkMgapiomUrTAUqdtpjd6jkc6Dw91qfcRp +rvOxSX1WXF6dOBxX4BOXe4W1fqWKBhWA2ofYXPWtxhAjdMpSbEB0wiaJ4YnhoahHOaaGUL6NQQSY +PUN6HqWwp8tUEdN7hOvtonh2UNrjWWG8VkViYB+4oW4Q9OPdm4xQ2a70s5koM2y4ZEKa5kek0McK +3BwYaUU1y2u7c0u1nAvCS/zILoWR03XVH0RmXLttlpquAmc3QQZ2nkK32BPzsRNsDEHNB5QEE88U +F5CT93vO4zeJf4GyVSauwR2AOuXyQciXEVxkWBDAElraCyEptL9rEnwHRfO3L4n8A6osCvJ8vQxq +2hIhOo6rtNgrJxiSlJbZji79jmR0WcLxe5wmOpVG3WLfURBXEUqaW6R6dgmeSucQ1qtBQv0W2n+0 +ok80P6LVgkhbu81/qJk31dGtmGK4xplrBlCrpyAlEIestBL5BBPCDd95YeUFKXtW+PBQ0qMTpBGF +BQzVg6G3fgZ+wUJ/wGl/enw6cIIiZMTvtr24tf8+NlpaxTWNYqnPzGKANexYzUlrvC2s1RVWefFF +OSmbgE+E+M17KUZP3pKmCHjGM45DzCTypGy3w42umf9BsIrfv0p8ItaIx6XUyUCb6VoxIE37+HZh +ZX3n2w0X1IdBOw/F+F5ulf3RSq9tX7ZD/J+5dWfS4nQMeK9qsdnUZqAVSF/uHCCN4lMwZUEGArcN +u7B0tIJ8YQ3eTHj0ytQpKOHOcBSK1L9MfPnOQB75eCBb4M8hjdzm94kRGTT61Iw7+cnWgy3athuJ +gAoaMlcg3IsyiT9YObEbkiZBTW/Ag5Sz1SEo5LpqpIVnb2xGXyJV17F1b6shUvYVpzALgD21WUC2 +a3RCzK2+jGeci7qZQg1Qld2ja5pKUkjRSRA4rec3h+ggyMlGtXfob+yTsArY6dpq8iqqUhRy1/da +JId2+Q0cSwqj6AidSDizOPEMQVFCwiQlio82H6BoghbfcPMJSV00bHbfyJQYffT760TzjY269eTq +zYt224ofk/ZQSlaK0DnbXBBEhJ+t2wa/ZsW+1n7Ks6hTKF8QJEhQTqfM6HBKAaJzPlBw3V9DsfwE +EPBOOL1X0mHiffw7zhe5LlScpL18l4ZJKJ1Ui+1kUXOOmV5UG6tVTm67gpKmhmx0SEbU6t+BB8xK +VufjviC4QnqfJsMAJLLi1ze4Q/sfDXcGB5K3Sg1sA3GVF1u2jZNeYRVITgqorLkAGknTDGW42zVv +SlFuZGjBDqEUuFbY9y0WE/Obj9uYJYooso2MhQCXcS3WQVQMmsx0ru+QvcZ3bcASZrsB8BeaAwZ/ +DdUGio0ax0GuZLdfPzzGLIv7ECuIs7lPsNSwhOdQIumKbBBzglQTBsYirUzZbLWLzP4fAV2SQJcz +47Qn8Y2n3E767Qelrb+fBW/tEMkLNXUJDZ/rwQj9vWLsgqsUaMTpBN3CkcMol39hIW723qnpbFdT +jcfssMK0tNT6XKAnk3GqV88pnLQ0ACxthQrhVPz76ohnbVFGAwGqLKjM8R3wbLThSgOFKOp2UbI/ +q7DQnhgJAfixDyIf0UhJfkYPDWs/CiHSxwMDr6R/7TLf8pYH+n4hi25JsUNgQNlaSEUJjw1bgU2D +VaOw+Z0ntZifuPyOE5KCbREMlfdDw9fg8OjR4Z/yVKL6hywIsv5OxUjYfAzvQhs+Und4AGyUhEki +mjUOxyAmD2Rtob77Wgl86LSVSJmd+MWGBl/nXEFBsQ+8JYFma/255MpDCCWlkQj5YSMyg/i3o0JY +DMcAX20k9EzsS/Um/BpCcjakzdBBOMRjKhCsAi17OEsdvkvjomyaL5Z0F+DIdi4CCq0g6MQ6QRWn +fAhMT8dQBvklhjVN1Q1FeD8L9LesibydZmo+UqwoF2kWIkO9pRl1uHdKY5XjNMrqjc3yTZ0uBKwy +Sn2rcjJAeIJHf16dMAn44Vk1nU8krBQaiLFrTWgB8pJCT/I+lSpkpogTy8nZvXaI/cejVblwjrxK +3aTCgFYUpOk3mjuPcXC0zPyqGIFKQm4oBqdhdg8/f6uE7xc4NYd+mK5KSO09MdisdsEqgUdCDiMh +bzN2Glq7Bcx7xubbtmhQy2z4PEGHha79mERpZ0pw9KEpD1u9xBmI/YC28SUB5b+BhFjdh3EBPrGA +/qzNEEADnBjyELpizMIBB+39EHB5xicrvkOF+kmAtrpGeYWk9782KEGOIL5jmhLvoDxaHvepaAO3 +d86HkTMhT8jzSyEfwiWz5ymqPyN7L1cWIBNz4bXx2a1nufv20K3Em55AtIiGIQZjUGieNz9M9cvX +d82erDNUCEbpD+bKB6E87c1hhKN7p03FdPORDWDd+FLhHZ2ZahrozM38agoUg+o7ZRKupMIrAkNl +lJEz0mDyenYsEBLit7Q813t2IymoafM72h5xsi3Af9z/6+cgxLx1k9ycz6qwAGIMcprTybWESicK +XispJNP9O0+cFWNepaaL9D9frwpbWKPEtaRoIQ5XCHixzDjAs39ewoUJvSoDbfefww1+ulWzwdDV +8DBfFKuvZqB2U+yrzszYjXUDP7r9+ZPv0DPLTg8nkgx1JreRMCOL6Wjg/Co59l9vNDnrmiZFmnrh +rx6r3nSrIHZeNkrGRoACwv9j+ODpS1ULsSkbNP+/DSfy2hfEBftIv0C2Vi2TUjxL7aI72mNPoGmw +krxvorLVAT0j0XRMsJmDoliXmn/9Oi2YV0M+1sHVuqVNMw/JA3N10y6JYrSbZzMAm6EdXUR4q9wb +ZuHRWe2deCZ/2hO/O8qurve6kDZKKhfksJIEz+S2fEP7/i5cagi/fQOf2juRtciFeoiOOTtSy67v +RpOlHjlbSo3VimtsTd5W2RMVjYytX4RK8Cn4XRKcTe3ZvioI7j9ECTENfFZgtzhbZCbmFPSISd6D +XTciRkU/lV+zqVfMBbz7xF6ntJ7FFnjUoW01ZHjTmAhnSbUO7EUsGOEIbFCCtTkwnA50qriC1Enf +X3NRW0vBbYwK/YEcZYTkyFrVwSmiRMYhNBTqosCxm3M0GFSkb+qCuQ2b5sX1RE007KGW87CX7Lor +EDXW0XVzZWgIB0HGZg9QBDFQPQKAi8RQj3g7DP896fsU0k4MYcCZIyNYmMgTRH+n0jOlOTtVGaQg +jJ2Od0FuC5VMm6c8YRRadUs3exMJB7KH3dmpMnMpfTwAAXUPL3d4pV2L3RoaMtGUNuwQNpu1G6e6 +ZkfOtrjlPvxOYRpPv5o/+O2/cIvvF3Qf4/b8EN8QW5QR/5925J84YfJmOb23mDdUXLiyE4l3GISp +csFmr6PlnZKAqFYajxg0Xw5k93irEr8CApuDh2qV/hrUMpVnmDXEIZyIPmWo9N+faXNTYGpriWTP +06i5s64t6BQ5+qDxlIHSsHmJJaMO7n7bgpy7dgkkyOANEVr2xiY035qNxd4kdyNky9wRO+yAXPmj +Eog9BEdeG11xazJrgOEQLdf3xL7dH03B+kepAAOW1FkBDp2qHhjyJFS6tFEGfzdHKEG9QiQht8qH +LgdtS7rTFZWYgw76+G/UlkeBoy2jO/jl5OVFEaW2qf1NE2nOOybYZOj7IcioZQZIRBOehaFlGEkk +I1ZxyzkODs8hQvtU/Vae5jbGCC2olElzP4FOQ12erx+XSCR8Uk3A742ulxYf6rAjjPagSCQ2+b5Z +kZyzRzIwZm3F/EQ1LqVQUZX4CbuYgzkXFq9i9YLBZm95LRvijPJmVL0b2tzDBgUzaeG4P4Rf0yxX +lJsk93ler4H7wVBSHUTgTyHVSUIm2CofL/u6MyL/SL4oxSiCbwWGQm/17sPSIH6zye9vLKynmZgi +DYz/Lr2WovdOy+ZuiykejzgRQM8n7/fj4awVJ9izGgnZUa1HnloXqJDnOe81AxQXTweuTOxdf8JB +CFA3GsbuEa9d1eRUPRv39G+f+eA/SViEe8DQZn89FWKXYukfSc4cF+/YjHRjYXr5/KgyOym+siEi +dGHd71XF54hZKLnv4Jd3WsNsGwYAbYD2mCdEYnHReptmwAPrkqQ4jDzKTtYzONdtu0txclV7xj37 +ags3XeffL13yu/PNNVdDOTZ9jduuazc0Nr3WxPblw8XudqyUyZna6CNYUad+UfjznxvAwSxbBKWZ +9X1+1MgxK3yH0hcTMfaJj9IJXpaO6CM/EacwG7OH8Wz/Dr5JuXnZFXR8Ma1H/eDQaCMS5qD13/wz +gmEYqHOkIVOARmpX3f5NqGH32J9XjBj91rX5GFDK2u0mMFsuAiNfwS/x70GNNkuOkL1HBROrqS3j +MvS0cnQ5ZryIMlYk2duEu9NW7lsxSQ9/iRijy0NJlKDH4s84PzlYfI4Bszqqf3yVqleEZfFzNtdD +n/fks2mui8y3ItNeLBBxUaIdejU65I4ea+3I/+eUaQ2u60SK6fgki0CAswS7E2q91Ncfm/f/rzv4 +MwEAbEsOreGcdk/Iv5zoy8j4WxdBoLxWNyT2+wJwxCraL9x+KVUBdHAMpWL9dVIOgBMpULbNolMT +YHUPLmjLtcn4LdtBm5KoO2vLsfEqkMIKV82APzkb/9e3CBmpffo5nlvwA49CJVLvKoKuhJn6V54w +ha1t364erqwfTVeclx4Jlu6EZl2pxQVPVd3ihmN4TcKg9gqs8PDa9sQRBmfqcjsE6IBRQGz/gphx +1pG4oMc4I68UBosP43nSDSNF/nxGiWOaX+1cQsU0e7fFrrsPo/YmUOGM6NSxcWi2ZShL3/rVjVhl +zuxzogWvCZsiLCbfM3Ak8YXet4In8bfl4pYJffBnDTW8aBPkXDaw6PTuv0ivRH5Im5s6NDo+UP87 +96CVtbRV8P+dXIBOSIG3SLrpHbhC/tXs8P7KWoTtzQwRer+7pOd7B9ve2RFCcVga7N4u+PnesQsh +AUsGm5zGVKIqe8l6EPznfkFJURhRDIf2DbzN+2YPq0bAIm1pYhjDzcj3mXrNC9gEJG8AM7xE7BuM +kYbVwZlLM+hHQenQkjD4ePdSsfXvmTTPV+K3jJBCcp0Topp0S9Lywsqb2xFBZM02Uvv9NkRDCxUu +wgUjPToWhMPtLrCUm0gALVhGR1uSbkb7aHzqb6ZqVJqbt7qXcdow7fJadixQQ3eC7rzFsiJrBHAE +MjGtVA+zw+cRNtYC49o31SP3RtoFent8S8EyHVWSRwQgRr9179tXdAQjhHbeLM/5XnN4FuILqZz+ +Dw6BIc+796EDtImUYIdSrE0YAZkDyNExDjr00Hwf/KQhDL6WI9Z9sUW4+B6UFyoSuPZGa4sSa2no +esGIkMHhxAMLrGb0FURWhRaGIKBbTjF7/XnERbvW4r2lpN5HKw9Fry3p6XGhqdDuba4p8HXoJlGS +4aUPHI0ZtFm84doR/nPwdDadkHqxov8c+xv6zfCNS4SaWLn+H/FdWX4gmHrMYiD3yQf7xjGAU3vU +lelFreFeDfhZUoPDq7V8h5PnttBcIrPnixqHGhucbilfteyn5fxYdv9HSNH7jWM2ytNGxJ57LB/S +YpGp+ln1u/qQtPg60SITQH6UHjXmqxZqJhB7uR0TbWJx7uEivD0RkC8n5X4D676H1NxrPtugQIyO +lEz3wa9o6uJiCaKiz74KtwDS2ItbzRjiC5U50hZ7+SxMrOE/MCONL8WwDRCXFsAfHrXa1o68EIVv +vPYrnlKRb4H3Su55m5k9/hVfuRHFZCHcDExiS1j8aFsFfNF15Hqj4UpxnqTulQHXNvZefLXl589P ++8xZSfZ0Ru7xHPpOdNBv/37Nz0VYDb2U+lf6XyKLshwwe6IpgS31P0n3bDaiddxrsYLEAufhTC9e +zWYPLfV+P5pFvDElSd4Xp9O3Hrye8vstL5a4ByTQv84XkTD3uLtv9e4Wr1q3bq5e7f/6JQJVaQ+m +LSytxjsCqN5XasgqZRUqiN+YZnPT9lngLP6a83VdWUN73psp6ojYHTfm8v+C3Z4w9vyfQg84sbLv +pvusa2uBR9UAxdN8qBbYmft+HqIVXZTLsRWziwIq4ViWUyCerDgPeoUflocJ7q7NqepHlvUP85ix +tDpM3HF6KJwxJPF4IEZZTWLP4x7vIs53d5ZfBGj0jUXNBnNQ52bguFBHnThp84MSpLwZveAuJ1h2 +eTshAViqLpw4dOaqj5zl0IHOO67QztWCo4sSntaEtxByPJXh1OsisM83ZUbU9RI7a/YEHAjhC65r +LHCEzSMo8RGtTsGeJNxd09Pg35EllgK1eDb3jtmCWsob561brDUWI/GsjmHKgvIwrvV52f7xW40l +t7KZ1vjyyKngm56Pd1oDXzlr5KllaCAxh5Fg3iNuPCcnrZAvrgqBP4FPRJ9cy736B09nkHBOPQ+8 +VylkC2l6rYhub5XCS5NCaWSeuV53TwPg92HxZEwUtGTx7C1sFLogSdNPYt8KCtonq2Z4Q1dt3OWD +qTB0/oI9KPnCNHv1em8UUgeuFeyPmlT6/V4C0a3jEqkWe3bULI9LOBmgkQnYvx+mAN9KZHsXHQTG +LZ85os04OYeFLajTdhJvCIXTKYzNmv6Zylww6ubEq1JIgF2Sk+G6QyNtlqWbnNj+XDgjHZAER2C2 +4dSdrlu9EEbs53mjX1WlT+hW0Ky7LN3BGeF9kDaQFRo26dqETCXzvXXpsHqfEoOaL2yI2u1dIPG3 +VPrIMibqWu8cxnq1JduxjWH9I8XLyk1YbIGs5ofScStMQg3/kpoFBz9Ne5JiLB6NF99KPfo4XWe8 +xVxYwDa6breyYZTePSizv6vSglZJY39Q1GmTinUXlWPdP2GCUJSFEaj/gOaVt3cDwGWTBTmnqEcU +oYwm3pQMl9hj7K9j5lcEo4VHfi434bOREkkORyj/I9GwYmT+9bchU215uFutKoOA2GdIsuTivoAn +2DHvY4yU1mk9M2f5aADxzgNftye4dIH2LB1cMsAfqiuVYUiTUE6NmAHK9EGC+OnllYN6iHULUJ5J +zk+fd2Q/76QJBsFlNbcUHXgzuDckYSK014nEuwDfPh1VHEGDdKOsQc0ObkaIVKaNKOlaT2NoaBRS +Cj0/Pzn/PHVqvPDBIql5AoQeBLebNZbP34iqx8SWDk5Xt5fJdOj9ejVSYaMQdFM7R9xLJcW9FPnY +X0Y+1Rfr/C228rSsIDPnVtFi//+Sanbl+3kui9snlQSw0/NSHOCgAAR9deBTGvpKAV9a16bCIxcg +041Fl3h8hMnQN7XC4ycBQxy43xe0Xzui3sKKvySWjGnvSrB6423mOUYSQkRSQMCGRKbcunQ0WO7O +sfty308Gr+XrNkeS9bppFJiVGAm/QSDjglQP9GO1ajN0PJdWg5Rc7lffgDyBPr6V2vqzed7crGb0 +NlfT4P5m89ZGDh8EpgYE6pmzVH85vEt2oeI9csjERxF+yjQp+MEGUbE3PB2S/8CYyX0vG1fTxHsD +qbd/TSbEscp8DbTmQ7YLWucD84KGl8RMqTHrV0Y5nIqHT12dCpOBKwqC6J9xXsLi6+KNcEpS0Q+a +juLWkKJ+vDSxQOruxMotQGhSadRhd8A0F4iDsxg0XfF2E/rp+pfenXIZ3ZlZQHxOfZ+iRDAbwGi8 +119P9bruMJQ8LNINhvVuZp4aRCyEKbEdeQ/J7GkHMHT7NLGaN/2j+sgGY91LTHsngiGfGN+3JrL5 +88aXoKqpQxgT5i5ikx2UPpoyMRB7odld3Vrur8FYLvtdq0Ad4L0Ll3yvLfMwP1PSqmRTL0yQXDn1 +1qAGLZKWCaS1WFew5LYFDRB0VYZg/pjlDiMrqufkXsdjxKnCasc4XZheMTatQknhsTaEpZBxaWmM +Z325JopNVSW+Msr3rOvDWRMixakkNwnLi3/KwdpFR3ubywF3c46sPZpwQ6+ZOnSmQrAzZmDmFjTJ +16aQk83i3XX2VPJIIHGx6iZj8bLMOk2PeOwP1Tlg+30uXNE9sawoOy+H1jazhSCmva1xmZvxNO+2 ++EnJuQ4XSZgldp6t3uhAHM9zUh2o/IbtZz8Euo/ustS673iJOjcmdn/0pVnC8fNbNS1Lkya2Oxw1 +caUdSXX7TeAAqSUlxY9zKQzpDsYiSPKrliDVISSH10+awPJP8xeDGxEeO7IU2/5srJqZD75IjxYR +XUuOF1J4Fh4TCj2solmT2OmzjGb5m5+GyUolIUu85TFdNm2ZFmrHL/L/KiVSm1+kGvU1m6dVDJTJ +/aemyD3DQJeZo1RezzCoCw94FU0eAJ3Xhq59Xycr6K6KnLgfrlfy67WwUC5NxVAuE/ILkfYCRBTe +0E4QbngaTH+0xUHR/1akJnTHl4X9Umq4UTr/+uhDl2D3xaPdo6oia5xyPGJlWfA2IW3RHggQ/+pb +tFXnemrMLw3ZbUXzUTnuNMvAuOlV0oWbbocwN++3mOS5WP6Nl1cQ7sGYufwA+BRitIf3AGbFRAnH ++BXbBU27FLqNANHqQiSrKowkaVc41joGKV+kLUDQfLzjUzz5X4dDZAxPSolelWHPOH47rZ+KtpBn ++5xP2tmvfa3XO+yuSrEDOsMlTGhyW41ufFCS35NfpLQQ2cy8Tdzyk6OLDW8ORuQx7fuOausGFvp+ +1SVL5o85SMIXsrWsHMOG6v8btqbeSC3gsTNfopglsDaAJp5nKuWMvK8OEeLdmLzRmn+5xMK21M38 +fL5eTghMiKQf3TOukolJVwliRBScFVLmVOOZYOcEgcMdK0nTwvxekaFS5uq+IJaGXPtKv5lf49vm +o+5vlDl91NE8iiY/k0OT6fqipMThIFurIlT4P1BVNOdj58iBBr7U/uI7hcC21cr7fHgaFsD5rw9a +nYL8Zp8J19d+1vxrulJOirSf0iq1wPvtxZPZtrIhivKkGr25xBk/rI6ZegsRBo5usHg7WDzLi6E9 +Lfb+zj6ndKlc4s5dnd5YI5c07yuqKb/K1nDGWBXBVHwnsz97xPXwu7xjJc1j6O9qeYslyptz68fu +mosTz241vWvyLi6V4IeUmUeRSOQt0C5kcujFAQAxJySWswqfsMJ8WQbbLN4+WUa6qIxioQ8BpzJ7 +MEsBOYmjY6ZU7O53GH4yedD1FWUjiKEE70JNAsOtrI3eUsr8AvH4zAANLl53CPWi5vS8DjJQBVO7 +7fOxovhI5vmMfnVZUWrSEaBTI7D2InumKGcd1V3IJi48HneDzk12AZBABRPfvtQ7rqkGo6xwiA2Q +v0png4RGkQ+Hikh71LG7z3B6eTMx4/U4xUC/r+fF2kOMHTrxs8X2MAJoraa0z8cXakc/p5V5XBSn ++nZIHsSPQVxYZDr1L9/H+CIHdvih5AdBRnAUFLWmdn5a2H9kjdh93mzS0oGiZiyrzoFMBZsAf915 +wbJPTwdfzSWoFHF+jTGgb4g0XQy9+rpvsE1asQogCqLzimi+n3yinlVUvScq0tWKbDf4bG6yjpSp +OHWeLLvaJLqNhcqIjeWC1AqaeeRpEH0elA1sTPfDrHCdC1QqpSrXfkm1yVU4xkarOZqRCfho2yTa +wfBKspT4s/y0ZCXTKRVFrALyXRT4k5Q64lnYRpfoKzCguLJGbdSEGZDJjoNRgFEnoh+8sKlBn2Te +tUp1pSnWVT0kjCQkto0i2bw1OCOu0+toatzrvNoK1CBD19jY5sIz0J0ghFZLuou/PkVs1ZyNHoIV +IIr/ZrRcqwsPtchgd/nhZeSMpjS2bHmR+N8+KZY6PTTDZvgNAQmJozzDg8FnOM82KesHrKwvqgC3 +8T9Kc9WVh7jevPGZwV1n7ZZ1lWKHErIKe1kCd16lNKbL7g+2c1hi9LCSOJGmEJif8UZz2TxZgHwg +efDXl9oo1q8xExmwkrgerQ42+iURGwUAB3qR/eHHJJ2hEEpOl48CYu2ytHhVJzu9g/IYHNuNPK73 +g9nfQRwGgxzYguvq2lzL4Nqp+VMu+tgJOq/QA983/yhsG5mlTYYUrKpGq6DSL/cWFVINnMuYFq2T +8qgaC/e1uivHowGxzfInhCMV7tQnjtpJ722HdMsrLikYu9TVnBt/52jhDhUaSpfUHQqShlTzLvfT +PzKTbjhutuf5QqW6zoCptIKGf9/Mx458BuPllNWtSrvi0IRRplzbrAFMDWDvYCz+3fMPt3kSp3CJ +i/buKsrUp+tHFx1ZU5KtBPSo79E04sSz9iZdMgEGHaJPeGGFsesdOTucIBBdR4KCWjlJ+FAl0Mfd +TIJ577W5kgSEDUbdADD21FicfGwKLCM3Qewr3DuQI9kpcsVcFUdMN2kQiMNr4CdzVmf32iFOtgMe +M1RruqlGqgnksboOWtpQ8KH5ylhKHpd9dKk9ZUIrFVkVfwBvoC89VW4gP4tZPq7LULkJP01dqxv3 +2RZHCtZDGeZ63UKxSUrsU6FoDAO05Ms8nFxHjMHgzhcjYvbqKPgBy0jgYA/MJnjX6Gxym9woVmIw +cmlxIo1PYO1BJ1JO/ykWzWCw7emyxggVIF/RU5SrXWZqYXIyqFLrfNk+dnEPfKkM5U27xbWS34J/ +kDEF0AzXgC6n06jylD+G/1mmn6kdw7frJQHHTOq1M8PazTrYS+M7ofBUqM2MHCkRQxnC3YgAStNo +lRvNbZ02u/xUlQWb6sGyARguJBR+GoXsvFqGO81Gg04ZhlAi9TIy27qOLeW2RqK6fCDngO2jOUXp +bI0cMDV36EwBw1qY+rme/l6zM3HF06Fe7wCZML9TapuvCdqAGP3MjYLPDtMcNUZanxTht5c9LKLw +O+eheWRf4/CuAllQsX2EF06hnfbFqkcrXvI4rlCVZPsVt/hrQGv2tvBbCbDi9T0Wu6NWA2k6tsfs +ps5UwbV+QwrCY/DKtzqVDmn2M1gmAFDJdOTkF9OFgBRP2IkZomide1opcUx8CrTfPGps2JrID7S4 +/DjPncXLFOAfZV/aLaHVpIs5mCDAJMGs6Qp6rKMUPnPebsTAf27rCdTzD1LG6logKajqsCGEXTm7 +gijZgGmffpHGy9BlYxIRs7SmdxmepVqC8UzNDY7VcwEcxksx1Ts3sDQS2tfxXZEhWi07gWzxc2yw +foW31fhIw+iXb7c1+Z8IuQ0nadroLGEM2nr6Lo5+S7R1jPQUmp9PLEjCZjYVJ0GUjWSrlux8FuST +xrNE1SupKc7mKSDs4uoQT0YQckttHzzZq6RgpE6+Y1Cg5KuN09D3mRRXpujP0/RobtMtbyymIeFm +l2zZZmNjhPctgvIkojemixtVQm9iizc9m9D2c0WoSH3H3iq5dDL8lBWjoNE2zUQi10vK9nMYNc24 +LfRLd5SJTgIT6IM+iYMDXV7jr84SfOcvqE1xPH+I8V6e8PHsVHLeRKdLNEfe/AevZWlo2HzimDT4 +T5gZSiK/smV5C2YWe+Hyrib5unzQcTebTg1lXPtXhCfmnCDpo0wLcPYB5/hvq3hbEMcZSjlEt6at +ZbwfUDkJi1JHXqMogf0IIVuLhJplvGpf237+o6JUEGvueM+v7vrxg1BnVXFfMfHd7WbrjQBADFP0 +M43hTX0Ik7Cn7ctr5QXrnHfreNfuU7wEOQRPTsX19oLB512K5LsQZrQVM0qYtWaALxPAHhgEKyGS +k1c5tD4MWAIrogCS9VbpjjSg900hpASNpkmCLaROJrJwMbYx71APXuCqwr/W3aePmMHw07iPFApg +EHuKTrx49Wv+69k6JP3CzZ0oLlhVACyJnctBz0Ah61medJXNJLKFYkJ7bXeX3Mp0MR4SA5GQxA3Z +jxaNtoIh7GWSi3UuptdfUalJVw/IDrMLiTuqUXZTbYXB0HkOOXpcq7PEuIVFlfnj6hUtvOT8jZHj +vHUwZSoJ73QIGBZYk4BTD11U7mIeKF/+ZNgXDO/2dWhAycKkh92tuuvQYUxtE776uw4Z/qWHW8vu +jEabrW/aq9bJ95sewtKwrYw+huEhBzEKa2B7zWI4DsVgUASCIyc0oK+bcCk/9+LuZ1/CaKaXv8Ev +zvoZebo8txqbZdxmTgpaKZj3XC90ZRvJA/HhRTLHrnPpqfjBAxxZlbKvopiTfBz6ZBp7y8GbOozA +qSiM3/TsdaNfZ6m0j2AnoE/J4Fr7dSAZkwQMP8tMn0uLEd7QhSt6azBxyPRTGDnfa8V11T2bRYij +sHSLdpKNsF90HCNu1xgU8SNW4OMetTsVFmnbkvbFEiIpobMYEEt26/fSXItkaQlWJX+LFsiHCqfb +DY4X/SLYWKQtNuMPwjwXrqMys9k/+4YNfvQxaVz018sFXTkukJk7rOsCVqBGY93ofWcYMDkuLpDH +YeoTfqGP6Vqals8HtQc6WGPJ9VkXIOd7Ysojeve0ptU1NgZw5lVMJkgWVdYGA19uoX4v+1R6DKou +f/OHHe3HcQamSAUPYzlfATkYI9H93uG4ih2oE4G0qEznctV5KOdHBUNF3sgtoB1AS48xGuE8JnfD +++ZeQDGLVA5bwV2Q4wOOshWfwq/nxTL9gYrm0tzMh2bGlxd2iDxxoxgoWgWArIACfQddUqsusQCc +5gGFhJ3peeU2r4gGzx7fEVWJN2nOQ5dnFe9Ugd9vxbUdxAtNT8H6MK9rY5sdFXiMk780/48C3BHx +eGgtvzC3UQ1zSIevsWg2+cT7IuREa2q/zx+wFm4qg5KtvRqsgbcLpeirIPe+rvxPAE81mcQ632sU +7lml74mYoHZkSxq2kKUfqeDF6Mcror0O/d49HWWc80pLvdH/CwNDkUNno0QWVdo4Ht0zYOLhJXeK +qXQWmVfcl23CMjZeijf6HY9UPrKY/pLcuu5E7o9pyHil1WGBIFsXjA/TmI/cSHU+8XHZihVVjoQZ +XXDjDQB7M6RTXcIWLR4+dBcSqvGvh03n4RTr/D7WiBdpUzeTLHL5MTD5iKQSz7p53J8aELYXNcmx +TWNhSZmUAr6iEtQED1hBqsqGStZsY6hCaBoREmw5p1Es/OZgYsXZv69/l3LDtKrEwiean1/sOGzV +yaaJLj3dlTudlTif2yLCndqQefEA8sX3dnm22Xref11x0w9ub9Pwc6VGg5vsQI9J4FwqK57q6wfC +eEMevOf1PVJ4n4Q4kxq1ywr5v32ffhMII/0bdI22zA57zKNyIa1VAfKLfyjMYLeJw3FC2Ymll1bx +kDKWGhwLWgP7xb+mXG8XRAa0of1GvnR8y52VkYPrHY7vgMwj/yC4g1wiTKsQqzzgC3KkDrkOV6A7 +7z1scTAjGVUpk8RoUaAitZK2RmJNDmhILY88Guz1Pwl8bMyPT1zMtLm51bWGq6SfTPCMgYfERHCH +PcJEMLxgjOHQx81490H80NuAktMff4l+y9T/Z22j3lCmWtwdOSzN1h+wJ4f9nXOPB8q+PFEqNwaO +5EwVZw3Q47AlciH/5SatXY4l8X/oivLT5eUZvjPAl5/iKk9Tmp84/THUTr6dtNHmL6qWmfYHc9ot +G2GmOKoCv0UHeamDQDzT8STUdSDzdlFDA7/kJJlHqlo/LDE9IC1aBVgVVcfTbINuW7Xcf6IPHFqv +fEoyXs9iGM61cuiGpwbYIG1C8Wu4sCOya9dLZMHtcZdveiLKCLGQbiRHRyY6jeA+iwbYjaE5D52I ++tUM3Bd4NvBiEccdr1yGVps1LFfQHH2zuEwUO+6oUL3pqKnHFv5ito6FJeKv6D1XPyXlabd7RXhm +N3/AlftAYDRoZpUfp/5Vei8WRh03qR9FkVajNoaPSUYaEM43iAmu8g4obMV51BFrAHGLmyaEwqZG +6Tl3VEHtNOrHBMLzNWLs9k+XSaQ+6QKXLV6fX90BU5Lr49YPVCoDklMVsUR0Qg8YEJccvrlX7u4N +0dT+AR7eafKhVWYVS7EqIG8fDdQd7nEE3uU0qyuk7MUwT0P9clgkG9ToyO/FcBNgalP0EHUwT7Xj +ymOKhwVBlHuRI3PUint7VSmQ3Qt62+VFmLODaBZjTRghGOmJuebr8U6WtFW7tqlKv/nVWqix6S9T +wlz8rm26tVq16xeorIqrTQxgs8zerUWHBOhOsFpQEzgqls/HbrnWO1w8jUYR6IEsyWF3YXIcL2Pp +VYiFP+N6e5Wm2i8ztGFQgELdrPXyiLWCOe0aqo7bHSM56x62eR/9CIP9qIIxGhrjbKL/Ste40WD/ +TTprtY9nm81tXV+2nbbprfJQzni4A0Yg6YIvw3C028VoxJE7i9SuCujessPzTU4FDNdMizR4+/sM +0VoKd+LpwWcqo7brY0+IEhzjOHB2e3aGgAAdU/kq78UlnPfyTyIb3nkaDH6XpQh4Y7+0omf7wMuX +GAi5jcerj3OgdSQj0HnYF5MxOpJSwvIvZixYKbsz3Mg5GAMbbyrYgRDNghf9yyVk/glnXSuBuWgT +j//8IVr/8+D/nGvzZ1MopiYmkE1hepwgzwCK/+zp355l35NU/+BoUeKIRPh/WsgtzWSvtcY22Cwd +DCwtctqawjM9u7lNy8hoB1nV4R7fbvZ+kzRHrToFY+KSWVWKpV5OhMlyhwTHEdrI5UWbKoUAPVE8 +dsFABj7rYzB0gmli2VfQreSuurtvv1ndezsbTPQvvMj4lB3sGMMQFDZRgayhYBatUNbJ61HpiktG +gzB6y1rB1XyENTo8zQA12Y+F6ugHc84yXJhrrJUcAfbjocTyQyQO5o7ikdOEvhuXbwYlzFRqbhMA +0bEUtwHomnMaYqCn8ZEwQEBoHqiF2Wr8k/430nHA0Z+0XnIaOBGMHVCeeZIlZKvpxz91TAcCrN0x +f5W/BXpuTMhUESGQw4ShZerbDhGH2iAX8GimAQGUICQcRjplPfYat6KoQHeV8pKq4oe/EVsweBIl +VUaiHcJDOZqWPRAJLwYE/sRcYFwIOsEDdj/mop73PbnYuaVn89nQnKRywn3136Is0yBYkyWBqZOm +lJJmmePodhAXdcJjoOXqQzlihn01o54MU+tfi+1Tnjn0zVtrecvhDEBk1Xge8LI70uT6OI3POZ92 +mH2Cu2b+CVKs7B8uhj4wm7QL9y7oLmWjTVSK0I0fiN6zQWfLTCd8VPwy/CcW+RA3IXajPXMUKNm+ +yhtghIb26+t70o6Mci5DXmGMfnMIcGBOGUa6aUEIHossc08Ernj32d0e6MeEhQZ9ynbD1VmJXo89 +jnGc93O+IyrrXpWxUHIG3VKN4CZQzZc+7f6YhCtpCQb4Qh9gU6W5o/Fvnrl119Y8zOCdDuObWF8P +RlS/pCKjZi9mrba3XYcxwVOQRySO+QfIYfbS363SBSLA4kUmYSxeZRVdJcOIkad+HegJV2edbbl9 +5ZEoY2UiT7XkO8ab1XgYYriZcqJpdMTPrh+vg2bh1hmp7HTHCNwgq3FtRsZ6Od0ss/PEDtkAA9Yh +S5FEftLFjSY1Wpx2RzDQpfk3CrjhsmBTqz7vHO8pHKDhCgV0Ga8D5AScULIQip9fxJFlbHbagmsY +yPDgC2sergWiOgaMKg4PsHyDA18UCitPeWf5DTAizPMiMpW63i3vQS9wbpAdaw2SvzrADFPdl8YB +4D3GMoQ91lvz08ordWaiKdl89sgmPfkk6bZ3T0UGjFJoDUVGjGfJM75aXfzEPLdslYCjMOeWykVG ++CnQqHUhLjPrUn2+1HWqXKgn58HmXrFIZHW0Ir5sqnX0OQEzj7MO1v/AhuBGedZidC77GmUHxYNz +Ywob/pR3EzbydCxA5fRZB4/WHh5X/IT5bUIW4WoSMcF7HmXZAE3jyqrzMN7wCHY42WzMwDVmobk0 +lub7dRCJfODlLyUMOD6G+IIwsV8jGBuRkYO24uPuUIhft6T/OKNGT92yRUHtnbaMJd+BJ7j2GrxS +/IvSAV6b2tHSRNBbCQ== +`protect end_protected diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/gowin_spdif_tx.vho b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/gowin_spdif_tx.vho new file mode 100644 index 0000000..639d6ea --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/gowin_spdif_tx.vho @@ -0,0 +1,1118 @@ +-- +--Written by GowinSynthesis +--Tool Version "V1.9.10.03 Education (64-bit)" +--Tue Aug 19 21:50:04 2025 + +--Source file index table: +--file0 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/SPDIF_TX/data/SPDIF_Transmitter_Top.v" +--file1 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/SPDIF_TX/data/spdif_tx_top.vp" +`protect begin_protected +`protect version="2.3" +`protect author="default" +`protect author_info="default" +`protect encrypt_agent="GOWIN" +`protect encrypt_agent_info="GOWIN Encrypt Version 2.3" + +`protect encoding=(enctype="base64", line_length=76, bytes=256) +`protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa" +`protect key_block +L0doZ7OVOZWp68n6iS+xYa3cscsibqECJ7xgXL9DoWNyjDPo5RcVmXHUpI6BZfaV0mTvyQcjlj8w +LDveQ+y+iNPr7D2UQwbZijtRdPwUOrZxjF23p4Wra0t0ssFyOhX1okz47CC+OjLt/+LDitzBxDsr +VAS3Hj81Q4IMPBNzTQE5ITC+J1WKNbcsuUrJuQe4sARajTeaNBXHnnPuWXZNcfkxT4Xs1DxY6wcH +dMqm4Z/nBei1cVWVn8Ozlmza3KaOfBNXjDYQUqEtOGTeRbNi2Ln6Baa/PMPzrazi2emVbQr9eoWg +1YJkJZ1Q2IqXVwMvwDIJLHqaNgXbhpJD3ml/0w== + +`protect encoding=(enctype="base64", line_length=76, bytes=61984) +`protect data_keyowner="default-ip-vendor" +`protect data_keyname="default-ip-key" +`protect data_method="aes128-cfb" +`protect data_block +KP/MG/c8VAIEDD56Qqaylq/VuOXFwWatpdI6KPdqliDVywDURc1I7pi//o3XXXQreJGmuYU5IU3D +pKtPsFAJus37ga602L/JlO+SygNSKiybgNryZZ8uoJHs3uXE/kcDFyHsOpES56a4UalEoM7v+XQh +PJgw/e+NwZmVjtii3L5W/sUaRmCRPPwwLuQvd9QTOHBGoMQP3nO4Tv/DiAhLcjismIFXn9DHGenM +aWxGSqwicdMkozjX6HV7UtomKHdVvnEFPy2QUAaJXl7OFRz+GD39hKP+5FDxd/S+OGRsrGqw9OwZ +jAkzcXPTbF8fr44Zz5A6maJ8mc3d+GJPnKEUPba9HL8y/Cp8TAqwiSuMLVB4mfcPVjogz5CbMo7V +o02JHf6qahi+H89RGgDPh86atjqGA/l0msK3WFXzNpE41deXO/VHs+skapd4ZrYWWwQJVpKvfqt7 +1jcOzXAjz9PdZzRJUL60T72O0qkmp7Oh94Gny1mPD3oZYpZLDO+06ubpaF1vURMLzVoWElNhtSMT +aU7oLaBoc4jUvDxeurFlPPlLCJwSkKpJTKy/Oyx/BOwKMrEq/k7HLu68muTU97cWH4GEc+ErlY/R +x2FWPQ6Zvn9VrW+8lfnrEkRr0n9aghA38ffh8UuJZHiISa17neqf1vCw3bfe+fvTe26zMKI0tD6u +ZKkrQkFTpxOoOAfXGtlPGbmQtcRacnhXM4Ypez/z6p0gclX/5x+2ysM2F/KIKgWrYt7PPOL8x8Mf +Pvwsa7BpCExRi4buxpMQTzw/cIoM3isrwOv+UgQwFY0rBevD/j6fh1Q5umAAav8695ryDQ8Z1rQc +GVWqFHMBqwJmkAmoU+K8XZ+aPL7bTOzYO5B/rt2+E2DZ+7NOUoHWf7z7ZNL3juKRE5arFtimJ1zL +GBsmXtJc+aN5BSdqWI3DF90QNVRI+1At0UTsBWXc2ZH7dQojB8cpVrrL7F4iJXZFvNyTzm4mVnqT +63IxBtjEWyN6NzFtjiWlXDg3S55jl+0zzUqRXW7UT9nHfLFr+XOCZJ5gpwGcriRrBvM+OvltxRZ4 +ELA8ziVG5A6t5bDfTO+fjkAgbrlKWy7i+8a7lmRVTZqp8fk4MprIubk5PYIN+YDqUlFkCihqjMOP +EfOQWph/1pY3/gfyS9tQ1sfpxoefXcfzf/FXsrSE8K4VIUL4tDt1/wVDPzoiFWma4BZM9+GUxilh +Oz39Y1htgLQZnFJ6R0OwvO/h4MHpAm+FOrbWUp9KIyQ2wzO0mLPfe54t0NgR7eeO7EJTJK0IgLs1 +myfMAjhhvivahuhA+SiZw4E8DkqBMzO7PlJWNHDTkatOD/r2BbF0EZskwuB/iDgyvZlA6qo8gvfF +feKRVmJYHBwVBtnRb5qaAI81Y4PFcCau8nyC0xwdBsSY3VZ2MfrJg8JxLmOP/azKvLVP42VWg7XU +yPDMTabGAWFQGa1Fx2hvYCZoeiT+BZJMctDGC9qB6UkWn47rAsgDtXV8OgHEdlRXWWcXPLnbGzd1 +x5fnAoPPk5H8ky4sJLhEOaxOTW9+zAWFpz8VGfvWZ+9FDAIoAJv4e5zhKxeH7zxBa3fOQqu5cB2g +gmiUYeapV/F0HXGXIJ/WO5y843/uhCB/ATlwTFYzMN9yBQ89g48Mqmxatf1HHRUNiqGzXAsMYwFX +XA6b+M+UNXbeWHyY9e6S+Tme9cOBwcq8QYpxnCzIkB+QOVyWJMv0sO8JC+OHW20xvzCWyJMqooeA +FCgceqOnxvbmv0FAAURAo1UrJ1Y7sXq6X8YXkD8UxowPP1n5EBx7zosqJkujB5HKs/WbwHvkEhA2 +RaORm20Vo9LfR4Vmw/wVWkoHabaI8BUmrvCbnpMR+HG5LI0IfEh8/+ZQS7UqY/hzKGDgHvnICSr3 +1B4N75q2buJGGw3BmTIbdgFBWHj/CJs6Mpm2Azs/1GY7KfH33qUIIduZkVENT9Nj9bAb/yf9aqj8 +5xYIk67ScbQkv2z4n/DrROO5nEgc70mRxMDfMtcjMXvnSMpLfKO12e25P3A/uJ6o0k3XnEDhmS0s +ANzl6fBsm5mh+A4kN/wrEA5/zUzZDe+7k6tOdZ7gznAbDEEvVJRqM0lK513zT3MLGT2eMuN7Z2W5 +I+QY5969ZKXl+OH4XoMZTqQeHydmJU9ni/29oWWbyKULD+voLZrTuBfRwCLLJ4LYCs2JnGn8SeZj +p9ViH3zyVcLsKfF9dH2z6xTyhWUQXjoEL9J0T+ufiCZmT+bnCkYLQ678F7sBgMiUJ+T+dWlm5VLP +rF/KOA7Bn/cLJECqXBCRTl/zbLbpstHRLPzjPcDCWHRuvuaMbGlDlpX+TLizibHwIvDMZuZzGZu5 +mg2TMFlDd6jpXY9knDRhaxqC0vyV4ABdR+mTL63j1lDRP65Z9ZACNGLRuJH5+OnC5kVnDOtfmLXc +SjQ2Pc97CPb39PvY005e3HWUJPor1Q7TUx54g9zUerCjLFBf1etZVDMVvDbS+mJ+OQ+zMKTtyUwp +Tuh2ta6N4Q88dUDNsuk+Tcexokp6hlt0beKcWr85C3qL76Ot7bc9SD3egxeISTTo+ySIgd6khCwg +U/7QmKx0dxIOWEpvZD+xWLOHXwEWrscv22Qvaf9lvs6XOjVYSKIgkcBEB8Z2kJD1sPMj/tHHD1jD +ljvJwmMrb3JN4Uo9Nj35K7pZzoT/f5ft+1Pi2pthMKC/Uzhly26VxBNWbCAKwqMH8Jl4f2CJJkYj +ERlWwLRQ+b/JAVnvhB9fSVq3EXbWbgitePMjJvwAUKLtFzhmq5AXHbBJxCECAMsGsnlqthwxFgJV +rw17V/DAblXXGTO64vLood6pawFQ057yS86sfEDnD0aVkc/yacFxGY12XAg9N8sTwycYhqY45DCW +DhM45gbn4manugzLPHw3kbC5tSvhuCeELlnreM5vvjECn1L7kcYyyve7Zq49ZytGpfFDY8238402 +gwdXk0z805lecNrkxa49LE8lvGjO2OPFiuGw6TO7bIrzF9HeN/wQG0t/8ADC7jJ3/Ililjz0rbCj +8O6YbLbgeNZlkLS4AKDN8xaXhStdMhqsmA29F8j9Jia7dnpa35PNF1bcNPjL8cToogmOWjKAJx74 +OLHgY0FX0p62yxzDN5c3LHrS2zmQB/NO7KSj4zZLxDSBuBDB5HpEQjsNwDnNYP0zJO8VsXuTZNL2 +QlQrztl6HZtXGj9kWZ1LuC7zqH62xq5DYLsN6oPAPvsB0kZdoServii+ESZ19paTMUfxcv3vjRkM +DMgimCKU3bAxSy/ycapH+nDhuAs73bb2NNbqOnCwRJ7vm8jQW3ppSSWajmMc/z6agoV/OpHpNsTb +cZOeGLEMDpatzzEtJtMiONMtI0DPWLF98L60jUB0vW1jNls4v3dHLVrvTPZd9zVss8Gb3vttaGq2 +AbXHY4eu4LXPGr+RdN7rqFfMiwmbzR1mUUfjmSU4jZIPHwm66QtnUr4VwBrYIY89ZV7UXAmLfuuv +fIsvGeq/aZQ/HDi6E2Hws803/AWPNeMpwvl9MGoWwcOPAweTXX7kPlKxlclVMOajlo+Th5A5Mb0/ +MsfGTp3sljS21YtTt4Xk+rLqgiv0Wb3Bd8yORc1+tzICKGCrJjhzlAq2sHXQHOcOI+goTpV771SV +/l9gFi8n6huL/4DsNz0sEw4RCJeZ8LWjJFMtD1HAX5WIlFEzZwXXzabqAQoILD6bU5bdUw8a07gW +iBQkzFpIvzngqIqy/Ndny1W0nBfpBDtNMf3UA/7Fcc+CrLrs1RKnfA9j+WOGRwybmzcIi2LXla06 +VwH+kTW1mgvVm27pbjixbwZi8IWiCWd8VDQYx3W6u59PHy4NQkN9wKmJiPHOCV7f+0LVzvP1Jxqf +Hegj1MrYWGPrBv275dMiyh+JQjp6iehzWP7uJp5fV0o4Aj/kiMbxezvl03zJ0Eb8IQXIyTZer3KI +wkXgj7ZNlt+3ucXQYCKquiJC86lGlii3mlpILvWO7Dt/iSK5vgvukeatQlmoWRrc3SWzc6ukCvkp +N5Wj2lmQMddcHdJknqKKirCyvNyOW/7f1mYYlebhmKyRN9/QUtAJ++v8bam2+Vp0Lb1zAVxGpEv2 +fOSAiEV7zIenMCelYgEMmFiHBLJ20pzv2NzpPzb/GaPrnSK1Z5HzYcwjt6UQLnAsQ9h7Oh34TXs6 +HQMjDqt3S2lZBfi1At1wqw7wmp6g21FyoUwwEBStgBqZgm9dQOrw3cu9EhtbafqwR/Sd5W/o5a2i +JkQwe/9ZeqmwGlG2z4gzGR2XGDeE9Yz6JqEBVvlGh/yj+AVctijezPJ8PqitbuAVQe34DRkm1uTl +p86fn/8W9A2QtL0wmlxFt88DvrF8BDvoxRJKD0vg8/IKxuTY82xu3Gy6TSm/ZOxhhzEghqJfSwfc +5oi91b+G8DTTYB+/OHl06P+vKuj+JSSWS1Hazq/LVPk2S+inVaPV8ToDy1Ju/knYkEp63UZwLq6/ +tq0QhdqkmFWrYa3FVKHyz8mo+kCE8T3XJwmx3gNQqIfUtSeHev9EsY4+9MX2yqz/+Cgxkaq4gaaf +nIg5xFacBWownEikgFTvENN822ELkoulpVe6XYtvGilZ810Ddj7cX1XV5IyxJgGo5324fz/8mfSm +vAkS/u8wXXdYl0iOpo7VJqhB7QK9p+uk9Fg/3OSGf8vKzKbm/Y2p4Cgb4Ql75ks3e+UTboSVWt3D +2v2pTMw7LW9PNSL5al2GhCkUx5KS+Y792smsbUz1sT4d7I0gVBFc69Gf05yHsT+OQxukLx15r4mQ +eXCbLRENt2Qx9pzFYcXiSDR+/r8PYnQ8kafL0mfdvIPctJS2xRfnr9HuekmrKbghA0zx2zpHQGrQ +TOeo5mYTezrTGpNGVRSTJzVcNl5AnpiShWLiNNEmB/R8NWeA9fmlkkqhNMEK9gm9wR4Lzsfb9tIT +P9oS8263yhjf5kQOocPFoxLHgN4g+jCOeOkiIa0U/vBDZikEpzGnlrM0DSmKACgXRDQU4qHZFpL7 +BkI/yCYYWGr11eaa6FOWr8ph5gyc9qQOI4EmZ/ui/DSTqppJhx23286pwMUJtOgzM5ZtDLABMtdB +vm8eqX5mG3HDMofZOPeOMQDLKi2PQ/LdP4C6r++eua/g+7Zax4ftx3bm4KU3fRGpmBGRWhlz4sO4 +YeX1kAVZ+K9fH3Hpw78Cl+wJF+g/FvwAbCVL6ZjpFha1V5Fg3CU6K00+5/G7iS/wzg5V/6SJ7ges +027r/eWLTd84ky4VciR376+iCOjdK9QzoDL1oYetJW1B5Dv3328MhJcTSDwNe/76y6HIKC74SrsG +mkfZ0z+fkyKk6vRD/hgODHybj/7vCS9jH6rX5mlkqm1/YdT5PuRP1Joa4c+nhM4PeSNwydkXVBlR +ZAjL0HPl1cBjWqan+X2ub5f7yPxFj5KQpfN9ZksGWkOV3mutWZUCXo8e7/ZcpWh8mZ21sV5hkCG2 +YOfXXVTtkLwAWJaup09mG4q5HOxNNPD2twsqLl3mjt5D+oZtUC9ZegS1Pwisz8VE+fNJ9AxLufOG +IxGmgSpa4TV8jPPW/6gfRP1BGtNNklpA5fAF2KnT4VBplVfnl55id42zhelnDTCWhxmnebj0AG6e +MML1+1KJLc8r5KdGwTUzrLCVSQZEaOTBh6QytKKRiLpfU9vQ3VSTuZjQY5lytO8abLMtnxsvRPf2 +2Ves485+9GesEWIRBKx78tc2qAdE4D8WOow8MikeadCwRAh/kb06XOWRWhtOsKAbbGYpzF8d007d +8/t03gqYJdoYHGa7ryby+RHH/9wYY+AwXrkzaP9w7yodmkzZM213i60m5c9ldDo4S4nxa+yBhNJS +QssMdURlE7rDlxez8E1lrqYWq0qL+X2urRx9XKX5Y/yw27wNGqs9idplHI6SIvl+0Jrv92tHCneS +Oyv9wqZxjjObnFqX7mnUoNuw9sxQNuGqHAWdEpjO1DGnkwNzmPxHnN+gjbTzXUFYuZkXHdX9dFqY +awGy7biptq07K573vv5gK0rZn5fI0COuX4Um+/GcSzTG7Pqs70iJ8k0x04XMTnPyilFEBTD8kMOT +NAJ5oW9pQhYlSWX6Ahy796KfH/s5Asi+BQ3GNlYqkWTUe3x+J4/yaKcSTIUdlfsxmFyJG1BCfWkJ +SMs1tNMENP3MaHXB71JW5rp9p/1y6jIDw1CPkq7PdG3B6atWEokACg90oYjZFKipQEbXlf2lBCDh +KmTI45aaTKrcKIMoQ4cnA0uZn7GDnEWA3Ra9RnpgXGvvq9AI20Sb8X7BdybwKjEyb9LU+EE2lSfc +O1kr3ZJcypML6UsIl3hIpPGoa1gLuTtmCliQDLjWUq7lOp59LwGtDdwCOnmji3809K0dPyAC3ZCb +87rXWhJGfDP5j4VXgBF5BU0SeLRBakg8A7c/zT9z3r/tF/KTeywwUn5T0avWvpj3VgXHiMgSmMvr +9MkB5Bo+LpIIQZ16fqugpox+g1kABuLg2tNBLpABDyblpoKg01+5f3qAuJJT/ufdGDzYpVJImNEJ +v4Bpt5VCbYPnWYy7z36PRy5vxmn3D3KJb9oT4oH2gDiksfZ9cYxV0H24uG2cDQGa+Huz9NcvcPnP +9C8RcZc50Tma/e1z/1VlobflQgaUB7GAj9UGA4WAFxqbDRl8WGdcQz99dD222epW0+RV7aU3nvsN +zDQTrhLoZm57/1O0kgN1kpTFK7JBePPFf8dCku/hPPVEDYp1brqQCl0bNO1pY+RZjSfe1VB84s+y +LaQwxXhw5/ErB2AS0EY35Zog7w8w8mRCrVu9CyikOOsHWHYJLJxFDi8lHAeibU/HTS/2k3WgAMUW +n6wLfe0cqoBTlATK5Qsjd0bx6HP/l/FwPWnpwNVhOouZSgHSszVInrSbuIYPDdKdRzTw87eII4c3 +ZQT0OUtMZUo19jf902upaDhlrn2gni09B3Q+3/2KvGL3t+Y8sNqnUkQsuMTJUSpXHJzoVKwebNiu +7+2BqFwYmtWLOEbQ1eg3wZIGiAXIf8Aoi84Rj+UFnOCSbaeXKHnINT1IxeWHPwgxaQUfLHTm0JZN +Bq0Ui1kvzS3zgkplUqTpnXSczwAcFLxK7a+bMWsWv7fJ6mZ4SM6qRmbAkkpGuWaL+qD4ndtChnRG +pd/zLdVFPzQU3XIMSxZOtDl1FOFpMVJgTygK8y30Ba+PBW9SpVwzt66CpO7F9ETnrfcWCjkz7wj+ +RsMTahnYNZeONI6U0nU6kgKCnlxJqpccou3exxeKq3M+A6B7olDqdJJ5VwMCOQtf92vXJT3o8hzl +I2NDLaL2yu9N4ejcAq/fYDn9ydQqA+1J5mSlyaVt7urY1YjBH5TUTFRveUrr1XAV5Rkuv6UStNGF +fNDhqY6ZTuyV5viBrC2v4wWx0ZTWAX5vZgzm2fBFY0mo34J+A90OFqHqx7n1VjY/yZ5X0gkFUPBX +Pt/4K+l3ZI+UnG6W+MiOWDkn0kl4VwPKvX26ADtFcItTHL8P40HOOzDRYM71Ebb87jYiY0L48maP +wYn3SgGQDzNYc8g65C08f4r5lfBE9AgAPfCyyBSPj7pMrTD/SgcUJt376ZdA10ORrPgHtgx1kvBY +1UatXvDUGlV8jXx1O2m/Dw+gkIzOfRTfu12UI7508J7Ryg+cL/+Xi5CjTpWxszUZ/GwgQzRb1vXj +zoBw+dahJURh8I98ie0QHyh7KxjGQjnVNFcfPE31QIaD+xJInNKBXz+kQ/CiPVaCVM0VBKs3C/w+ +51y72IgJeK9A+tbLsgW96WPJzTjzGdY6Pxglr4HWrxCrhrGJ3tdwKUUe6XhcFq6C6QMdldc0gLKa +0nrMxuMtIreGA/rXKA1HBFIexp3LbTvzcd+PeYeZ7ajyvwbjJNCskEbnvtXjg51HYERjBmYJgVy6 +1MKgoYnaA7xr1uCVNmJoSIAp2CkWOyWlPXDi6zYbNV2enalKAKqQM5askvEHebAAYC2wWfi6hg5f +KFTiZ5tcynp42uQbA0oI8T++8n6HwDCVAuNI+qYhyRH2y16Qm9GpKprEp2cZFqZLjJaQZ2ijkfP9 +r4+zk87iSNqw4yING+dFuulFodvUd8KN8xp+SeCCSgItwTbEq4oCINasT3may9n3A2j6GshF2MSn +BXz7kXy3Rb+OwePa7YHk+X51htzdqolmVGL7peuUUvSYo9K4wrhNRm/0meM0Dh0JCJL28Xn9+3XD +RxE5cJpPrRsYaKR0by97JemoUlulMSgC0NnkQXMtg0GG8ulKO7TMf6GTRPjP7XtcW9hCS1eBTjco +HmQndCcNvWp0bMAW1FyrfgPy9V39G9ehy6E07kGtm/QWE/FHaf0RTxy/dpNXi+V4Q3r0I1MAsylf +lw1jK9nLB590aJoV1muDZxmwiEAc+Qv/mpZKmNVgNZsJ/Iu9bYClzsOH/rtNawhNxwe9kJvgYXpC +QLL4siYVNy8Lz+aSRGbXoI5cmPRuNRk/574L8cct9jNVXA/O6P6BsP9TN1WlNkqYqWA45iir/LsG +2CTnvjp2zQdszjo2tPMDMsgXtyoJuKwQfZ2ZkebnIo1Na0IhMUdbTpyKBk1vVyCPLmQlpcG52O+1 +mo/rReK6p11Kf9Q/y/uzDQ3arEXuRGg7dvbR1hboWk4q7KtjokSZDQlwRovZPB8m9Kf6MTf3TsLf +o/aF0BgdAA6L4xGogV7Bmok56k/2Kq/ctw834PU6b/32Ci3WgFTYQ+AUtLZtjf4V3mAxo28VDyS1 +cEXHoiz+NsP6rdb6UUCuGpGIL/BHofkff/ovd04Pnq8J8n5i+DuIP89CCYXa+Zo3uG49Vuj+usak +HblpRRc7+fNsBoxDiOUoNYSkhaXNmmKJEmKauRZavvBHzsNHk3wyoyf4breMjeZ/1zgpaXGQ5Tz5 +0ZAomC6RzbHeWT6+77D3UE+s8o16MT9f0b4MeRkA5aBemJefZNim+XqfmvkKJCf4YIzrH2wB93nP +ohvuB1wIKtpDQ/vsuCLzqRnOPGK0MHcC6ECz8eeuzmofxacjziwn2LztcHjY1YfeiZVInf4k6uVY +7gndWWx027W/RJqh5VkIa8IxHhDWAQ6KUjVMiO6A6qwbDDhF0pf9eLD2uE4PETY5Qam0q2rC5tjv +38XmIbwOHgWu+OQWiJxOuZpEjF4nY+fuirsALCFbZIBobVBHKEsUADJbaqwXAgMOEO5MfnrT/OQF +tmziP3nSytM+kDj4wfYwykiS0U1Gi6Z3MJF9ZtaOVMZh5Z6opBlYvnIKOGBTgPhitoIM4+RggK0A +HsgwRTKP+Mjq8UphAkZqL6jlSEnRpELo7lpOniheeJhPK+1J/uqC+OYJx+UfzFrh06ZzWm92HlZb +V6F6YSvuDbVGFwKC1fmmI+BGbqXLXQ8tGtDaEE09WexO+eVlPHOnjSqVsTOm00+UqIdss61yzDOD +Av/yALKTDCfyncpg5h8nYQKNpHfqBIfcljav0DVdO4Kjnfz9fI4y6A28EQ7CkL98jHS/aaQCB2tI +gsoz6r+fGC2Fb4hmejKWaI8FyKTxEhViyKd6rxSnApI3/7OOI68+w278nuBl5s8SE4tco9ZiACvv +Rgj0jfcTqa3hjwSzX0n69nMLiktQgnQiSJWDLSIvYmdhPUv3zgkdQ9+87sryBFJO+AJ0w4rjtxPu +ukE5LbyCYFHlBCmd9KEIiJAiIsgKpgx76UBoRG4FsfxTsUF7y1ruFr3TUp3jCpHCJ1c5Pg4Cq4Wk +mqXwKERcqrbEALuHdPB5JRtYkrczlWJmxrDJT//TLKR4V1+oORBQgzEmNLT2QoEaKvuuDItiJC3s +sW9Z2zzeTg4j/93xVjyv4ot9UvoQXq/jr0ot5UDMgOK8WI0Yg4v5FtrN3Rqh6s3cOTibzm3bKaMr +tpZnCEi+s5g7VDzjyifyMZ5ZupLG9C5yCvs8V3JcmqyV32T5b10jjJ9pir+oWBDBpLEJyhdbCQPN +4/IS8fJlXLq26yQ3WkvRziZvzjLLgBipZwLN3TyUmykqMEwvO9mYBgx78ACD5xo+lpP7IOagLLld +qWFsLJaRGX967MjFJ60oGVyyePhm4V+YvNOc3MS0lvBtn1sdzv8EnwRpq67HheQzQU9Trqtvu/oB +fVvWINXUJ2EgjamkQ0SF85wIVpf0W88k4QuLo8OK/ApS19ZTPt8YA0hyzL1G2eZeJ5rOkfIPJPIe +wj9PZIaO5Mj/1NG05MmyJnTsEujM9Fz3BgzqzZm0fI9LBgRmVK/pxhw3xN8HcOMwi8MNc+HLIq59 +KNphKweYYVBpYwTkeq9eaZUvT/XwlyibtdrqfotYTF8MLyjLqSJNEKLUDYjoAvalTlE7xw/WlFD+ +nPKU+wsULCus2OXOniIxhkDODp/4oMXLS+X9XRDQeZDygDryOjtX2O5AJ7CBtz9t85jN1v3ov4V5 +TAgX73W6iedkV6fsMIxXhf8u3vqMaiEBmrBjbC+wIeXV9QR3ekd+VzMoTJDVV7qnZALKb82BgRGo +V6qAvvsv2CTupCDMZxv5IYkGe49tGmLVy9+Wqz77BrRHW8qIE18OgX0W0GhcJNJE8l041Kz0Jueg +IpROt8yLhRlST82yGdxPSWDyxW8wdcNUqnpRfuMOfZYxxM6kRaplvd5CgCPRS0Yy1CEZ7E+z7uZq +ZgNoGb8NjEC70LzdtESshHd9K2EXeqSu8pqYqRbx2T8TDkb9Q22t/ZNSaZImpPMQizcEGkU8lJN1 +o3tdiMKWhMUY2cHeGkENy2FJbRmIl9go6TZkfb1nXtKfuNohYaIdn7uJq+j+jBQ2VA5ecJ4wARn6 +2umvMS9WV1R7MtXFYiqwvhMyu2Z1hts9r/ChrPM873tubu5zxY4gISFPokmm0NpSF/ZiwmrYKtes +djP7zZ5Py3fqmetRsCGUJk/aaQPXLjeDeK6WIYM1Z/NGyPfOpPkvUgJh+DsNnJ2nufQHD01LCQuA +VE9QRVPkNTJ7NHSuru/HZ2BZmkCEo/Zefqk1J9aFyxHVT8KDnp8tgajRkeCLqntmQc+B2uN+/dyP +hcmtuJGU7X4HYa6O/zokUc3bNgOOs02f4VSFR+wnw6vTDfFOXjRDoQcDie5p3iLA48/q+Wk+vYiE +E7dQKwixnjcovXdTVJm1nRq0RZdQ9UbMVVPmCCG64w5ajezlL54awzu4d7EiGvC9aZld0vJCuhSW +9++UDpibK2J2u90RrI6FmYkCQR89HGBKESfW5MlIptEBYDquVaTuUhDzHytX7tDCx8znh/dJEomR +vUW9Z0lngtlvpp5xFxrHOzjhGoQQ2YsnvEIiCOXIR6PD00JMBExvOsdqjAyTlarC/kUWusyru2pM +GxUGSWA79vLXXqfcNmoAgBWA8xDfpSXPn114hexvO5jg4P99zhA6P/UmpJvrJjlneqCMaaBBk1mQ +5z5iW3c1pEKig4rs4DxBT+Lvmc7x/xEaJN4005El3kSCxVYhcqeDVNx2cCR8PEMdb9OvtVnMC/jO +9z5e7M7hPaahxP4Oz1GlMDjvgI1R0ga81q2anFfHlVyegeaPFsjrZxX+0pT297lrafNJGwgFV3Yk +LR9BJAq2oH8ooJSzPdMDDfd5P98P75UPNQZTPkPncn/VL3+3e4HW//nt0H2wqT10493L3pPHYvYm +yfjWsvh2hOYsCJ2sOtNf2OsNZRVJTk22c7/YcVBkWUONUO7sjYqkCbkSFB0pJblAx6VO2hCTfrHj +cANg0VslVErymAs+SNWTJw8lYzM2kNPRNg3CvSHJgbKnwqy7qJZLEh+0vTrnh7sNjIWme9Kzbk7E +B6UY50hHWLeULrn/qmT5Admm2zkcRTHgFvB6xZ1JWZX/8yBNDCSatfKpvQQPlebE1sdezhSixT4l +ATilBqf1GkrQ1YNp234a8M5/Mnnw7O9sus+z28zUcWQXo7qwNWe+W2asEWrXk5mPk3uAPp/U/OKR +8tNDJLqZjfs9aX/+3bM+OO9x2RhIlSX5FXvVgWRCBth2wG6kIprM7sYt+zrFAj3ff38uRXaOpTM1 +bz1/s9ds1P9kGAYWbkcILG67PEoJTKkYdvsJQ4qTCqBZLXP+pnQ491XUA1WMYc1QYfnMOLb91p2t +3C0rY+CmWblBJBSKyIlLawx7L9Xd43klCG7qIr/SL4KRZx0hVxs27XLTGQ2zr7ojieI6nitJl75b +8WK7r/CUJO4jHcJbes5kNylRKuXSReLhEp8le8tGyLgDyEU+kxDPS6cFHa7agiSZ78pdBC8GqRM6 +I3LMf1mxwGQWCKw7D3vU52/PrX+fA6d/5scLLr/Xkru/sSWLJS9I71ssAIe6YTG98SzcLA/lYeqt ++Xv+yA5772eUnbiCxn4D9nDWSlip+o7ll2lBLtXIrMFbwlH99LU3tMsTY031tSlKLHetKlvUqThR +OKAgv/ArxjeINOckkyLbzuSUnowsS0jmU31JZlRETolFdtUwx8vhwN2JTcOXHGqPC65DsjkXklm2 +avWxrWrZDGAWGmcYIZ/5jyNjSKk+eSFTgrb9tB009ekBQXKIvib4Vs4bF9TvCgJ0Jtmp3NqAkgOZ +NukUJAKljVDgpOu463h5qRS8RH+sokSjP92FjWvE9mhzWnMNI6i4BT8mDuLUhZ127UNnniW8f9+x +4a7Ekh2ZCAr6eq2kCCEXTalwNrm25kSiioyjnnbGocITzATuLmiPrTMDlCrUnsrSOUvWTxAfp9Az +8Y3/wKMKcUBm7TepHeu39/PS/0Wuos1vXuurFBR5uTsAfyb0By4c5Pl9tH/0UUX+q5tqNyBREwAV +7+oRcgysk0GjM+K33LhnliIjOd76O8DKroyVLL5aQR/sfME9KztK//qTlyO3COQgNbndGEC6904x +NBjeltVaWAlSlKpv1X3m1e2mJtcgvZw9nIYDFoxRsHKDl96Z2ZF6uV6MT7sz96przBuV+L0ohfZ/ +jmsvtiwCdQwCLphNZKL5ru0+J7Xro37AMPWOlaRcR7E/PeeW8gyMFj1VVlbpPw7UwfAWl1Z4r+7q +9f8ruSHjpSd6lzFP6XdjiSAeQLOY17vGmg9OywPkTaFJQqt/kIWhZtYLUpD/e+PdUUmpcBmY1/US +RlNNANcpuYcMH3fcVKlEvJ7c2n0Sjoq8+V8TFhMEni2B5B+lTFrvYQF9twFGE13wxU1Yo196LmGu +XSeRT+sCYjhomr27Yv8myVgdMdN2ELYhEa6+hlwyVf4il4XCXuerE0jTFUbRQgLMhoTa+ZC9QKcd +mhSZk/bSP5oYECxaEtwJPkKkbJOcR45IaXgJB9RJDswaI9K/2KklEfsgTAsnyOSB4t7fk9s8DxKv +AjE+7Nvi4w23uqiOTKhMwyJGrg13ySdt7W/3cgwum6JeCyqtHuc+XTHsdFHCLHQdJhTg6G5F1xV7 +VrXadLnAlpwmQdzeYz7a9aq25bAUZpRQIjDRJymzTkFqogLV4795Ap3PIramK22P4mx8ZBHvb392 +mh6U2/ZvyR/mKj3iTck1E7LnpbMrDSx13gM0vzxauevhE+E4CAh785cVe3jqXQ0mJaxBhBzccvwY +3qVWZLbxWj/tjoXfHWSWCw+kuBi33KLy3Kezajh6OxxsgXUvaugU9pEdM4taT3Wr70jW0SeF+7kj +rAHbd3IGOx79DzBGmJwNu5bMdaaRx1F5pcII1woqpGD2ZJzVB5huzakp0vSrM7J1wzCY1Ji6tm7l +FSweItxgqrqS1SZS36ivNQUUiNAd1NhOmB5eatXF7tIdmD3I8Eb509l9TUwI90oKLEq8LGR7+tNI +1NQgEu5lNw1EV+/L/W5O4wHmAA4iXAFB0qzQmum3v1QElFKBs4hxExhXqplQKwxI/z1e6SRJwAPR +kgNRQL+UQeXrTVmg13oC0aFmdFUyOCkO4MILsXLxPgzWEXbWhf61afleevtz975q+wx+kFhDPtbo +6tajn1q11k4Qg72UbzQFnTMEY3ieP3P3pdC/mYhRnOScHCwJGIQBf0FfZDm6P5ogjVPznJRx8leL +MPp7SyJ0RAm7siLuTPLF1KC1TQtRuiceWPJ1n7c+vlPFXF/8MqQ3X2d4bgsmo0NIH2igZaO0FiC0 ++S9erTxka8lWz4e/UOSf1SSUNLHB/cGlUrkbDXeMlyzWBcSOefF8/jFeWDhgSWJpGplHoRUa2jLa +jGUCnptmLpGMW7PZr+PU34XeJxYK1ffexbu4pf8znae5n3JB/10DxJa9kXg14t0yokvI1w2iIeLs +fTn4Zh4aBVEYRstD47T+SiFaikkFJX9eCBVYWFag5qnTjm40TrjvpXpqLLJVZwTrrAmfNyXsHaLw +ykeElwqEuK2dzs4fOIlv2oXsiqa/8M3dN6Zkffv21Po62gTmEyew4qishG7inWnEQfI7WslKbtUn +M7KBynF7Qx+QiYN2VfoFl2wqi1iUhAU52pMJ/OFY2OdNDFugXsTgt1qQRNyOmt/Z1+1f3fY4LXmu +5whsw3nUp0K3gpK0FlhLaei5f8eK88GG7o3zCZoRboqLJnDGB7r+eBnUa6XuVP8dLfGrueosBtYx +QsCAQYuodSVfUjGxyCtOfFISq1/rgbUlGRGIsD/MCXwveB+9jCLbPKt1BT0OyinVMHL+ynEXNiL8 +dEc2aNb70FTSGdIZ3ojao5pCFpj1CUMdX9o5vo3Mls5Ye82GmZ3L9qV7po4epVS20YTo1wRfgZx4 +/Pz3ceooaedpm+dsiUnKHsJ2H4Z26s+ravp2otxN2mBQVZ2igcT4zZMpe3K4aijl2z9pM1kp+ZUy +QCa4YffdCOMxVP2CUQZMTpPzqbymrcL24N4CJ56c48Jwzj/3V2g6cP2VvQKi7OXd4m+SB4CZhvFC +OT1keGGiy2k2fKyvID+5b7keeWU0H4nyr3awnlJZvnXAuIbAFxnJudFja/1V0jLvogiytNKZCDYC +2a5XFYgx8vA7bPTSq5ByjSILCAnu402SP0S7mwLPval+PJbnX7gO0UkkMmQbFcCFrbaBN6pgiNpY +4sWrrVRqMaEMtdJmjMf+3RGHlP+C18GxiYnWuEP6y08bEDfJFUEeoEQuRk7azoZY4QOllj8D7pcw +UNH2+T4+bPOlFyFXdc21LPKCYtNtYOdwNWi+Hl1U163FOnhX79LWaXJ2D1vOs0wh+hpnw4t1E0Bg +8BSybV8AjfXLaJOSYrAkpW+ocQ5FlUaSGbQhBoiDS/ZxpeVm2uf52kn0ip60nIKRAFtCfnxFKNTT ++iE9VFHHFF20VsiWeqBz+nwBI7ibBCICUIlNAYHeFLkQwtv3DaLhRD4C4gsLy7aZQfaSjspTd9an +wbNiC8EImBTAJxu7mKL9xghvBbP9MsAWuTmNAKqcRZIrNrMCwb4FV3S5vi9zb5XtJEugai0maQjJ +cn9NxWYLz858Zn00PZ3plxWYwed7to8adrtUonTWk0+3TGEEK38DP6VEaB3a/ObZt0EFghBd34ZB +fpzZToqH12bfQYGwVs0xRh8vWqniDkN4X8b3gB2U1iBUfEa2ALgysHrV5LQz31ATXjRJF6VD4Tw6 +z2Hea0/m3F5izXblaKspgqvlnDlekWn5HzcKC3p0Hpaa2GWlWnALlOsAs2a/3vviRAWJQFQPSC8g +I9ElqMQJd+CbXPGvqTQ65hCmwaZs2FgQGa3CmIOqlFFBR61sVULlojFhZ9ZGrILZ8BWmc4OYruMh +hCDbFZIt1goqHl74le2Y2eFBJIavWn9Mn+qvVnGDBOscLr4Zi50rhkdtEZDIK6uQiCUTWfGOEIcl +2eqWGionjbOjNwmB3Yji5EY40b4KM4s0vNp6/tmkGPGUnXawD7ziV8Ooe7Izibynf5U4o04mfl74 +3CVp733dKzk/grVgmWJ6V/ITt0HJd2ze7p0K2kYhp8MJE4EX9NWkAaAdYgYlfb7ymOe4t6wUDW36 +xfJ/oCXJEWiwANU+aVPfw9lrmYEX3vK+cmmZxRNwtAIE+y5c3XLaKkImiWTemikVgQBNpJqBnMTb +me29lZa7z6T5FyPozuWYgOKQ8hqaROkpTxu1Ve0k+1kh1EZUwC579RJ/919PPDJgYbnxE/HLZZoa +v6YPTOnCwryunLXLYjoy2H1oA8bF8bESvx85G4jIv9yqSKn/epJWGX+Td65SJtYtAW05b41v/EZ1 +POHSgrEv71mJJHBAiOPWXCuRD+CGd20Y/mNMzlt76KQT+dn5hrNOA3Ss5+F8iE0T+K5jkZHI6joW +S7ECn7EFLrsopKJRnqOcsuzUJSi0GvscMnQmlEe1riOQ+wi2u2gr1cfmAAKqv8v3V0A4H+1Mkhuh +qSObZpG5qs4n195yuxfRk7Cr9QHzNkMruz+QeqkijpYdYGidZiNqA+LYWMWyev2oTtQVsN3udkhf +/iL8bGjrV8+tQhw21bJ/G/3lnxwR8dNUL97gwm5az/gO2jVmFA1zgRtaz1OG53IyCYP242AGpn3B +WUxEDz2ddWiFOkkzRCEV7V9gKy9oVwcT+o4DgLy/jTNkKwFWjjPMXgcOhwnjoKo1xhk7QP/UB67j +iJid56UsgLju0bDHgxcajbArhhxDWpohepwSk4H+O1Pya3iqBoO4Xc+cMyfPbCh81LAlxFs1dJJA +Jo4XVbN+LdCZx0Kjpr6WdS/TI3sdNhFKYeoIOwRGOOuKdqUfZNDISbg+kBtNGAGQpoCXmDKQxpol +4B64VYmt8CCrJr8u5kOJkPsTivh6V2pki2KyKPaQENXa00HfWE+9D/9ybqx3CZ0OT5pfjYegg42W +T5Ldyuuf6NpOvqg9dhULaezNG7dGVwl6I9KBkCK5HYY7fkcawkSBmxvf4eUOLNuy1+ra/83ciUnr +EYs5lrffw13rMCfzrPiYHj80gEuo83FlaR+LCSD1vjuEC2P3Gnvpm0eVQe+Yh9lTBOWVTAkZV2Xv +sJtlmItWrULM5w6h9GdtoHW8cbPUfPrpHkuotIvgnOZCbvvSkubQUheKZSO2eHijpP2ePNihuWPF +nPk7aGlNsVhVkaDTBEJDJxidzgG1xsJc0RWeYTjtOd5JXNyjxXOfpDkEWFjgyZob/Rdtj3PjeZ5k +6GyWSUAXjCofOp2qL3SWm/1z3dtBFojDCpMCTIxH4RpktLyyic6YWwBHzZ+F+F7eUb4OQdS4wKin +sWmXYTEK5bxnZiUxoxqbJgK4uPx4tFtsU142Sf+iuHZaYTsnzvrlwwQ04Y7R7KkDQsjGpMh2Igt9 +cBYwkGRPiUl97nYwZEmPhpbtc7OK/ma5f04dDDJUNJw/G8ueDhsB/q1+O+gDDnnFX/t2L1j4ho+1 +SWc5bO+2p/Q3M8kLOQGHYp31zFgqNY6F+3xjJU9wtWsBNQuvydUy1w8sm1ETADlsmZ6IWsQOEkrT +euCtPcISxgnhgoB8aHB6EhwmMRJjBmoJaALh50Gg8Q9go0ZiiANnkhLOHrL9yT2n5qWuOGaXK3TC +LJYtOtjDWaLpu8jfIttHACOu7zsNeleY/EAQpm3SDG47BP+qglIAwze4C+VGCaO+13yZKoEVSuSP +1LytRlTBWrkKN3B7RTXLP3BZDYvTYjC0m9WgTtPxvudSAnYyShb18ClsLTah7QDjwSeJiI8ypMqD +ixWE2zwYE/bSr4w0qm+gkEX17S+imb602ZjuLWJbkDH7P98CPyTahRjNsuyGdpWo7wl/bXw3UlIu +btwaBn4FpFhOmCaocp/bSVnTVmLgKZBUsIJrqAZ/ZuFTw/OELVjpfzJk7d87hYfSrnYnMOX4PVM0 +jslHPeXMWga//vFCsZACbXUUFG1MRZjWCyMtuNho9TPTFR4lVNTAAF/i3FSIpFzfxZq/ARL1KMqV +i5IcdIp44HA5udBnSNmJlgVATvMjPeNhG5lVFSepoMooad7iY912eXWlf25/w4RLVdXCN/650SqE +kyU5Sc5+8s1Nzf0bNwYNimF8Vr8ujyiUO1YKfoXrBZNbpJVM9izcwG4D2TQ5eKlvQaP45f+W4H1y +h1RLN3SYYYN3x1FBFOJ95yMXaSlWIZji84ZqoMvuclBsbc40Co0dp89NBY6Df/2BaAvFD3+uXfOv +Iw9FK1ec8WNRKpwyHXWLxAtkXajQTuBPyPVR878CV3WspFGwoKHAw2OnRzq2Tt9D2V5x6eMAtfnF +RQOe2LX/bbD3x+5V+kpp4NMzrcc9nMQ7SiTLBNoyK0cLoqjbe/IbvUnVA6D2HV9VhhfVVcEH6iHt +1Phx2giCWXa1OAg5dlsM9EZvwvqoW2Q+90wlsk8FtN0fecHTZyI7pHzcVGYHJfSYtPdm29PUbz1R +1KBtEv6Rwdxukr8R4EGyZY7IhKkdNEEOB5SZfeWXHnlNv3KMg1T1/0oHV4BP5Mn+WVEkbTv3RBD3 +M6Ntoy/6gf1z+gyYLs+biIm7OD2T/TgFiuCNTQbWfKrxKyz/vUUyJvzerVwr9a2w7MLR4f1T1fqG +MN6XHmsFfwho1BlMurw+ZfxISoZulU/B+aekmfVvFgKnLJSdqDZE/aIamhC6yHcYQ/xjqANBfIDk +QdsjHiqI9szMnn8I6+fJzc1DVTmrGOSydDxLzCN+0bsIx7PQIW/sO3pO+3ydwL9Xlw6Ld7mR03R2 +fHtIxSWjT5tF5UlD5e/qJUx4Zx0ilDmAFyIpMpR8tqL4mjoMpyxFlvy3+XN03OUy74sYM0Nt22Og +1fpgVz1PWt5zIazo1TyXIJqHHItMYjH+Dd9TDfyVK7AH2hlznIPhu9fEkdklsBb9J2uU57jCzCKh +/ZxTL/Pn0m3OzAQoU5l/wpcAdXs5jarLBMcqhEq0hrRaIPh7Gib2IpLXPHloL7FsBblQsDT4YyIg +96Ev8KX0hFZLrsjFPOj7QOYS+u9OtkdnWB0hwEzHv1rfB1rqyNM2o6wSkCMOVsOik0EKy5ztySmD +UGnOoE6GajHRbFlJHCOGl6WAuGbVdBEEN2CHr1ggnp56UnfaLMAVbyv9bemPxz+DD6kSvsAPiSuE +Gz/j2NuFezfjRPOoR7qm8mPFlYK7gIInoBQuywAZig+6p48XlXNPyWF21XtSnUnC8vPQef4+a62Q +Fgkn6yEHY+uVtDbnfpvB29LXVZ971CWqW4qyQjyp/tKIpGZjJOkDEstn5JcYtfDEGFzLuIZv8gsY +KUtNSZt41MEOEZlvPTRtDt/lld/vKDxw8vjec8qJ1h/L9kExdRfQI8CR5U+4/IbIalnQQ1Jnx3Rw +YMXrVt7IU7ZYR6HmMbvFOZZ9jZwIZjMbUZOYyWdY6r20c42sHUwfdfCQBoMv9q4Ttc2YZI5bOtyN +xNNhke8xxzaa0eXQxOqGA5RE4DJjcO+QJzjPtjNpIYXbbmGM+1T52PTd+nGrF75WWR1v3hBX5YpO +sPUFlXzVZjKHYfxH5/gkKQjlFfF1xxkCd+bzp7GAcB07+GlwzYX+y+xmpzmgazvsgqLE7DE3goVr +g20uQKlV936mBgD1zwLLiOXZdgVazYfpOGm1MRd2n9V4kTrdheLMMCwinjOt6jXKGzCOnBLv4quZ +/4w05X2N/+8Z7gb77AsqloEjySxBClXN+1zw8xauhrtaDUcMFSaPuQ3274PMFDriUx9AjwAwFBWw +0Askpko1njwGjFjrQnqx3kIeOXbDn7Ryvqogc/f25rBnpHtDgkgjyNqcWJ7DPb3lVjfvMnvNPR5W +EYe8QWi/ScaVZWUYTbwJLtpJiTEFHzOSNrLnBL838AZB7UN36EYVREqE1h8phRq3qgKDLX1fKJ8W +CSZzjIwn8nOS/hyE4byMvsT//U8aaWHCf4b/xdZ1GCW7MhIk9Zt3+SuUtA6zZIr6si1rpu7ewcBG +mCs8M8fT1wCE+7xN+akFFSokhi51rtWqlAZoiO1JUTC7h1ugbrqO/+ZD6LmpFmR860QXbx8kDA43 +Q+QLtv7qXdp/s5jXTqNQ8e9TCN8rH1eVBqfDFq9wOH0bKUC+f3NoUMFOblxOmRSBstEWuCHlLD/O +WxRKBsM4xueGZKb1n/vsaOpyEehMwXrJ7Rhnht/z2ZCWms48rDcSKvKNRybb1Cu8SEBtHQwg4RFO +J/KLIABbz+pn+77vCt1nMyNPqse8WYDAekews6mHdcAntLBmnFg5RsS5B0dkT8HNsQ/rxZwxxbpm +CRcz5hWOWbfsQ+PW+BE/3ZyBM5+CBRxWFtN05sBCQ9E5bUM+tp3n3BcmH9UD1cB3xb3OXYWWTmQO +2rE31S/XjKcp5aHuSQjA6bBvKmcPp8KbhAGd0iVjvI5VN2wZ+jA/B701GASCgH6jJV5P4wjQZXlo +3vVvOopAvro2ukBjClZ7OH9B46avJDZ2/9yGUBdGZ8ZCPNXMRBPm7VlorQfaOZJWQft5RhfhRAwi +6Fcp0DeELvg+FH5zTbyOQLu0yy+Jij0yfBHq4nIfKSh6NP13E4gChVMr7gZOuiZ1sCpT9SdgUmSp +Ge3FCymMXUCR8XajCXnjEog2Q13tAOLHpnd+aiNi2waAwLctF2JqlY8TWNEFyYJJ7V6k/jzY4gou +jb570MWmwPo1H9fw0/talWBkpDFb5nPwY792QM8z18vdWkzRYST4bmI8FN54MPYYM93wGV9O2tMb +bMNS6S2mjlCWoyAJglApnti3lwolJ6CqfN/n8AMzHd9OcSEo9lx5EVsUIMSPmZgrqJdqf1WR6bhe +OWG7HrxoFrzK03lVF956Xbs+0AHoAzruugUZx1jDdhK4X9jz/7cKbAFeKdo0yV5wzHGcDehu8Agv +WJo2UhybMzqzBcGy+yDi4BnbCEGT9kJIoVldZPuJp0Qcsq2lWNI75DW7S6Do53cTgI1m6vBUErPS +2VpEOzR+Ng4Qmq0YvO4W5DBAcSbRnbTezKu33i+YPUpZvkgFCZHSRov/yHduE44MHEvApHhEI4RP +DWP7vPwBu/ZBoOVLo/D2q0OEEDd2C9aUxQVkaAngA23gGhV+tzXevP5Dvg9Lid9F31kLldGhfDW6 +Glr7sAUAXwOUUHNwWBR3/HbPSAB38Jio+ruqmb4rWcPDJP4CM1dzOUP+phIVzAzYzBZioKQObkXa +vjiS76xxyrOBri9XuD8gVc0TSTqU3t9WfLAYlW4GlQRtp0054ty1BW+9QwrhN6Kn3wUQTlLy7oHu +GS/IijF7f3Cz16Rh8ADc1qLo0BWJIxGuHXHK6tSeqjTkh3UJaz4Qj/1s3y51/c6FRhc6KbfZnD3R +GgQzR6fxJfccq+BRDltBiuB/VMN3KKXVRNbHHdwoyxFxuiConEF7C0xgV3qR5nD9TT1/eY8BsqCi +ptwzEwG3wSR5sLBn1hhN9hCjeu/2Snz7JAV59qvM/GWPJ0KZhLgVe5tXFgbsJ/pD8iqNzxpOLvN8 +NpjG+T3H7dKTfl7OaUilIIKo0cRUqdoxOXYBNtX1Ae9HWL+wkd/LUWUwxZD8a24Ijhd/Z8ehXe6k +zEENO0gNuX425vx3hZld4OIKDIZZc6f+JZt5sY+vQ1jDAMqnQnnwelfzSNhp6nmkPtG+ec3cPe3T +JYOftXEi693dFxztDt0/y9+Rvqip5c8FPeINo4eVQXd8eHQAMwq26v+44pjBpHGccKSyaf4ual/l +9HSBG4uI8yBrAx5QKLpFP3RlQ+0e3DOwpGyJEAGo/45Ne6jpz7ifDroMFQlK54YT1XYqdPwVpD1C +Fp34KX2uAHteQ2Mi5k1xhdEhaQyRLtSU0aC10AcqF4Wm5clXBt7ysTEyUBFvEX+vLEaSuFltyOrp +SjJ8XHznpWypaeydDDq0osOyNlVOYxpfZ+VDj5W5bccFZba1sQnPDXafOtnwaCMQ7IN7WUYdClvQ +Mj1Nsb9sIWeePoxsm6yFo2CYsZDU5RVNfFhf7QSfgNnqW3BqsaIchyXm1KG1kpJ+hpqIzNKOHGp2 +yT8rJ/YSG9w1RJq3KmMUQkfFSuskZ1w4EYIzf4t8A9qqh9I5ZaYeHYZWNexpxSgjlW36SWhYdzA3 +RNnbmu0dbjBHua8RCAOqnqioSeGnP+sBFVgGJhxLmRLyyb0PL34ETy/JkObo6+Nzt3BHqEov2xrO +UbAH9cqpIJRLNvpVlnIU0kgXQ+PZ3JM1Jv+S1//jle6orOdh+0pA8wrMDqw7R/KoCcpeGZUcwJTb +txJWOTOIjfzG3PDaMnZx4oyTLpcn9uLQNX1w4nAyppIBfQOqM/OkH4ivN69QrBIg/I2cCMxeSXYt +Xshh20rrOahVVkASXS9m+D1hchDOO7mfVjPbkkafAn8D2v3WSa/3PhfOXJTQuNl1Tw5LpNN83x9a +B33N7Wcn/RZuxQgWo6oe4SB/xmu2ThQToZ6ap9vcw1f7Vc+pBEzsQr7FQtJLzWVXj+juocqDAN+h +7qZx9YJ6oMX7O1/2ZIJc49uGK174NCq52clqYgPIUMKVqtYbwYCQNJeQqaQdF4O1BqGt/8mVxq5A +zioWMvIQNGIgAeBAfP2epHYX4y7/N5qSoJJEY+rm9FxzUZbKR8atUBPaZBPvOjqcB0g4wg0dLUi0 ++TCSLqcG5qT/g6PfQCCXCQADqKlTOEbl1bClPrSUn5y/uqoTWqtGi3mep/v8C5FwSTAl6jx0vW6D +E4D3ON19TfhrBBdeNXznZPOApeZXfPT1X0OI7WyE/kMBauW99HbXm6mhNsrF+9D2JMGTO5PWzTEp +V39R7l3wm5UEbY/RQ9tzbrOHw6PCqW4RX77qC9lEBEMhKKJobDekZQlGdcNMLhuTi739fs/snyPg +CTw5ls+2ImVtP6JbUYfG568uan2fDuEveGudVBktL8Zh0TsXrCAsQtbdLBhJf3p3OkA5d7ZaX+TD +H3rjclS+XfhgOYeFWG419kKjQDYRdznuO19B8gz5ilnP93VW4C7aoXln33trzgGMU7xypELu+RzP +VZntGWupZkHixdedqRlAK3qfCZuiS986xnwALml0pb0gzsQq4Sgg2/rIExhACCGIj7OfFY+cO/F5 +4oRBmJmYj8o5flRZQRV43qpYh+3EUUWpjTpRaTSYKZe2nFysy45TfVK38Yko8w+GvlJ8V7HMn3Z4 +vw85pDOrqz6lmuucxUH+SQ9xGUiRy9cPDBNLzd5uFmNQYbm29XdDMiisBr/A5cvpX4Vh4Hqcj+ro +bqPpxnSeiXtsPRppiXGHIX/4tF7HJQ1g5CfuA/enpUzE502dslriDl5IGU+7qqnblfsM8f4snqCq +g3Hk+P7aCF321bl24hYzL6NO6Kmi0DLIqk1Dri0ODAlvUljoxVojPZcA8W7qO6zP8D6qYi0ET8+d +ILzm/vZR9A7U/57Xgql7JNDQ0kxpT068pzP7b1ud2oN9v7PVjlKfii/3DLySN6bm/e9Mc8c6d0Jn +UtjNn3TrfSqL2SZOvupD4OjpCj6f+wbNGboED7K0gsS1Icz98RVYJnss3GyDnAlPWSt+Ra2k2xNh +vIBt5EHceDJPC2eYub0BUah3IA9aDM+XFfXriFKHquJCWRDJrETOj+i8EH1qElFw3z+VIVzeW6t+ +mJHEE+R+gN84j/ASmFWFU+EGTWLwT6oZeDzQjqfgWkh1IGPpk79lnrv7qF6+Q6lr1iq64FBtCu9J +3aYkpQFGcukY7Aw8Gyuovm6oKGegv/wAkcVMPuMtay/sZrntkYlNLELkw3Xfwud5Oqedo0OXswVP +L/Z3LJEs5/aLjSm0oEznkP9f1L33PAA9OYqzIsKtXKiH/xK6JNOhupgSf0S0Xe/37Oef266BT+Yj +wBNEfoR+ywtbHq71nSWlsqLBqSgzXfmQemtYm1dGaigfsMRIqCL3gApgatlcFpTfACvT7KlJ6dq6 +SYeMR+qBEEdEYCieI0aXqI0439yHWaB92si5UTtfD55JAYc2ONDU3OAGMF8FX8otew12+bH2a/Hn +n0K0iZhCnMTexokXe0gn9MH3S1oc78ofYkNTSRLPDVqauy3dOGP95FAAknXWysdVIh6aa3tpRKV1 +UwHQJDm5SQYj4Z6yk3kItxfKEjaq1nd7o1Qywaggna7zI8zssi617+jGga84nYSOLm9ot2g7Q5Lv +ivqb8fQLLZ49T4v5S7VQM7p741whBjlP5FRwUKVvSCUpIBr+dyLqmJRai7X2KFGNCwCoSBecZasx +4boi3Qc4zAQZW421GXMXbVLORgzNxbU9iLAKN6GW0eKa3P6Vj54V1btQQYYZYHmmetpJfRT2rlzU +OfLc/+wTPD9LOqDTEMl+pesdQ5YcybheoZBEu1R06wRlSvFVF7eiElIGV2cFpv+mHEkquFvO64Ie +g3iBjoS3YZTG2/VigWtAsRqqDEAhprK21PfLbR4iWQFnkVkmx2PaSgg46nqvnCa2KrrzbWksslRj +DtJbhzo4nnTHnix+QVymoJTmTohhf2W5d2buI9cuHLBGvU6YjbxDJssyExYO2VABf3ObFT3um4YN +BH/f17iNxvUZNSQ1xAK2eMXk1NzQzJX7RtXNFUtooAqC3KuOVsYIgEvI3IvD4XyS6xN1GAY4u7JO +TodlkKQQlWllMtVtEsOf9q3SljF5H3w2P0xVNr6Kvi33cIEm+eLfhsXhsQIxwxjwsjaROATRQYH7 +B06QPRgvZ/SvvRr6A2XHHBGNGRoK6PiozPeO3u0mBPyFLbVP11/aZgODF9lchCYnzP/6V5M6S9Cy +iysckth7paiWATKiLxVo7lgmueDG6UCWoJI08GgIwSX6UPrSu72bHlFUp55q1H5GlckGcuduh3J2 +nI6HvGySFgYKWtLE073u0FxDTd47snc7NiN6dwdyDa/dgujmYhvbeFRFlMmEnujf5X0aBpqu/kMj +6KcK0818zOJSjy54Vz8Oedwltlv9XPq6YKS9jW55fdgWeMv+J8NmEsFni702++A9W28AoHD1z6P3 +lQGNN/+x44lBcAQRWrcZ7xrbWut0tCgTLV9hrF0xEn6FXbUXh3dbbmY0ikHlFct5HLxUm3DRrPj/ +JGLa4y27gvuzHTML1+pVijpbLLABPr24NXyFHVOCsTULrCxtRGh9UwNI8aKkSvy1iya+a+Q0zeIZ +9LjLzlDiKQwGZLb3B974a+QGc28ZMgJPCu6+MO1Ejxx4hLw6CRTlGvmEqsTX7wSLTKHCEYPX1dnE +DC8+p4ykmFOyKSXZA3/Xq3l5N0nXc8orWo5IkxeMk/f062co47fO0pu60qAnML+JXr48a21STy2X +afPLevmc4c8XITbYL8LJE6SMUyPLVcJbCFMT3LpDG7I0Da7JAtqkg1uIw7rA22gcjE/8hismvqwE +tqCY2PMf/78mLBTyLP15tfBUsSpEJ96yqKuHKNPQMae/VS8iQUN8MQxw6NEnvWbqE7j93HAxihTe +S1n2aP4LiPU4V2nvoXbN1TR4nqaoVQ83xrytjvfgYLH7DQfpQKf/WBm4c9NvRXKGS+hXzuFk+c1P +KV+iPHG0Pvh8zMrS/Ia3j5VvV1B6WFRUD3ScHkYwIzTYPhcyUkTnHitsrCxzx4dUuJthEWS9cnaS +3G70l4LzgJwFHRDiFyMU70nKZg8oZ14SR8yp54RlJB7zTRGYXonuwk7SamOt8MaK/thYGFLNakz9 +0+OIJZCE+4Hepl40ppB25oUJJ2QIshANYy3le9GUb7JYQK93Q17Fh+TJyK30w1yA8GpBN975xf9A +anWv9bROlCGkLWrn9lSwB1LUDnvyrA+/52zUWOsKFG1R1RbtTz9FNpA6q1cxEsP0M5MmMYD4bUil +kl+EOVP7/szkx++FXw0rWEekqvoTYmYUXEoqXKdVC0EnVjpsHMsVL/pX65KdCqppV0hSbvaoYPkz +JLcoT/Q0O3rSxeIGtC+Rhl8WyAgqW18qO+4E9MrKhRUUM8aVtno4Aw6BhpKnUWRudFaMWMyfozJt +QR7uYPcRIjBrGXm+CjXgm+Fdc6Jlex11FKuDY/Z8Gn/6dvPP3NsVM7HjyA/jlVsvsbkT6x7K4CH1 +sQg48UEevsMCG4MNcm9D5qIclUyjmSSdLtevi5sfheB0fjBa7nFtJ1Va0uFe2HNl1RbH2RZgoud6 +DzCMqSBu+bnF7OKFFuLB7RvE4ipMvo0gw95K47a3osFIDYJZd8CoHRRiKaxfr0jcUmZDPAv0dSwU +9HRPwP9TTNYVmpqXJNi4yYJYikL9amB2o7D3/WP7UaV2inXWY6aDRHXAxqKpsFoJgr2VGyMy88gw +iV9gK8fq6R0nEZyqb8dTDp2a7MRXXfcQh6mrmG4INvS+ybBi3uFHKAA4Etey2VEeh5lK0yHwaiNp +bQMMDga4WrwwSs51UaGHZWvknEWNxATk62tv5A8MGbyF7sqqrJv8B2ZCp3nzjQNepPWmEhtZrcw+ +vo46omsQ99x8+v5ccLzyhPsG7fSvjyZp7Gi+olY0Ki+kKuFoN19fDBJHCrJEDiholSnxjIggBSFW +ZJBPh8zkzHBQ9Hb5MoIW3woajrrPHBU06my3qPZqj0UJa88t63fEsyKpwli5kA3sSKGagkbLg0oA +839xdPY45BxmRYKSlAcldvnDaHUbQlRJoGQvyIQ+qe2e+dOhiN6wf68twJ6NKIubAWMK5G145cjg +HPRIaJHIjNm1cua+2U+Yd8S/PwLkbfgl3+qWV8YBdvnwoJBeNOnbIM8cxrgMejVaSx/tOAlfFz7q +iUJ2EgSBhjXvWJyd/Qq6j9kHxWD+cdMww17xBXmpZSlyP85dsOS6iPlM47RnF/lhgPYqUVaxO3sz +bWlTc+DUAUQfb3yS33lY6E6eC6FTNuCdveg+sXKlX/hd240DhX7jXY3psIQEuedk8Jwy4qdX5Pvs +CCKByClVajXi1+QZHXmaOZT2/l4JtsioAlJG3Gj4cBEIBZTP+P+6ALTvtOjTIq/6pOYKybOiEa5V +sUq48tw5avRB7GIsOfLuCUDfFWxnfI69sHxcq8RVHvUoWe3mFWYhREnp2cCCZ4jbCGE2VimhyQaL +hVde3e3qk512xTQBfdtZc2ztfPwKagozHjxyI19FyUauoRd+g4LPWf/KEk3zNzvtSItOnJOWjl4U +fF8Rxf5LAVdwCQbSv5u3+7VMrTB6La11swXryDctx3DDcLFc8QQkmURJFuTjL2TRCLB2V8y2MyPi +OawDHQqRo39YLFHFQeHTXEcAl58QA9NLyxM6zSJy7e0Mnp7Lr6e2GHR+l+91IxGYjT0JWYKnxRCH +GUTaAEn8Ko7gMXvT6SNFWpAA7cOfga1fAele/ZRkyjR50iIutcmzKPy3WeRyXP3hx9CRtQPQCu+7 +APLnjIpr4+aPxNXNzvHK+1g2G0lM//yMHU3mK92N8/1BlAEssptNpc/D8SKmP2XaLpNb0L94qI3W +38EoO3VtH4DTlTzVZpGdwCQupzF6AawA7eampeXb5g2nkd1sEz4vSFrGOJr+nygwDd1fDESDFWNr +cqePPFWNIfER0Xfw569IQSmbs0f3mvOkafvYeDnGjyeMZmKGUc1XwM+cSXqiZU66c6IzlCTLZJWa +xWBQU1QxJV4d55iGeeIj6P+Oip5EuGdWzBOX2Shxw28LIZvX1l9JNQWioUkPhCHRBqt0SWeqmHPX +OK4YkFXw86ShtoPMjRZkm0Zb3DB+OWLB2j3BhHoyq0tqEUc/eipRWG0Ll+54sgEDNRuTQaTNtU4I +A63fd/L0d2DNrtkRTpoU9IdFIHOcDyjKxZAhrYGGBpPQN/QZHQy1bBfU9u1jFKtxUvTQMMDeIUv7 +Cwp+rFkr9SEFHH4nf0kgTwwM2dRYq5kBvW54TF/LrzB4iDmsBTCo4eCoS/SnHpQ+iLm+1fvyM1Q+ +t+GfBfLUbB5BHuw1mnq1fEVw/g/JDrrIgbruZc7nnLmbvVWq94i/5bEejaZAZUyu5LgzohN39GnB +xg0bT7lB76sX5G9ioygqWJNtYnRLPvGvKpmFSyTUZH5M/xvHQiUO1v08APkvvYaJIe0xxtFaV4Zl +/Hi/SHDKao8kgEWobdPPdnNN7PGH1XpSmgQMhK6o4ksgb8aXYqOhRC3WqadVPefhvsy0X42gATrK +HNAgbtkQrsRWYE3+jwTejFzk6EG2g+sR8T/eDfI9U+iqQ9YrJpBONSKVS2Jfey52BE7eE8znm5ro ++WuLMldzJEpoLPuEOPxhGzmwp4S0qNUOgHOhySa0ZYljOJD/0e25YO3U+hIPyIkkDTZ0MckfUW6O +ELAyIhHqGJxYT87RRqXOAOu1wnvfT9TauzDiDjXXvG2+x9t73UIIyc3w3FqyaDTeVzVaUfRm15Hz +6t3CzXC0UWUWzGPf9yaFJj/s/cRrp5cz9a5C4C/putJetUqa7NsGwQ9IGl0FtO9VsCay9D/B7v26 +Tuvk71ZSSou5p1I46gLwb3QjX1d5guQQhLv94KbbHr8RGJRlaq81mwQjqLh2HS1uQwBAV3L+0u7B +EFNSCUR+W62DErfhrhjjAEl2LXpecNDGaylVJe7ylH6CCOsh2TPV5TMhZ9c9QCK5sg0Djrq7fxVo +rQx1i/bWUf8ON038zRNbX8t16QK0N29rcXYaWv0CRvBu+7h6cbAjbxwAKgotJKKyK3rllyiVJTuT +atKaGS5ZqG72QfBDIhap5ptBhmJbofy5Be1mf7VI4SGioChxwg3uOWsDZJ+K9kXa02nlQk9bESuE +dH3GOtF7gEGFAWExIQElhJ/dCpAWwW56zkMr6LdQHAZdhbdjURQjWbJZkdo1wslHKL9N3cVpcBTU +bieGeA8VQWy+PliXwPqwKjVtkUgS2PDLfq/9v4qsuwV0EJlsjWoJPmHnKP4nncGE6qEbmJbs2Isi +mlkTiNvJ9yWFRMet6H4bMz8BUqXtcla2ui+qQAUZxKoEQ+IPFCJTtiZ/fpFlWvdWsDX4dswo/KDP +abfhedHqevNxESaC22e0n58007DYc3LOj8thQRf5NLLwFb2xOwraL1c27RRKwwZnbSLRRqItYwiS +uGFt+A7XXaGD1VM/9ZfAauLdt+07CIxeGke7Bj0d7faqwMNDzEBp0Q9fhLB1nY+LbLZVuh6Q93TJ +Jly4chEBUDu2JQzjsTnK836vvNnBVoSR/nvv31z6l+sEejkM8mm/ER65yBHHtQ7vhD1OEWjvNWnV +VJdtERoOD1kiqrCjxycauSvc1huUF8eldE7MgNzeGLxKk3wTgDDCnXLfRwLJv2Svr9pPjjQ0V/DE +gGVY3hYHt4l+k244FVhGNCgAfzmLG3Bn6ZzK9AkFOd5okk+kQ0a34tFCynm+u+0jZYOL3JBJlR1E +/QRY+5GIGuKTSj+RyBVg753Oe3VFNihGU6IpOX4i1nP3O3WaP4OGsh9F5J3gkWAIVC+eUHcgPwPi +3vke/mCQldvmsojo9ZnlvjsDT6KqAnt6AlQXUXCtrhg5SCM18ukSWBsuzoqNWfH3wWGhWQ6TgERH +7e8UEMLXzetVDluGQbzOi3hGsNYEAuOUqcs3GQYerXhCFHV7XtwwFYUvxp9xGHMznyHEppPvpAOm +vR/pcNB2/Wcfls3Ui+P60V2w/Lpfg8ySa6aJEdKyPYi3D7fN2QL9L686FGqfyb2IZ9JjSMgM20un +RqfSKpGXvKzAiQGrGYkIDH5Uky/jr4jVgqH1Hb80yt/fb24i3gDuUly/v7zDQOml7t5jZyAyT5Mv +CzFLpoTdFS5tZY0bpLR1obZFsGpwhId7DFMGVV/gtiSDJR08IhqBO9wqFr+q9Ms7Ua+l6tyTFsEY +kqIUDv3IOhr6uviT+VoAAsgBF5Tbn3IEtO1Z1qdhXwX7F8llMPYtg4dw1CiQ1389BKgRzqa+CJjy +IwImiJH3OeS8e286TyHXNAHMqD3Sp5JUNIXyLIsudUNdtFUKbsNi9E8zuLRACujAWzbCyku/kajE +kdA6845xfphhJoPN326/7pRoKvs3FIxixHF30Uq7R7lvzHkmuvGjrT/9onnBDR2nL5Tm82zAONST +N8WGLMc2YrmoFcK8XvTGfCd8QQTOqrqLAB6jwB8FCGH52UEziI0pTWMNElrMq2ZZ+NlvAp6gI6Yh +wvsd4g8gLqwOeAb0cH9A1Gr66pxfug7VtRXTYGjv0feq/amrhiA2y24U3FPIEvPEzkUtZsg20fU9 +n1ltHoS3n1+ntp0nrRqrubAXzXKWiWwaGBAfERZXIzN+N6ShnB1XBU7UFjZqQVlI8d7L3AItpcOW +DC62wsqv4/uei1VDuGvZRHgImd8h2tQ/XBGCNWQWzu/ZcTqUFxU0NHlysgJJ8wd21wIV/lDW86bl +V60J4QDqTmsVPCMkDRHN2/krohGuOBjPPx2MYKKkxouv69E0zuOGl9AdAYwCznrE0Y3haHblMRQy +3T7jKi2U+nclscxaKpwiZFPAt8383Gx/+iZ6QdKLSb5e3zpRCIMaoc1u1gTCFcSUfc08jjqamEET +Kl7xL9kDNRQYNc/PuRhE9bAEibd3A/BRcsIJ5d9kFZYbTjOZne9qz/teYQlTaSPiwPxNhnBFOhnC +555xrNowMVQVgzEsfuY+E0PKrvKLdryu9oEkiNFvYA4TaWm7Dtt57N5zUXuFfWNVAA6hB7cY4xGW +uB/HZObgWdW2Oz50Y8JbIhcX/W7FhTBfMr6mNdcdjTDM8xSxIOv/Pl5B/eeXeg3L+HbspaioEVPE +8RbmtMEEWtT8A72UBXQeOvx/3Pdc08I6WBTNgyfzw2M2v6rR2BttPtTSdtuFc64u0aEOY10m8dcB +rvNX8RIBGtRtBONRzbJFwPQc59uLJvTTBUR5XCRdfKwmclhakPnareHLx4RWAeFU8lY3wA49ee5S +mAscuJyEo6Q+knuDcW7IymvgMZ3Q5CIUYby+j+eI6H0Xf0Dp9AE0QSXyHnTGJC6lxasGSXsn7gBg +vCRsoD2kGfBrPRre17w+RH9dlaodTtUAnLk5cvmMP8QxH9XhfRIazj3A58CZVArrx/bXWcWc7YjL +7CjG0mdis2WXJaZPYNkDe4wuxmFMc43EwdhQkWVJ0O3LijGE1jyFcYL4tfoNTSY6e2iKJnJc+mdv +4DWRktnNXgrZtQwOVkX+DOEwzNBqmNxnvOl/UxWDeX0Tq4gI5gjsH+VNl4Xt1F8Y7Qag7kz2pMeo +2QdMIMeOsQQib876bLV/yS3ooUna+4jpa28sMSf4EWoOKzJnhGfBpO1OGw8uyYa1jZQQR8rgsTO3 +43tpRMKj3tSHJrzd2mTTw+Ns+sINv7eRW46IKeLRJ3budLcxtYNX9nuy08/jPcPTPNe3Kpe/fDs4 +6kkQMnLw6ikHDXbKeOmunOy8jQQvYgVGPeInpqzlRQD7ppU6G453m89Tmna+0/Vy8u5nizpohEAV +CRVdcMW91TBUyYoOip4u3ElobJB5JcDFuDEf/EpCzuxFVpUzWQOpgawAQRVzlcIahu3+LSA9k7wo +RoO1N23d1KsoDn6PPSFKJd4sSuyEZTQacIl0z6LDuxCs1yHdo60hosaLJhdEwDYhbULgUEdTHDor +XJ1z62zou28AYYwjkORmnqxRARqvYR4lG3nSn6NvRPVQrtpgyw2b1h+e62egsvGMifW5phmLAl9V +McF7YeKR+avTJRBAXX7XS3MRwoQgKiUaCxdSP9lAVwxW5S1lTAmWIcMYccWtXjk1r8tYohEdQzdm +1mLh9stUYscfgqywUfyCepqBvqMROe8nzDuue0ck0yzhOz10WUbq7AhctJP1WejBxqto5Gro3iPb +7C0q9P9lFFSi7XWUOguxJoE3xTz79CoCM06ObJzLGbn4RR2TPlYkv2xuxuqwdTPOMrdzAyggKnTN +iw8GCN/v8Z7a/IX9nT2o3DYgJcHpx09ygZP/drJRspc3ityZNNJiRt5Ne9cSiPyetbsI90mon/ZX +tNroOmqUJCgMKZt0nyoJJokVxUm944O+tAOyKZOImHx8I1Id3NroNjPSiCHdzAYoWLDRq9A9zccA +nXjlvJHAKDj/+/WT9cW45btUdU8+hZZV8YJqTlLfsVFDIjvGSWxgxouoMbqQHA4hLYIGDRjIYoVw +2WNZqGtH5inNOgHtScW+kIgNZZ7qyWvnB80ETmm5cKSSRuIoD9IfU1dLh7WBFfvwRHajzrgt2vLl +p5qt078epBGKxVFiM2OJUIhRTxpZ1ip9eyvBwpDFcs40WYts1pIqpLRB77PHDtdbqmxc81kXtagI +LhfTv7SPia8kApDjYKNTDSLdxYGe2We7YJ60Y4tns3dbLy3r4rs9KyQpmltxk2crG4dwKQEY/dts +nYj5frCK64WRMYilbMGq3f4ppNBIJkUOKpL6Hbg4xSupo/OnbdO/ASouA7+sOl7UULfHFClm4FdF +6FpXGUCYewAhu+WOVIyrpoXt1kzZiM0sSN/HJ1o43iWnCahAWD8ctHo6xwU8mpv0SYKsPrHnYl6Q +in3ncH1oHx6DFIrvr31yS2riUmcURZICPqI0qQEiOLeL6ItaGl/jGb9WEJr5QCcBQvCl9K+PefT8 +9M0AtZ8EHENFe2KT4QMoBzeyNgQ56YtyQt7/LwJFhiNjTH7nOj1qF16ZmmZ6o94Vfafh43psKKqb +dlOaVD5gJMne0/tLQbGGux3h88Kb8Jg0pmjv0Q4nYYuKolZ0e5szyG2GYP/u/+xupyjVZJvo8Dv3 +z8l+GXTqvdUZTzgbpI/w+dcGEomHRFBG/2Ssfe6FGQBymCq4eJZxJYz4zvi+y5yHTRvcpsBSjG8f +libr6HWzunPYd1hDEP/aI9I2KIFxP9EEB9MI27o9mDZ1FXTLiTmKaVu+mFVboSJqgWuX+pN6Rkt7 +0RYMbVFPbXoNeanUPPCVBD/Ie9GeCuxm1UfBwc6gYYy0dGYHfcHOcfX4X24H1FflIWx86a6PsnPl +vtMrilMsx6vbysREyMsyFSdoMo9jNk287t6sjUFePvQvT0a7HiPtY8dlj8LFSFCWQXZaRtPmELMc +3blx5dx7N2YFIFqyLmDRV+vrrHWdOoiJJcHQza2BiPZY/QHPqqNo8QEEUKiwboCm2mDjwkVcnLO1 +VZLmggdZcMKhiAVF5fZLfH6SJ0s4HaP9bQHz7HwFCV5FXBGPe9C/IMhfk1eBSlDjheACRvTPL9zj +rkgIo0wXjGHIRAk92obJuQ9B+31wkakufAGWhbuRr64PDxx4BTOS5MlA4P5W7Sc/sP6F5RoIsvZC +kMKRnVsst5F3Bupj0uxtQ3TF/sCwaZKG6YGzloKzVKP9Wk6DYE2JQy39CAwdK4Gm+qzEYVsVUGlL +9vG+H2fsLi8ypRmLoFojsYV1czUn4GiA6qVoydTvOhLvPZI0xHn1CZ4GBfxzEfrrwtERo50iow2z +07Q9l/WXuOXuPyxXcEQvomT29StTiE8wv35NeOFRkkldHcukGT8sKeeXFtvOEpmyCEdDIqUMgDwS +O1A4wgieg0dCj9jvMumEbqLdmMhDWYnLpylX/44s3F+sgZuw2rlVstmZHI/fpeVmhD/TSS4uZAMn +2cUvq/ZsD+lE4iMY7BxnE8hqTsrSe7bo3Q4VL+4+yfn6IT71DfXvL3fu0dIxPuJTio2KYLRsfrKl +4JHnLuVaX3E2OU9Rq3VBCt1gLdgjcwthxw2A+BSGcBqeg7M5sgGhC5Yt7RqQPVvi0ieZOgnO5k4N +mqHu5nx8UKMI5jHYXPaKVXl7AQzF/hwOy3nCLOdXfFcG6iJsOVVBTw1igoTQ0vnBnSuxr0yQowoD +SbTqnSrkCScFn9jNiYYP8zAGiaEpiYpL4vlQZIxv54kCHRWg+ndpp0wdJ08cLUsBMCkU+l8BEtJa +KkdURSTHYRECXwP+Nc4iqcbrypGxn0YTHADXqqXZlR6/od75WBZJwlAgYaqRZgObOMzvzlr/6b4M +wHdo6FMBGi1SOjVbKWJZBRmgtzMukhFH1nA6JDjFDrcSO8yGsAfZ1LXa7IBBg3dkqavMMi9YjYJ9 +rg25Mj7dstRTK94YEEcDOAkmQD8/6+wW11gpe+dB8UWRtRt3GHLUBHS4I5QEUNc+voeyyCLbUmz0 +Qf12YyfBF8sCEcLu7PO+UGduv33obJeDYWSToh1xPUVszNaRE56rWebkvqMRk4hdKW0RKhfn2L/3 +c9lziHtYZUK8IZqYFJR6PcPm4eTjWA55IF+hmndbnkxTINiqx6X5eFGorIraKGXPf0Ke2uKWotya +9g3ud1k53bPsy5/BXNeJEnu1S7YLb0B3TVTOK1le5q/rK7ilOeDMft0vcSSp+fMtiUNEAcxSwzaG +JigQzyU5GPqW0dqKGdkho7YitxDtkNd9srleRxHtRO28ZrENgFWkTncco868k68t/oDKjmaYr5h6 +66ASVmXyfVftBh6Z+1wf4LjM7b21ed3NgIQCLhdG2Jno4IwLd43/EY90iHS70zYTMrL86fKIXDB0 +bA7tMtKjjAKs6n1u8xtzh08qW/jSUEBONYv1yo3PxMqnDFt+CnT5qCj18p4RB0UtbTaudabE6i5B +sosPK89w0kD22INsdvCumZokkxGUfEXXnEcVyJPTEBmrEPZkH0XvKWLc1Fzx/hjbWYPAUI/maqG4 +6QkVWhCix/4ZqgUjha47BSWh7kHju2DX1wDddsINfGany/bUG/IT2rAaT1LB0+ygE3eou72nKDrk +eRxy7ckCH+ByVvAkZF3o0GW5zPOmHG6+34kcQvgqRO/cquRZO+2RfgWmld5vX7qYhtrqHMzG69RA +SYcrhn4DcRCUCWsH6lwkPKpxv/km5fmcrBuZtZNJZwJzVjkerMSkex32e7TbpMAhuiJiwqx7A78A +hqYTcfFx7qKXvbgFOPF4GMVzsZVxaqmCDiLriUPajx97CNjUG/U8q12XQg6lK2RBEPbqIFp9SXf6 +vp9hDHphtrt+hjwXXq2ehPn2fo2XRj8qD/+1fgn9eDh6JQCG2M+2KiO+6wjB8bBCWgevs1L/eysJ +F/LtnLbHZ/GQ2cQLNjc4mK8i51OB5YC+y41gxQf/XdeU8pN4i6I1qsfhQPhaPQWPWaDbcwA1YJWS +nWIAHbPwhE1UAinQFElFvjGPl/3VvaHUzZLy4U/ZEtJYojkziIi0KB4ToKamRbi0qzQJf3B8dCmR +8E+K+7KqdgTV79WyygVb60mBXSt/o1MgcbbEYG7vqIqLW9HQ1oEYQqorEdtQr7guiuezdMdyNWb/ +IpKHFJlDRfdZtx1nUr/7sR5Nkw1Owe9t9lO4vflxUEY3nOvN3q8IzIoQN6gPyW1B4M1FtPvpuISb +tn1W9FW6QiBFPG2b5AyC52twpQxw0gNxc1thhAHLVyDO71KZEDYCHCIYzp4bAbEFb16cLRHqM4WY +wg5B2Q8TDi3huypW1hm5HOa5B3L3/09XxBIrIcYa73AFox4YzLs7qEq4VQODgZEMZucmSoBEx6uh +2vzAjcrcCjioOH20Ojw5bNDhrQnZLAwpuiXpf3z8i5wRGCzPY78r6UQyEZN3dGQ0+5ijt/6p1Jr4 +lRA1Hl48Sm2ztbosXNkksZgCX+i9C2FStNfcbi6plGoqwo7esZLysOc/JzLofUxA63QWbHHinhPg +4kYH5lqO+zB9n+8OAfTJ5ECN0K26n7alSEBfb6G3O15nHGvHvQbq48k3dnrXRxFR21EAWjQrv91l +zcB5H7YPH9zBQYfpKg8CEvc2avtwub5kaoTIDeJZ+FesePQcgQtACF+nLx+4bQ4Arx4gJbtymovK ++76XTgtW/Lr382bOf9azMb/1aEnKRRVW+EPvV07rcso+FXlTYqe5SB7szu0+n93lvxw9a9ivC2ag +iqOnYgk6bH8XDcmGMg879lEeDPYbuRnZgwAaUYog0rIFLMBgDMQPWIxkCdeVnI1fWNk/drDehTJL +wmoAC2u687xI2go4cS2PExmFak3dLxNCGbcB9wcGq1N3rJ00ItuhwWrFSsmyuQpzZSjXlDG8b2t4 +e/c14Z+LyTgupaSwJGv+2X/DqJ0GN2Tj77QH9S84OtUsLREa0CWa839B4ABDseF6wxiWNqF0pJFT +tdRqgobcqIERdw9xaZ30DiXHT0cnijPIbHjsaDw3/f+Z2krR4BJ50F2Q0cYHRa7MJRV8vDd2glEe +uHccWIKGSAFmmqxAGV9I7HmwspzDdfaMtGGzCSLiWifsPOueR3WPgzCEk8vTmTFdbberILOMVqPV +33EnrSYe7LkL2PR1PxHYNfUlTFsJVIPw/ULdDLoH5Tt3T/fsM11UvyJFp1uWRa0SJDT48v+PVfDS +ueBtx7SetsSIqnO+PouYFOQZhX3Vpp/FmGK6tr2OKri2bfFt2OON0P+33XP19lyc2TL4nsfbAxz2 +DctvBrDxkiR2UbkJ4TGHGQFrL5/kmDorp0l0t5nFmEZIGcLcjnShkAo0Cj7EjYDKiix86K8eIgH+ +kQRuUOGG94VFZJaL3MiUGB4UKH74r/X9blf6Acgus11mYULAmU5G5dQ3UwzLySKj2BN4tpeN7BBG +CrXY8w38M4CwoiOX8r0Cc+kSvtcq83mNFLRR1QICxsGAyZHDc41U7CEFKoO1Ktm+TF+/5BHMFM13 +K9+2kTM76bq29qE6UnR8cDT0Ib6DXCXULvM+f12odiafCG9VQbK2riIpGf+PkJXUxzoqd9uIAlAd +zyO/S0oxSOjymo1Uim/TCQ8Ev5FEc1DC0R1W06ux0dQEcS0upOx7zIM3nexNPhmYu73X8n6ms1YC +hboe3Vpn5CYKTleHE0t29eZTvxZrCEI3n74StFShvzWEMslUFOKGkQDGyCakrJLAca1917r8h+zh +YqAzTPgkAxenfq6S4944VfkgC7uh213noIejiHJXpknQyWfFwbGoZn6laVitjTzFjb7SFMO9fT9B +cDwpSU2V+1BoZLy5pIH+0Zef/Wl2bYzADRwvM9uOjuZ/KBK5DdayaJsCcgh9Aa1ruceWkipayOAt +cNRYYiA2jM7AuFRfFE+xz6bFoRw9DH4R0tflJGB7elvTmWgI8sng+2u2++Jj+krq4CVGvrqvP/Vf +Gqa9dTBt7MfeGw37jYmqQfqIFvUsbwQMh88EwqGx44sx0PgAHK3PeiR/0zqmL7jLxKIk35zzE/e+ +a1tI3lNlNW0GwladeOdOU5/Srx4IWQho8Wk32ylh3Hod0+qsnxAkzxEXvw0d6kfDnIO9YMg1OLLT +QUPteppVSzxJr7u3HWBLi4UYJuteWj8qWdgKdnYL3k0fIabdhpvDTKZ8qmwiRYOrW6N9wgvdyODo +0/Tacat2EpuGNuY9Rkb7AqXiboa9tm6fS/XgF37frOqROF57maC23py7FmtGVHsJqDfRjEfj/JcE +u5vnA7k0ePusji2AEpWPdZAxn1+msgppXEue/JB2m88aDrmEP/H5FSBgbZ/Am8Qx4QCvOIoFnbIX +K99m0Pv1FUVCl4dIEoTNVz1V3N8OW+qhXaKbJlxwId2BpEwFYBWyk+IMOTyevfpcOHRN+3DJ0Nen +Vna1gYJdR+8NsQJqvwLD3gOahYKIc4stIJX50oF5Oc0hax6PTwBiwJMc5qSV8/YpL7Q+pnu8Yoo9 +6PmOxz0COd7Aq0Sks5S3/NYGIISkkTIkcJc7mTukOxd76CRb+hyGMm0QTHeD4acNFVuJAvdSoHlT +zd9kj2QjQLgbQlnDvu5Ya909mM0FI671DAcNnggGL1lMWIb+9uSTjsReWiG588bi6vw8HD6MC1Rp +GXzGMBd689Ipg3o9LERt6jqcWxuOiDryuH5Sa9DKej+jx4UyS0nnOVS9XAaSNbsld2vM8NUHzeQV +AOpOhMBGKHx9Bc+McURYqKRe9+1Qo3EeJSPxvm/+eaeiOU3s0syPq9t6s6C5GjtSr6pg893jCwFP +v4oxefHFBXrJ/OUSi51RLIzdFgjKejpwrreTxBpdu0sdV287pdkX7tncZDY/h3KYa6Cn/BwK9u1R +U7KfENzAAh7TCIcqU15hG89MOiWnswx8QlZ52AjhnKYD8NYRp0kINi94oiX9ZizM18kD7x+OFTMo +jdN+GV2giDbiUMNdG34WbkqKQNYc/eT0Hvrc5f2IK6OoyJrgjMDMnigQqYMiRHoVhjM9AijcmXG3 +WjgENlB7SaMG7qnsRSwG93qPJRzqOgYHV+Ca5tWO9cvAEkcKMvRituLw9L+0+6jOiRrOfZVOtcso +dU6ScduUFcplBaoLzyzbFet+j7pByu/XqLoqT8xUPizHgy20LDAiSkHKrXrLL+CeN/ngx/W7My5i +rOMWofcFbfs1luVklBFveXthLTFjRsy/bxkjDz5913qDxHg4c5bVeVRKleWZmH5//r7RNUlMMEDn +1QPHHdGpneCYnSrJPrXO784RdRpJw5JLySBQBdrhi72W9SIZAmEm5R+h9xq1Db7uLSAeHJvmzxyQ +y89HH+Nb7aK9Xo42qOm3yVL+K+OhJVGXHZMl70H3cakqc16E6gtiTaI4XbbsUMIVZV2bbGrJhmbv +tA9iyv3lWovfaX8uEBvlxn3oK3vy7j9G/ayj/ZRFJ6TeMGRIrGC+vlu1can6QfbnPq6hYOA+2aP4 +X11qNPFJc3CYfu3no0usSKgREPWbLp0I0WI4wrMNe1YVwq3doE1+69ZafbmfUTkluP8PoJCSFtd+ +YTCzHQnwX4B5SHOb37wrNo0DADbWWyzSJy1mtb8Npyibv0yEidkix1YO2YcdpAGkRAMsLO3CRprF +Wc4lSg5VGs6cWfg9g3wd0jY5QUF8FY2xQ5PIgeJPKhUXCpFtwNrhiziBNpxawA+TtKDXF5hqavec +ae/koy3b9Ga3c4YOwktyIx5J0jPNRFdVlMu1ZbMFBCyrdV/jczxOkGit/wmEiMVY5wJJaHJFEwYi +zU+pZbgrQmsMjdTQcZ8TJp8tKK4Gcsi5NmWw/HSh4mq0q5S37u4j/T4YKjYjhMqUeTnBQv5QAqCH +ES+BL0lrDpvApYILlXMuvJpAA86prqtTEY5pN29vJx0Q0638ilq6Xk4/0TOJlkSDkThPCLZYMjR2 +SPkFtFqKgjhCwYt3n7CGV+uAW1bCxy2M7i04LDAWAwsWup196RIL8tXZ/GJNbs/k65Se325hGGCl +p6U2Zuvrzh/zdJF+b3UgakgYv91TjfqyK0/KIb605n/qjGG5gtt2sndlZ0ihWw8Mfu6B/mHobY1q +8v8xwygcQrLg57eDdzpIObzIhs0nYBnnpUVkk22dlqYZDcBFMuUhIZl3g9fI0Am75JAwYLtLDMJc +J3IG8M1T4qRo+NNk/b9twj5exhc2TSydgdq/g3UK+OJCC6NObXYt6vz4LkXvvoNOTp9+gaNgz1fQ +tVZmvCFkOdbZbbhS7NdldynFPWRvHbhQS3Uef65NXFw8L3sPR6zcSccQE8nnbV1H6r6kZS6clQN5 +Rm828VRXDgTSvYh8HC7qOCT52zD40FhWskXezc2Kj+7Ir2UQA9bMZhU2vRKL6Kc0Em2YWqmkeTkj +cfSc5ZJiueTMnDzJw/Jdo76D9yuKRfZ9WAvD+hdOhB4OGb3lj5c2TgDkW3lRveYs0+ZK37hDzXMv +2cptcdti6IRO/qrtIW0Amv+WPRvsypZ75Hu57gB0UBc2GL38j+cDOefyjmb9xlYNarLavMqciKbZ +UW7lp2yXnzFd/ytwGncJG4+GG7PctTF5X0fpDWcKC4F5Bko9awSiJyNxSZBMkONN82dpTUFUkUGo +QBDALd+aAVPXm34x5bMYDYA1opoxkhd7Qy796RJME27gy5AcvXHbn6zFfywi3mIKYjvR3/lj/PHi +AIc0pOd9oF65m3+r2dm1nuYWSYptm9gxNVlt22UaVmcQP5N4vG7vDWeY8EaVaoE/rJiWQl9Udtp5 +/ChcrY3JrA7yqJppvgIjLuaSpbTiu6J8J4+ln/Xokbc5B3t9/9yVwPNasuG2bS2RDlesg6liBJdh +RZaZUchTIUCJUnONwZcwX9bYz/sCNUtAlYbE+dEkm/D5ODL5LpGKSfL4YczDFuEzAFjCveUKF2bJ +/pSQiQz7S4Uo7KprHg8FDo31ych+NNphwAcVcshYFyd9RP0pD6m072EQBdhYghDfuhKZqo6yynqs +iUnfZPQOnx9srYyRow/h8RJf/AhC4kwbRr9XH4nfD2M2d8rCoJE2uwvi7g5XQ2JlstbdolmMdJ96 ++5EqCz641Dz85YflgYIt07UJy5DFdcYVOYR+vUoXJ5R/6Ha+4rjVWVwAXsBbtU3GCv/vS5X8DcUj +4F5GFrI8Yxlk2XkhL26iJVAT+DHiL9+tIO4rCpqEq36RTNp0qdM9I8FHhko5geNlpZ0IfcGumFhD +5ui2cRpb+l+z+HAUOkOYqftERsM7l1pPFex5zWxd3hny0/dwyDWoJ/UrwvIpH4A2eZ99my8pG8Ab +vwUZEj3Y6HNYU6FIhztXDYqYUSJzNiY2XM7bTVMqiEudtfnpKT7oa8+23eCsq0g6qPmKq1QfKUKC +k5/E4mVxdo0lUuuHl8IZgpSJGpnqFUVZBO+W+6Ppg4++6za0bU31riABLW7kpNPTg+/DRNr8EJGX +hxHVg5xVyvRpqWZSez0spMqGhgArAQHdG48rhP+6Qr9CU96liCOaeTYwj/QO9GxEyWyIivxdxSL4 +WtTOzTkJfhA975atOiXjrsL3jo53iMbmsK7JpIi1DUVXMMKeLFPtdn6kvaNBYLHgtiVKws1NSTPH +SBjPoEfQ4X+df6Y+MP6vx57sOR8t+AgWilRyE/tlnRtgnqJiRHp52bnDRI/x2dgZwEDmzHEqahM0 +Cc2hJbwmNamwjWFeR848qJvwyd6GfFyFrVMUaiCoVN/T0rCh6VahjTu5ZgSA7VzwaqVYa+O/Fl0O +xyMZX7uRZ6ibmrFucWHSaAl6vkXL2y4p79kH2JwiAYRn8Ax70ErldPKqKEOiQ9BWhnHVf1w+KSIm +BZo1RU9cg48zJquAcTWzLIkrs2VXBgZVm8za1815mecQFG/Ua6dPINwMYt0omRIdXB5TzT7Yx9k+ +ikBHQew4pVrNQgZdkG5ff4911hwCQiMF5RT0RklU+xgf25JBgCGfnlpBQhq3xFIJTHO6S5+apRY+ +VTtvTf6SwDgb5gZZ9u9mkxk93/25j4VY6SdSRrYQQpye/u0WE0vpJYOj2zLMDQjGXeTpQK05MKBP +RCpPp1YmQMvHcf9T77LhUxxIlH6ZUg92EDthzqkU/UPEkzkdaOgx92KwMZYsxGdftKoXBRMzx9XU +goX47sakSm5ytiZlJcxZs9ZcBPcIO3s896zctJxfF+/Zk2uAn/YuOrm+xoceeFEzJF8vntCoMxlC +7GuOKHmLu8qxN4BLq+xYmH9Pjw0GrXNBnnTUB9wN2G65buKawvZO8BQ/G1uJU+OabQoTKAngN8a/ +v8cYJWx1EGA3tMSuIplqt9oqAUskoW1LJbBoS8rkT/ClvfkSSTH6hOrr9TIKN81Vkwj82yIxNSrF +Ga6ACh3WqdsDi+YjBTYPdEYvNhnPGcW0pTogGfkq/PNeR6qNW0Cc+HUo4aWv7/vPXUu6C8VrRcS1 +Pku4TnC18igSNa8x2maP3NN6hLI0lEUrf8jYWySP5TJ4Qrg1324muVYgrXYaMrGBIUq6GHiOTGwH +or8DB+HrShv/M3APS4leudCC0pMTPtXO7TNUSn98vxAP3SC5A71psf43iALjRKmJFzZ0uOAmJuf6 +4ULgoQKLjAf9NM2TdVJseLcCEIYKilNrPalUCL0x62dyQycyqX8wPAeZwA9/dz5WiPeOgTTpZQNN +ATCzm/8fGaILdVFRnBe/QCMxZ1VgBMS6b4EKKzD8tSchgM3Abg+afzCbuwNrgc4iLbPdcQsEuZla +YZAmfbCMa2Y39ILl1cqvQzlRAIS+XbRgs6lgValqZQXZQTzeUMmQs+7ke8jPk0ldW1GUo9f87Xk4 +EPq4VZ1NVT6iCTs9nJ39zzhgVGeovv048e1H19vtNzrLOmj7VtSzSPtyFe7MqwLE6H85nunxZDFN +jnbNz+OyjX1usMxXUfIxbtYq+DfhJOx/geVOiN0EPYYMm41ycqoEqgGJykcBIU6eyYCo8zLANWfE +0HDoKMcDC4bP+CJDJ3447a8tqs9KELpyImuH4VpsvIb9ts1cC3NamUUiKOv9Bx0TxknsZGJEKi2b +XtrYUodyKIHPmVyQQ2k/KK9h0qbCyBE1wn5PWEDlG+8RlIIl2Rj75G6mHTlCuxg5p7yBWkk2PsXy +l2eO4ghoPEocL21elvAd6+lKqEagEStXzKCCd+P/3/GPD0HxPuAXW7U8T1gbxu1sovn1pspBNWHC +KF/Cf/Fz2mknprV10ACwiVHxDckEy7YymGDpcqjaMQeOipcPaWRoK0sZ5v8IDxz6i9dMXPhnJns6 +RPayuTQ/fHiBMcLWftrzjMGVvhw/r/bqsRaFgO3DL1s/xA7r+jeCzXnK+59gD5C+auN8TIQYm9xj +oDYsYF0o/24msvzFI+AcaBSm99EbtkrJstdvn4lAbrGAuppyu/g661Gb9r/whNdvIH9u7eVsyy0D +fQarhuu4YGUFQV5iNQgDoMw60AR81ws3xKwoFOYLXLKu/RPxGr/KHgpr1LPlnqjAj14X8ikvJkpg +vOaif7DN35s6BOmLnB8WrJ8V7KvFnh7kbpZsBhUdHdNHwOgCpLFXYBTxfvAyJ9clYTOW4RQoo6aS +H4xORZILJPUOk48gyQP+xjo1Ht6pTmvloPo2Zzpzri2VLUn8gg8hfy/GMpmSTviC3FZafhAsZVxI +DoPiDW90yzJeLYRoMsZLH/RLY/4GBYteDXiIPmkZBV8zShYRITeDo8/7x7QYakiVNNOPwpdnVxB/ +giXMCd9qUNRVjQDcZMTw7D6Ts3um2xy6ckdUmpBj2Tw04AHPt9YUz495TYNxVPyYff7zzTTNIX7+ +FRZaWindZIHWlb8pHOX4Sehl7ZoLK60MQAoPMULjXl2c980FtdL6/TICCUVRFaBvwiJSZGjMJ9P2 +RV5NmMQptjpLi5nE0VlyZ1WEcL6tva+fJwuuH7OVbNfNsSmrRyHKyCIAi5oUnw4ISMoq2rMOjteJ +b5Tqv2+seBYrM490OTgHoKupvJCSGjtI2fA5dNw4o2uZtXSoH+gp6ngce2ORK5RIZw3kv4yVEpzv +1HgIrYATv08CRAhPOs68PkgqBaXsUafjWj54qKJLzWEeqJe2VtJS3U8hJSPU1M/pdVm1GKWJmOgf +5KYYWSDLtyWHOx7h8SGPERUORDHQIh9zFN6/T+SCuzfe7vOIHWLs7/f7DXSEm7yoYBhzeREJmmm2 +nzUOLbGixoCbU9cXRKEeLFxQ2Q2Bndom302/DvI4DTyaoMRyGkDQVa3iFBbi1K0+CcmpgH/lHUcN +5A3K0n+hZ7mIqqRMma3me4eViHMvfcB3q/hyDnQH258mk75B2YE78wZ2HBx1wSmea2ZRx9TnrMOS +B1cCdXjxGUAIJs+mU553oko9O/po1tRXHG2XZjGNAbebyReAbW3s12dt3UGTivxXQCcPBBCM18cn +R6i/te3m2egUI2jQOYC8HQxAhp4KfKwUw/OZAEqPv9qf2NM0Y5kdZFcA0zfhnO882r/vZqqrqtxu +ZDqA0Mk5EszDdK2/tA8Oe1vqjSCcxLa0R6dpBj0v1deFtuFDL//0IqTiNUEBGkgh24vIksBltQMR +EtCDR4/ci3+yrPFHyDFl8kqf0t/M+Ys2JImRbu0KklWYVjO73vDcKeJiCuvFIFvl+QCBj7exQT/R +KNKEqtr1v/9xyIKuVwi1gHSThTijTc4WdSmRX/RE03x4Kn9W7qKbuSeYxFa5zeTrOQVu5iA9E19i +VV+PSXri3DAuRdHBqg50DegW/CIHYZ0hCCyRmI9kdag/V/8CgdhnIi+eVo9i5PbAOBHMNnXQU/GF +2KMa5tBpCXvcuCbgKNSkexEVw8Hv+zXuxhWKzLw80czQc2ozM/nBZEqGsMgbHIVSF07mp0scfFIU +HbLefgkHYiLCu+FIKzcOnfkT9QrYCW15fQeTmsa1T5a6hP3pD+DrzZH8F29TVTlyPwOrHDcH/CZY +fP5OmAP/uQeDC1v2E2IsQu9CuLQOfaJ1RH//LxXTPf2CpzzXrO4bjYJabVRm4J+xnh5k1u5+L5uc +Y0wM37TkfZ0U9q3My2/AOcjvA7PssSo8ABQfLW6/+OydehAUDVnSnfpVm+/D3DNunS4ABWAfggAC +jOc7LD9U6dR7RBecWIVVZRAYGclOBLYTJZt8XnXQqpI0JBd2f7IO9BWoF+VoraAjao6TaoT5ZUQO +QHfyn21pbUhfGJyeS/JH1RZy/eHMoJtr16Y6wUFJdvoAP0BcNF8RMmVifrWm6H/8aUEB2s2LzzyF +JrvV6Vwh7z+3BTlvyY6M94VYH3JJQEnhhpCDaQ1GAlKvSPnc5CsW9o0sUYjrYjVBcTOtCXiu3myh +2egeBUNAlH+B7bFtCAJmS33CIHuf1Acw8ZhG4FYlOWHSDo5bjkNzyBPgkVVTR37wOKQ+Usp8eTy2 +Nn0dGOJ39T+lRfN1jYvHzBlFs+mcr86vaXPdeYQeB8vNLjRLr4pVZuRsB6cgHUK+VGiu5xBu18wi +NQLunfPWqzLNvCsDfAHSPqXKBdVkjINEkm9b+5uuRsrLrABuZDjIS0T+J+9KRHKU1KyJz1A8g/kB +6haqQsGA5ktQKZQKcXzkyA3zHx0JBDT1rq2rJA/TJlemxTa5iDapep6D1I2vqnnfY08XayiDC/d1 +8Vt3vvf3ATZgHlWnNtX5gp7vqqY6hc8ATS+c+NRmYf4R2sSSR62TcUOMgXwzkCut/Wmla41j+jog +985iIuFDZdHRV8SsV6Scjgci+KYtYL/n1rSZ/cx59DnhNvSkZNtDqnC5H4YVRh/8R1+zxQ3Q573a +54Q0Cv/yVqu2O2L4PPvwjHrp9OgWVEctHEyb3SDaCKTZE6TdUJkikYswrDp4Q6/VDdOw4gllZPhH +1o943W7FZT6lMxqR5G0XrUm9DqFFaPVvqnTBqsTutyIJwjViFZSDeZOfRGY0uiGu8TUFUL2gNT2L +K59qF6yiSybFFg2Lu+WZiCuozBWZV/lAiZvuHAz8qwdl/xim0UwwXulsXe6NSLa266auF7mUZKhP +g2E0hWsA2P/NvJD38QGirAMsk+fWdTE7WgCqIR736Wc6wchCnmIXqnuHrA3SZDVuCPPxWE++HO/m +sxzr2PzG78tk4RCh2VTyCB4MM7qHlGxUDHNVIBgHydHoGXysQQNOvvtImE+NZTmtGXETkFCQ4w41 +FfvZcxcdwtOJg4m4dUTzHPZB12ipaFNBPWUSt5AhnKTd6/+sVc+GKvXD6XHT1a95vaJmkwoofw0b +2DPpaaPbTRwkAcB+OwneI/1wpFKz/kQLwmwp4f4U5k1TESgJxyPeaLtnHnRC4ZIULhMZlnlBiQem +CXIkUX9o0oSB++O2/ZUr8fjOPUl5r6qvCaDZvWDF708UkTrhBGVxPjdgSpoe9hmIYXQcqTo7DnAx +ZMtDH+jZ5D9OpRpI3nczvuq7Fjwz2TQ7sL8hNMbcq9QEvW3tbYnOOrSqMUkBY9kcxMLjG7HpvyWi +FzmdcFyZrERdtPG/tc9fOoojEGHQFJ1DOJaKAn+DEHRZEKUAayXAmLzBHbobuuwSOmM7yrUqmwlI +hXiwD8+8pZMM0hcCnyuabIqwiRKcQA8NNfBA1x6IWtEeL40zXdMOoUkkNQD5mLLjfN07k3aLf2et +/kt0XbZ0ipu+41/6FfnrHxRFUhi7f5LDJKUGld01KWpm1v0rTcQDhXQyvlHLUFG38yRvKtQuM6zw +upk8tK0CplSCJydtIz8DVHAcxWPFG93J1ROHE0Q8o5FuqSlCQXaDZr1DveTIni/a4VGwmnuwy1gx +5Gm10IYWIow4nZj30TEOjy92wJEwJrTNvyz+RpU2TIQPk9zsi32bkBjxzSi7pmseTtMh6EqoZeil +fLmV90UGQg7Z340xW+cbYFuVwDbCwIz0mZd/PAUYyIIzUyNclaB4wnKtOPk8oYHINlo06W0U7ILU +4ubv7Vzwx+lCP4magPfUaOd3iBgI0SvOGv+V0szQ739ntruXmuD0RHda3um9Vx/EC6axEa0fxWA0 +yguzhkEW2KjW1v6d50c3VBGcRmfbX3MAICkZQ9i12ZiZ+bbBRBXkvegChhWjq6DkiWLlh94MM4bd +WENGCfzVS3MXKw+bhkp2J6S+pLCXNnWun6CRaJM97pTcs9DI51sJ38Jliuq2po9Oiq+0xuEzO/mt +fvCEWsnyhoXfEEpZ2ET/deYZ7ebTiRPQ01RM2D+IzpqunSWaz36OPwJlLL5+Hr5w7JofuAgIeahp +q6OnzkVYrfoq00EwePKRRdC7i4/UDCLTibQH6MGeHZrPvqFAH434t7sLWNyXPMvApo6vsBJ1nTZE +lDF7Td9/6oImbRNPH33LKmKsN4hDv4kWdsG/g4x95KuarE6n343eYUanIaTmJAFqUm0AGvYU++fj +Lll7qRaeAyw56OkjIVvUd2PP3PW9LFKNKKsMOXoHIiLjHxeqnS83u1qtTktVIQkKlirG4VBQ9Uln +DGJrqcHgEySlb8adaAcQGmKr2iRvBQJ+WKbMveRbkUcfBghO+Bi62ZvMbjcTpfIjNXtMXxFmomIO +4D52UevgYCl2GrhgUXI3J5/IZ7YmP+R9ZN1ZEnl0keCva/lfazFacmTP3zfn0G7EEa5z8thxX8hK +Et/TA+4V1ydZlwBylQYwy/MFHBIncLy32KuWq82frBc4qa98VZiL3si8bi2Za1VkCCmdiyoVSmEd +Hu56t4nMAYJU4bKG/igcMytuYPgVgBsxSnLIBu8IA81WOzbeiPoY8B7tMI7HStb+n1is8WGCU03U +ZmStDl7572t/Y5xq02hLk7//fBZL70OfTEa/9g7YRwGpD3muXkqpemWOJq1CVmULVREqA1QktTrm +993IqdhkCDr/XSTZILdisHBhvpx9+NHvdvpzPNPjApcv8yX2iqVaaC2ubmfewVfytUC0ct0Mc5hN +Ej0PcNiMgRIbMBGxaOWTUcRnMCz51YrH4tllwUh5NbATnlNWgicY61y3gys7p+e+m70J+hqDAqnj +OCYm40JFie3syT4aLYfN/5R+pit3PRn7zPAU33yFnWwzEYYENwpWBgbr5AP0kzR3H7KjNZZFGb0Y +SWzugX7R8Nja2IxQ0DgHfKixwOrWpPPlfZIKT3JOnZxpSDVzhS9qdbwOUkv1SsXzXg79GlGbYY3a +I2v/XNAxjbE1/qhonicf6m3hzAZ0Y1GLUm7DhQEJfVQ7HwXxBBHVPEClwaDWgyda69KcSZNIpScr +iB+dQcpxj7EXDic6tAkHqjqVgoXyBMq6CUs9oEXSIl5D0qEDNZfhUItoFoFIMDCwEcbx6GQQYJJM +sMEWY1lk1TJz6z1PnVWp2vSocs3cowM9aXn9jp9rmBDeTNYnZjwGERXF9L+ue4HtLoF1pZgD64bf +ahqAgq9PDjypew1fZ0yJ249e7HRC11gFEFKOHRpmSHnno+xL8t9S2xpETcckLPGNiSktSjl3Q0Qo +sXOm46kB+tWMiEfDr8feItqbRMHdVo8CGHZr6czjW5Cg9mu89IDDOmgz/qqzI8W4Ss7m45It1/YX +WojvdMcf9b7tksugXFSKBoRoPswEY0ioqZ7pBQSHygOAGyvdUeJiTXxIR2te1L3kWKkaFGfUTK/2 +rSKodTzcbEIm3TqUBLhQgj2hAKWsNXv7zrRdWMbUw/y5C5p6kqKdUvmsyiCzBfaSXQvlbtb/SuGA +Ew+S9bI5iT1QZe0Fh1MiXkHaYYZL5Us8nJap041jhb7T7rr1OAIRvGqfcAdQfHYXe/fMtQVP7C7h +/hlkWh+Rldtrme5eQUnMughBGSS0pMqT0Aot1Mj7xXi1fSu3eSFWh/fSmsAl9giNukfLpodgFKIV +on5b4wX9cUQs3wp4HipGWjLfbCFFqQ0bVUoKKArfZzRxUikNGhhgJMqsw+86LCaq5DfVsWN99wEr +dtBRY4vl+ULUmjBRBT+/geKIjkCcrU71kdP+shMaqsNR4sm95FFbZB91I9EOu45DySkFZLhHsEbg +Q/ZQ6YpnlyYi7meBS39D71L7Pv3pq+n1Kvkso/jEUaH0TvSWpR+EiM+jEEThECR66yIN7V/tgLOJ +TeevchmD9HVWmmLeON7xLahYaY8DioT16CDvJWqppLc62iG/BDPyEhsTAVat6mCvhF91pTKioofc +nhgPMTBgeFcgJ83VlyJDsHPIv5f7jQrx6bbV5HH0nSLggPyD49tdR6ijyjJKCHK6J1pKBaAU/mPF +6OCTUfaB7m/Wl9CVqGXJCkR1/ouOwwGfiISIZEZK5PSumId5zDD75ZzpDSvlMQ2KIMZPqTGtDhTj +9EIP2QBSaSznHuWJyBSIX8qj1xI4bUcpq67SP0JjNFwcKmzFUScUYd3vNn+F9iFfs+BFrd4NcnH/ +3rQ3VcnEJqemS2XQbwRhJucpv2RRB57EbKM0G79c3408G0he+qA+AK0r86AFqeRX19A376spNdie +e8R5ircyNNHilG9L1HvrCf/4OILQMZziqImMIXlVMgo0l23wisuWnPd+qXLwNOd8Wd7fuGUio9/v +O5muF5cMOcuYhnzBn7YDOMlxjzmnftOjilOQLQA2sIwGhP3CDSS8zyYaHSudLg9O4P8uLx3+eJWu +UYpL38Cv1jTYTL04WvvyaXtF8QU6PLpcKvpIWiwxo+8YAcrgDpqiK9MQJCyiQUap4ItmKT/ERNbz +L6W0PS/ppH7CZI4n1UmY2ko0oMYh3phqBtEoYWkFZQyZiGmWM/pd1bglIwSzkAt0n3RGMehxDO1i +nEbb+th+bwblyxx9XSCFtezxrL8EbTxmamwacVuNVz2aj5xk2688IlRRIeDqjkuL1oXkyrVtUbCM +LM5VphhRcnSunmEpB2mbvl6SDhjIg85TcYSB6gtjQfkYytPfoo3ZSEF1Z+qNrcbpdncYO0rLgNeA +il4byQ7USoY72+AUkozthBpC/U2zUf7A5VXo0tpEdfRy24xEhfuTSDjVg0SEPMuEcwF9U9Ybqnvn +jIfTFYYDIB3J/7XUKgtadcCegyVlTROOwAxoyO/5VgYeOHklncP01t55yEGGj7vVKe6b8oZyfs/t +jRf/JEBOrA6HP3tOdQU9HcibGkGnsC0GrZPkTGsOdQhVW/f0CaLRRC0rScR/KA5BT48wA3gnlsDP +Vu2DaTJS4pAGL3ROxThk12x/RQfAITUGyjQo9uz22yqtjC/Oc4gV9m5plo8b2zJHr+ix1guu5JGE +U9fp/4nNxbw6BdH6h2A+fd6F3P8mRKtTFGADFUTJUmTASw+ek4Kczh9v9H6VLKp0fDhYru05nLF/ +y7HOxgsK4+u4E3ieWHOGqDCQPK0Wm1EAWfxpk7h/0dpGq1TocwmKK6pK4pLPhjKmxf3JB7ZgmUAX +sjb+9Mvjhjfd4drFP9UPAYfP/fBWiZhtvABtu4Jg2IwPhV3F8urBPM2NkJIyzsyngSXxhmvq7yLS +UuoXlh+Ci9pOU94s80a2//M0FhMBgh4AIwO6aeo4TvgqKaVx2uj0VQMP5oOW2BDXc9YUY3+AiIaL +JYPfSaokVo4jrQcRgAPDbGMhkkf6P14pEzA+EoZ8V5s8tY/SovX+iY02pwuKq0UlbawShZg9bsQY +YQP6XMwEeAtMJHlKMCW5Q5gXd6+Qx3PanulFb/q8b7hAcmWNKpvdYrHxgK4iRgJ3L0bG2CkkpJ9z +LrwS9OUJhYfgRAYp6MjXo7RNmqIGKelGYuaOQkdmpJDgBKppWgw7k9a8YOUHNlbchg8zQUQL5g9N +enSD4F4K+ofS2kSx54m5hElzxbDLzN/uRTZm7dR+OvOFqKzaUNX9eb7H3CfElvFUdYNvKWtfnpDM +dmgBRMDKqJtXkfONJz2GOorK1cMuE/zRwd/HTrtQPrzuX8lY7yE6e5TgPNC4rQ8ji4dkj9iBMRD3 +VLHrlGtw2tPD1PSqFR519q0PvATuIYAhg3ga52hsncSLfO96GBPznhUVZv0eVabmRd2y24KhZeyS +6n/vaVIrFFIHnMzqeEVp4dwW7x1Ety85EjqCu2+aJ6FcKXmitYWE2w1avPlQ0QzY9aAlNh4GdkWR +tyKdSqlyROiPVvEdaJ+Zp2+zTiAh03OsiKxdxBMOlhaU0Ts7EmbvZhoMQ8jwzugC0mRhQY69nuvw +nnVz4hxvBZO/NmmIRIuc9SYKbqaHWkTdwZV6djLBaH5LNM96Z8V1yFfjZOpPc5UsEpk378sfuu84 +Q4AWjMizegaG34lnxa/YPfqetHJdhe1gsnhWzAAdWYwivTpOzzkgESD14fisdVGZmmFxzerCJvX1 +cdGOanRAFSBr5ModL7J9lVwgZodQo2z81bGJsAVb5NyfiNwCFApgDqCRFuJD0IztBX6zUtg/dpUv +JqB/29Ha+wdC5k5ZztQ0y/c9bwGKNpaoI19L0SQ7q52xdDy4WL6wbsTSZV8Izh/Z9qdtJzFwop4v +Q4jTW5Y+WBEyGWk5YwM9VOcipnYhIT2rTRPyP0vOfJ0gNQVy22DvHoofKrSwJw3bU4fxsFP3aTEu +cMjE7HsGAkFvhevPoVxUBSlADFp/qHY0q2BYStW1Tggg/D6mskGy1X/h3g0Weday4gcZb+ENtO3z +N4ItLzi+gML0y8vPcCx3yvo9iYo+KVbKiLO2IpPfvKytMBEoy6qmZQsynCY4s4vildJWWRcYiFzI +yYxSGcdSW9A/A5kJ7bbB34908H7HDJJQ3K+zq2fWqELEyxbLqW7D+BwFUNB4xw7pEnci5X3QlCwt +hEehgZgxEWUIZKfbXBoPiP4Ixfxr+8dan+BWuRnaAHVlQvoXrg7fuha3diW0gYtMvWcLdzHyu3ub +2nvmkitg5/4AcNkRQz7ZI5+5ehBMdmQTy0jHALSsdT/VKAw68xd3KChnKADwkwatrPFsqlJf2YsB +temOKpqckk9MrfDZsPihhIi4014fn194EKxQQwTVI5kys/qhN01GS/iJNl2Kf/7njq/JV3fEJyBm +ThMQSAR5UKnQfuHhXX4zBzE5VRlA9St3jNmrsDb1CJfaqK6AecLeLaXzZwM7+wzjXvwn43VglNyX +HZqMqc6sfP4K1PSE0oSCxxr+di5SzoglzFqN1Nyt38egz+cDoGlTgccuwpubQJGCrcsGMcP33RFX +mstMTHKfyoGkAOl9WtLxImCdkJsO+yvNSB7Oy4qQEOUMfXoSTSYElWkLZVuj4uhZ5B2pDxsl3myG +T+Z5m7yZq+5R7SEHXTSvStkJbYU7ze+lxgBbZz+FlchxGRbw+ByhvdUDAitzwfRKMxAIOFHWYMNv +Oq0sXP2QnqkgoNo+I9pvVV18pr9wCshdX2DdkFdpJUJLIEWXwEzzjyhYRw1j1Rs+N0W/jna3iMUq +RI5eE1hOh/sOuOM5zP8SGTr/nG31inCjXIU7Cz+yHuRoDFwR4wKOvzjwSaodUbtbFqqOAU9IUoq3 +KFXsSDlbT8LSquC/SwPgyJKMGzX8oTpVytA/SD+LmcvGiDudX09QIynTXWiMYLK0te95xhhwlbfM +WijN31K9QFw+siExCEyBlmoGogSTD8jIIwsgb3VcYBhlU11+oxg3Yx+kmJbAmTYmzctRFaEj1i0H +UvM3rHPDN//GQVvmqCJTlX8Mxcc6YpyiBvTBpJwhnCVbemllW+i8CUhlgyp3LnGRXyWHoThw4Snw +U/B9pNpfrYRmHEysmEOMEuiq+SimespnmIewRmhASk8ddATDf+t9tJuGo/aZ1QTMVoy4W0b7Uaan +pntPDIx20+4uGkEpmEwtDed29Ho8+T1Vvlr7st/TFr1QFEexmypvzrKRysOq3zG76fIun/KY0oGI ++1HCN8EI1heKZY9RoQ2jz+kCXxQMRt/n1TGgWMUPuTo1UcmhH8rHgP9PIaHX51hPcuYQ0MKOgLCG ++sNP0fVSwFWfPUvcYRcUM8kcbLRFnVZ0hepOAuTo1DLOJyncWdPJmqZg43P8oE+S5wG9odjwYnpQ +gGMJQMnBKPHfziumrS4MKHvAMqrRO804jE4GzU0JwWueGO02sKx9lPDIlxSwFo9+Vb4sn+oY5+iL +jHqMq9wYGzO3xLrIOOvlJMb6lpL54NjHiGpgU9901dDjteMYGCycfJjnEm5q8p8xGQTxzxY+Y+QK +HEZz0vG5g8dDmHsmbgpKQD+z3TJQql0s5h8drlg1ouEIi5aWqBhskICFvak1T5YK3hd3heCxy2KA +gu/WIGnNol1mN7wdpB0gSKfNzu/COgB0/Q8mkBwbpzIeCixuiXg/pwQfUW8afXF5UzkSq4mGqkYI +B39VE6x/lOv30b1F0nyXF/DrGwWi/V2e/OEM2sYjyV0btQA4wKIWoaNIsyemBaYGxSI8Q1KUPc7b +iQ6TIHrDQ1f2fR8zFHscxgqC8iWd2U14qfhDWfX8pqzsiU/kiVsmX0VD2n7j7qiScyMl9T4wcPND +uSN5aOzOdmBpwV4syuHgv6750rx5bxWBV5HRQIjjF87pWLR1wL9ov0u7GgdnLGrZNfv8XOTQ4Wxx ++y8ou9mOBu9mgaeUNJ+xjewbxdZ10LEe3QYzUOX/KD/GH8xxizGcODL/M4iMV7QqBNkXeWsldvtg +4UPF9tOQDD3YFbYcD6pblPg279boMUwIpinyR6S2CwhqMUXSw8S/PdEaJ1Iuwj/BqR7hcYw/DJH7 +JjuHlyGA0bu9tkKk3hLxImqnkNt3bURsb86NUahoOh+yiU6nzZyGBM//haaCL1hbj+yDzOqSoAzY +FDeWl50HY8lIAms0FVFfEzFMdPRDIICJrw5Fjv49+WjtBYlXAwoxXQKFQkBrBvoo6zzfrSQrHABH +9i3rkY3KjrofpVGkFAep/LS3rzaItsRir9MOjN6Wbnyeesy9ofqeStfEvo6BBkyIXwzZOJ8HTai4 +z0ANvBpZsv+8HNFRudaEK4HeDYxnQj2BuDZbF1UeFaujrzqrMmR93WrQPjl054xIHwGWhV2ice8z +CCZnqnCy7m/WNv6UXqXa6U2BlN6eY+3cYj37nj+PbyiaFGOB7+4XfyLfheoKAccPjoa96K5bmF48 +BALqqEG31t0NYJ689qkT4e0PtpfJeWJnMWbMUSP8bwhe9M7WSkIhIU7DsfUw6zkczxWa2sccMZci +gMcOvzqXgYHt77EXW0jcl8tJf/Q0UaCri0ZDvBxkQA7YD90+HzJ1itSA/WPHOKzkm9aGh03rljG8 +qioiasQ7bwUbCRqInc5x0a8H1zt7KiXRENQYcGdRkNZ2aPOR9b3dPpoTmPnyoj8vj3WUYWY0LOni +BMreqbdaE54YMIcedPXWijjGzLQCYnpIQYccOCTXZpklS++oH6eUonBtq5f+DocOer9yS/w4ZEEZ +xpSczBIBQyvEg2H2WUGFcBfEmlyz6vxWOIsGud/HQZqvLPjfrEePBvm0/ynLjuVNnVxupe1Thrl4 +8aQ0U1RhzVx2OfZQVV1BkEbt7q5wX6qgpBrs+9bkZGZ9eHJVT0nRnP1S0kwV69STcOFe8vyR6YbG +zIuD7y4n1sKIEltq9VbNktjfzuyQEMff3/MVEXlk7RZj5mCtepxKBacSG/RsK90F7buxG9RP1IIg +8snimnaUKUvlb+pbAGAFq5HybivTREhneATBP9fzq7QMFNAuxCjIfAJnJH7WOe4sbdo1WBz48s/F +zSSX3G3LzsYdBg/afEtZTGowDllQ43FAGDaxS2CoSEdhtz48oZyL5tZFG2pv0Wb74pm3/vAvQDwv +gSJuEY576Bnt8OwxEC29LpchfSXgOpXoKrvFbQN5PNAmUjjs9uux0XL862+9AG49whZ9oG772jUf +5y2GVpqUSI4NJ4rZQx4CCr/WhM532npFJQyTD31xUGvp5mqMTzUcJd2yMUZPPKgtn6e0dPE9KrAk +pNxEKocJ98pqxYcnmaLq2Y2kIWvHC63JCpXr4d5Zj6haFq+uO95px6yzwotKJADHNoEnmIuMWX+9 +pgxBU6VKzkfZNKKzHt1YCRZ9ZMXjyObbJWpsFsZ++LCjh1lsQsbEnG+AUjVJcytCq1y4tTgj0JZp +jc9lg/OLbiSCmLBKRZ5EC+jDPxqkHZU4qaOSHT4HL/Z4MUrroC8IEnByhZpzpPrdOjDIEPMkqnNn +xIlleZjuBRRXYEN9UE2JjWT7ORQauLvJhtiIjk556Dr+Y5L90q3mVvTxKLoGzknaJW9O4ZUG90/e +jq+cv0NZQ0VlyMt6UMQTCT3eTkxwItkvBYSE3Fo60e6R3l9XThvuxoIAbOpK9QNLigIxTCWQj49x +LCdg6U0n1LdFtyvwOkHNX+iVLc51qHdWpzdxMuvrhT+O3fhVXEiS/UfBoNXFQQoZTjAGyHWUuo7G +3r3cCIovcDIxKX2QKTWYwz4OR1lWSj9rKpAE3FFL/I4bz3zK1wiV7eBIOTTSvjuSILizaueTRlXR +4AP6GoMe2Ud1dzhybED+wW2QrtXrDaza6ybcwdYHIMaCrZ7b5146ALoJ2Hu8cltqaCUh9udOik41 +6aNY48szezxriYj7+rcrMklgZSc+my+BaArYZNqS/9292GqaZyCQHktGjiknYLYxI92DcGDzW/Xp +CMB20Dm8BVWa9zke72FVSSzouk5mDId322CgpCm2lFrQRH1s1CCYwftqVaIssT7W/5s4T6iysIk2 +xQ0fGVPagKZ8uOTZaUYB6sMtxghQmXW7rY6cAH9YhNB7GtqMdwEBY3ddp5IbHlocyvefQqR621Iw +lHfChigNX8dK8ObdIRh59/Pn5DrqqwTFO+Hn14WV6rD5JtydbmHX9a+O+8Zy9fiaY/YReWHnSB2Q +1Fh1S/TvHOFptG9NRfaniSN7BkH+5mBmj1wN2yUQ3DBax79OcLOQTu11iLN7juF1k4lUcjnhjbp3 +0pwMhWwWPgomv8fv4TWrE+N0wrCpN0q8vwwrH8j8s5HxbZe7Rqfrr+USA4mECndunx9sWum5QHxl +YzsuZavTf/liZAn05sKIJaI2yY08NODsccprkj+FER1N6Gobzoc4aJc1viZEkW4u1ZC3QVVTu8ar +suOcWueq9XhMXO+eee7OaJ3PdKG88vUv2Ti7PgaRO0pBqdr2pDebdSOmjn6B/EpC+rvobVVUIlHt +j/HzGNGrFbx6C/r/zkxUMiEZAWjlkvTzOBWkwulZ+K1DDql4cqY+euZb6D5F23mKrKPmaqjjfSTE +QL+fzKHvMMj0GIoCrknv92hqVSuUI1iUZOWfa2EQtEjb+cNuYynVwOfl9ea4dEtT2c7Time1uwO5 +DUqNq0UsbOsV9KlAUwF4nXNJ+VSzc9PVjKOIVTFfg/wBi3G9A9Y/s5BtZgjk1i6HPKyr6xQ2S+cx +HCK+seNIMELMjJQgTBPqwlzhjl8A3NEGvjaOgmhpvSj/EqiCJiNTUE3L306FB54PW/CFW4GuaEoE +KSr7RgWTEUWaX1UZmdQzjwkRspzO8hKe+piied7AC5cWLSTqIELS+XtBTW+Dfk7BNMOUnFYwJj5q +9xfiUOrYAbDK3nbi28K/3XhCg/d61WfWh7UtcAO/qzYt+ZHozb+vcn2lq1a4+t25krSuvaQSrn+c +86Jzq1ZuHvVGtJZKDx3fqqwfb8QNRkz0njw1QlUWTFgAWshIx5vUKKXJ8Nupmz71rBmGW96XfI8u +/hHnpZliytZryS874z1SrRYH4RiRhwhcOUR13IqpNXOpbUiA1qwu25Zq7VF2RmTKgWi1gsduDpiP +w+edidnXoP83QAzLB8pJClZ2tKLdrccvadL3pyLaPmzT+xWseqZAwHCLVEA3wZr36rBcg7z8/diW +MaHkJ+C/42DwulMEsQ2kxDdA/T+B3AZxHt589pSThLnWojt0l3u/4nrGkYMlAGVUh2nHLe9DOIRg +BYEvxQLBoiMNauDXR5wBlDlRhYWZd/CrX7eFdADeR8KXp3clLGAM9o2t8ssKNVvad0n4164xRsG5 +44CjhF23Do6qxdiF6P/Je7B5d9Ou2AoflRvyy8xH5+fqju5uiUMrHgP4RuKC1mYJKvgkS2o6CjfA +LD5TRvldslus1fTO4OP90T0Km3UXSFSnBzb1SxO7gH1j+e/AJY7Zo4/h+U4pTWx+eWIvH5frVAoY +O5/ys3f+cjUtriORiFTm4OymroJloNyTo/owTEgPLbgST0ta/pfh0admHxdGSqmCXJcpxAlcripA +cueTgVkrTKBaxbIMVypQpyxy3dLJbPZmzR1UkaG3cifbqWzvScB+EAXgqprA0GGfW4cji+ro2k2U +h03KpolbGnVBIzm8b9zQeXg/BPeD+abudYF8dCVIVQdajNKL3YYtDHX5WZvR8+2cEV8abObYaerB ++Hg4XKrYuBRbazOpE76feOut20Wht1wpKNUhyr/q+S7znx4ojsEQYCBgTkhh+7IT8bAfrYtxKmbb +AkkfVDsaaTGrxyP7V6PnstzOr0JJ4TmPbHcpMEyTRDDfqzhutDXzFGtRo/hlj4+Peg0C8/vLVzIP +SXn8vRZinYuC0Fz75Geu/vQwP2NMyUTRQW745Sp3p06WtbVI36134hR+PD7G6kprBggmyhHO+JFl +lptE4/sQtEzPJ9D0MPZTICnju/Fj3EtqfBjMm7ABSb/SO9SQyY3sY4pBn8mj/FvqYXZz6KS6pbzC +Ct6lGZcJcRp2M1km0DQJlkZES2qN8ruRsjkveu2oEGANWqjNt6Z5UxKij3cjTdHXFZtGfMMxsad/ +woJs07zXJ4AyrWj5uXOCgSVWAyFyQFdjHpT+Jyh7QYZzxDs+uEemF1z2UrHasCReC+vYqsiNdmUm +ew6SpwJFe22QFGDHfssvKyXNvKaNSM8cabatmxwHreheYrUC6PXLKEmNrEQdsDVe/ip0t0WZa1dr +C+WhIDFvHXmCNpQu6CwMZPJxdp1G38MMa6i4hRPfgORE7txHr4ijYJ1Pdjyx/ykooB842QkoaAtS +JHhGpgdqPQ09jCvxQrCheDCjwuvwrXucA/0UFSbsLxtAkoVCgxX4B7W+KPKRDpExubsjhAXKYEci +/2uUGEUIrI0ncMu3RTpxVXa9AkQIMqzz5T2rqiL+nnjCHF/UbThfHkR2bmxgmrJCzTVjDr7UKBrS +3klgpiliuyEPlGATu52H2xEV4Qjnt5w4VnSq4fQECV4kmqRpnGDZ4YOuQSW6Xvdq+5VofC80+xfN +L7nGRA9IN6n5YCtMYZWE3J00nFBWu4kRMnUNudEJ1R+vyketwIp9gqjnY2oUwZUgh3aZ1HZGnLSs +PYo7N2tP+1hZzPyazgOmKOy9NPBQOjjbpmQoPFrDvFvoaqPgCJAf2iK5FSqiKjl7Is99e5ZR8c1e +LFVNithhwcJou2OYwvD6g8X7AFGIXp9Rw6Q3qrhmTCgmWI6eU1duBYj3KUCdeLQk7egJhoii9Jub +3cRsVl6pCRO5gdaZokRBP30t+nBjlapv7bCo+nEEmusxEmcVAzsY4iJ9DgGPcsr9hr/A6cxO0bnt +TXXcgNm/EB32hptX8ZG9hudogYglCM6IR6dWsYp/qHkh9lV40g/LrcQweKRFrfJJ7vfSHd2moZz7 +yPbRcK/KEqrLlf9rkcGWFvHUMUXOvhcRMYbR12c2co0D0rezZlB8lZpyr8W5NtDSrlLw3mYgmvNy +CrwmbmUyhLpC0xNuEJ2KFZdJ6UjYdkY0XHiFA8NhtxlPeSYkvkIv9ClsB/FleiuhKb6e+zLkEtt/ +OK9aPC6yiDfyRARkiD/BTv3eqTL1t+fqr6v+c+o2jGmSmK8bgb59Deog/PSrBFvOKAnlERIGiEnn +Egvi66d708DFTXKmgL/4L1/wBVfHWGR6bcfgTdXXl3w3Zz0dnz6zINc+LAXGWHV7U0tNwdk1js07 +x5onUUPPPa6TCnbNwxBp1llGNxM79XYS8tvxraXhEXlLczWXZ/hHf9BgCHUmbdsiGPXDdi7i8gPK +Sy+TSwHiANJAKnsdkOeF12NUVSjgaNqrMG+FCzXjm1MThl2LZQfcuIPwQTSiA9/MPjZDdTwiMfe7 +6MDLTe6rOCHRZvJGwVRTSjz+bglKwvGDkCCvQ/TWh1gBV2qjgI+PWODmDt9CngFY7soz+pmJ6lAZ +/rT5R3AJbLuhC2ivUBf1V8VQOELKLqsvd8nNnaccGphT/druG2zclYdSjhUPxa2Pj28GBhDkPX+5 +u1v/jnQVYg54F4zVeugbYAbglrSYr8JdphfEF4FVORN5wv3qrKm6n0ihbIbFvDzWAgrTKXf1iAfA +LMO1xM3tHJT1ihKxEUv/Rz8TOFmq4nG2kIh4QpJE55pyTt/EcOijdyKqrhdIxyFPphhN0Yv7d5Lb +yXuCh9PCVzCTIjFWkw0r0/aIbanSdOtfwtnLHlQeohy2WFz1ZLrTs9qdFX4+NO9bfl/4iLjNfeNk +Lh9FO9w52PShbDA7ARnJkuBSUXpwbZN1ZhO74u+7dbcee7UQAK5+bDRCzlGU5aRZPWhhi0yjhq6O +HCfmHu4LcReBg42Lx0WUmVaQXqa+cDPYMZhqkd0rgiOXufi9vUVR7iqQxLQOqrZnFuAKK3zSjiFs +KObuMN5JPzEetTwKhRZEAu+SBgKxsznf2/17mumUUi44pr//D4ZEVrTbVGuzyejJ9kbTpX4bptQW +1FygRcdPPAx+RzVT9UAEi0yejDL4V2BUAN5ndBdSGpmKWzLuIHIRwvZCPoT70XQNCMGYvzhnqwWC +Dxbr6UznGdaj/LBG4F6uLl18AeQ1OK6INoYJpYbS3OpdthSmuck/KUy5/bwNhcmXbwwFcwv/U8fU +4oPTGHMPo9Y8ycyXHLJQX3t9Q104mMF/qSciQ2HTIs8oZaWv38IyNnITg5pVGBcfJSlzMIEnhaKZ +L4GYm/KlCw1rGQcXid7dSIshRNlW2OweedgCE864F68JBQwvNcPysYNOhUhglJKFukDuwPR8qmuS +1v7QohHDtskfH2VDVJ10GI1l5ytrCl4zvLaZwD/0BVCNVF+csAKKbih24N1QZlRzyCCBl4fRIiLA +Uc8iNta1MfwjfBJ97hwFo+qFxMzQyLVHv8+RMSSyljBZrrS540dnT8vE/MWfMdHadJFlr67mjAIA +Zh5P0Ykp5YvNgrk05uXifrqpQ+1ke/kxCjOZM+MUsRk3BOFLm86+TaR0g68OoHGtUqdXFT0iGVUc +Ero3yzzebIc/NKySs6Yl3QXn/TWOsxCn8Zt6M5+XKvDJpENQpWEDEBER1fq0+rd0AAPe3qJNpNJ7 +MVxZQj9J81pMbhpCCjbTZjWcPHfNQ/EPyJQTI6D/wO2IWRDuabnQ/tDOXO/p6+0DokFeoytmOE1R +P5OtNQsvk7u+ff+7tMKV5DRaHfTqXO91vBQD4bJBnSpk1ymWgC3Mm3oMHsJo5qOZrW2GfOHhOfuR +gYG/E/5XSy8wJ3hy97cRlDUJ64OqD2coyNMZTK0fz0Nj2oeFWbDBvgEtVL/2JfDPH9sXqj1caou6 +iSYcjspxnEf12kiyxY3Zfeu0B9eCd/r03r8NEHxw9eyzsS3OoD7Rk1mEw/RS3KSQgOPHA3oDJgK3 +hgoVt+2COUVsigJWwxMIToA3Gd2OfhWvz0yRlY307C4SlarhhSR8s9NiuIpXWONwv7HX63vOErG5 +LfAjRWTpJ9PA7Dt+rZSGamZLyspTR8u/pNZfK3FPtJWNiCaP9P/7B7/AQ6r39DA28JxuEDJgh/c9 +jRWlqlx84y9CjM4NmaCxFx8iv2sGvsy1f9jFZ5YFO0ui9jeduNtK2pFd4IpfqXpY1XQr+kDgylas +OnmxbphHi8MOH+DWYCknm5tUwxyjCZBBVLakOC4adAPYv0lU6WZJ2/7Sv00Ya5m1NUP9CtaqZw5f +BxbQydEqxCyPvPFJcZREUWETk9eLczGOqJqOSjrkAwqFa+j3SidNsX7uiIBGSLXG+Yab1TmS7brN +QeAkpTriYOx/wPalU8C96rdiILi8GMQSH6tAkyQ+I7k0yl87N0VYRyLJ7cIQMoKMvLwIFb+sE3sM +koaur+TRgjEAl5s4+jDnfxJZ6OiNSoUACXl9ckqt9I6z/eXr4mL1uQ0msuyUZd7OX3ZdOZgBBb24 +E+T9d17mAo+O1VWAg7nzQsIrVA+kRIEUXRDIpaksj2lVK1D9cWQQg7p5s4rcYzazgp/wExLTncnR +/30JZoJARZm8nRvLYYWzSz9eGyW+LM0WrAMwtZEpN1pciF5ndP/b3jM4OUFIAzJelVOHvutxHirB +yW6ZHglv6zBvgvHPGNnnHYX+Di/FHQ8YbgPSkkn0+vS5qub11CnUub5IGTwge7QXJAOjlplLbUZ5 +E72GaSLNRcrLtHAA6kOcLW2A1g4tH63vPuh6OfPcilO+bs76hvb6PHOAizJeVBuf5d1wdoOpulQj +HKEUh8597aSqrOXwO+fEZyXukNKJKPHQDRpRSlpka9cMu5l3MXrb6gkfBy7s2psqdiegIsbj+lVf +YUUKy4zOk7Goa5SRFq92BaLU1+j319ky9FGxQ5XSWjzLaroCIeawBwpkaO+xgKVJ+op3VOCoPfVV +BL0EPWgIiL3QlFZZbH/D4JWor1hg6axXkAtUqwIXR+BIiaCzUpRY7hP1Lx07wZ5U9R2rr3CKChGl +jT0t+oP7C9UxRt6rTHCaS3P4h2nCD6FjYnXDELUafz2eV4QcdexzxaapNJl8Vmu/U6vvjofB812E +lP5EqVlHj3DjS/+a58oeuSwkkPjeIgQWOle0NbpegwQZCAdCXmSbLznu2ktQ0mNtSpo5lUHf+AcS +j4dsaSnE0oV6vv89300o8HrB5Zzf3TmGdi/MXC1YKu6n1OEoDpQdUFN4m3TX5KReKv5GZ2lCyvY6 +EuhSYVxM1Yll0t1DtqSnMfp8tqZHD7zeZ307Sosk6vapyaygrnv0X5RvKk+bZaigAr+FydTFFmfx +zs36+ELVonjx85U13ULQyonnr31w/+EZbizEFYcJ7ZcVIkxwi5rJzUDHOVoK/ZFsTX7IC1bmhDXo +l+LuTJyDENZTQPwoxwUGbvqReshr5QQAlqfAv9OGN2TVLathfntD3sbPC1ykyM+oAhmgyMiB4g+c +G8/ELb4yF57gD3dfWHwcOaagtiMh+IWsH9UXvEcgpZafDRUjAJg8FRuo38TLa4nUX6IojGjf5tqq +gAVdWdgPJEt0My2/wM8wmnMceHI2hKraCs7UrS1gJ/FYE6GwjYtAB+8Lo4jkpRh1N02F7k3LlXMI +EMg4IA7AQ/hCYBrkTiL0EkcX/H7BphxhCx8p0XPZiAL5c1U3iTVNAC5kotj0UhHMjxMPB6lyfdGr +zI+BKwqpLQta24mQmRwOvmbTAjZlWLczWDssKYKI+6HijNE19+K+NojzbaBnObiLLyvFDwegwtYG +G4wIiulPXvuCQHagGEPipz20uPhSu1mcbXFX9GM6RqtdKzsAnkSu+b+iO7m+wpkfgpsothbjixKE +Vfyp8j6DTLGcDNwonuUF/JCmYFlNt7ML3gZzK4SmsQot02FF34GGc8ZFf7d8huzdgrB4JaYXxCJz +BZiRCGQqPiAYkTV8tf+26S2GBpbOHJNifbEitgvQ2PKJ9NdYn74loBXQki2fKWB9diSqajBGz6lB +gRVu6uUQjykrKkpdlJ0GEzr4jUxw+49lQavgd1as+nznZ9KAYNPJhNuB1LNn2MbYb3Kp9dNSG5u9 +aLmErbwMECQCW/6n1F93M/RmVMMH64BqtwHKgr2B+jeFZqKSANsdHuAlOG3au9Ojl0OMa55ObOHM +72WJlN0fWfrw73gx5bjXXsaTtfr6RT5e/HDqc7C2RA613HCTuwU3CYBv1muiqtrkYDCW47Cqawsr +4IE5gNy8ZudDkX5GmzaPGdue32NCjgD0S8bvjh0QUMZ6twAyLhPYo5CbKwvYSpP/Mkp8+8JNNOmM +W5FDKstcKkPcZcfezFOiNFUqBwV6VrUPQyixxmFqQsJIKUOKoauaGJwpSqy5OaL2Zb4PF1qdghRI +kc0QxEfAc+9+yMCN/bxmNEl1gTc/1v6ri1FRn5FdOVKVz73ePdT3yIOezDH7AcvQ+AbihQ6QTuTm +66DQQjn5R0X1tkklcqxtDRu5CuhY6Sde1btyHU2z+ASE7y8QZqEahAc1WdXXMzvayQKn1MuWofze +n5HvxPdN38XmwJECQKpASbdeiajstojbi51s4tquDjJaV82wAOWsUD+IPH3xYxN/0y2ugQ7fG3F7 +9TYn4Vf7KbsVOGhQf6nhFQP20pnL+cDHNoUvHFt1OMC6b09j/8LJe0TFkAzUPm/1IVyJRswpJcE8 +C9t9CB+bBGi1mjwbkKFsKAfr4VWTFjU0osC7luGMuD04dq8JdrIt6w0Zu865C1S57MEh0PmVo+II +LADXpNpJtqtg99teq0Tj3HlnMBBEyCB2ueH0GFpCVC0punfBCf9wKt1wEU2rb+KjmWvy74/wkruB +/FV3vSxwZYTPTy1JXwjDWAyEcp3n1a+dE7Jax3hB7hTjpR0BCfJiIC7cohaIzW77lFfm+pj6eSPk +/jmav8ojdvxPKxP6ynk7dRKKJazO2gHk2UacBtrffD/ZPBbmgnXyX2IGXJNLH9CVYE6T1/SMbU1Z +a/EqVXMTnqKmfusDyXzRRlswLsJ9P6yqLueNITmndVnGiwq00wgWiudm1FDjmqQu6+2NZKzLykAG +6PdKY4eTv3yuLuNyu5XeM5/CELQ9H3cazWIIyOYfga1Eqv+ahnA1RNs+p+RrPftCO85UuQUyN1jH +IYU+aRkYtxUeW9lE8OYoUbRcl7KVmARps5WSR+OtsqMkloxuK+FalLPM0rnvbCdjheSykgOySwEN +Qb0Jpni27qHR4BNunULtLubJr3MxnTIpPL0SQjrZoIk9DjYliISgq9PH4uJlyhgjP7O9J1OWJM1n +fRcWfXr0J8fgdWf5hxKomFsBZREcP6Ukxp3dD8AcHzcFirmDiszm/Fu8XXbsnkpGwxt3p0mBHBmB +KfJCU2UI30oe24WGhbUvl4sdG5yivJm2NGJLas3v9t+UV8gH7mFZUKUDMOjpaC/7olXqIfkAfIPm +JoJHv4honXBChi7T/b+pQ87eIsbDfxoEaxvK4lTu2ZiVJwSHfkiZ6ULyje0iYba2inhEOtGNbySL +IWNh3983dKcdnsTZgap1cjIDcYXf7M1BWm8CUic9fmAYERN78ixtNtwMRrlk8Nbj1BTc/dzZQeoZ +4lIm92AS+oIbewkwCFAmcWHAsZa5UYnF3yhyZ+Vhq7rdawzkrE/9LVh9Rpu0THXETAROgcJb4t01 +8Q80jTTUrlo16rt4petcSeTogPF5uJGRHzlk5XLfBcFPbW16iJ8OlIqaoVXH0HnRxXlV7k9J8p+V +1YvPTLQIVxPaULWIwyo9AXGJ+6cP0I48/cFx51GnNAes2K+J11hLeIuwnyZcJqxG88inrwyIz6J9 +bxQHgy+/MVwp+gBgYPxaq8ToH+2xSVyXcAdYafedl+IhrvSLViD86zS0vKtTyH5iG9960+sZ9sYj +Cs0l+YOKdg9M7bbZXBaTzlKDblNfr7SUfy4mnTScT2pZAMcJ68S2IzM2ddbcLxnWCpyDac1eX2F8 +TUUMdeVvcrGkInQ30nXLKd48Ei2AfaZbh4pJOBNbJvg5cL8oQS/88Myn9djlh1l1gt0pgLJoZkuI +RLZdm6QUzu9hF9LMFfA2myXeaqboCvQezGE4TGghBr/YqpbbOerdHOq804LWOO59z00LXoE/Evgr +exPrNIcX9JBhWTwpPhgOhXmKV0xgu20XRAbW9fn0J3fjtVuhfmEnwplYIpfrlEYN1jd2t8cCekUW +8WfO/v2VnRnqb7FkoUpIzj/+6zy2mDIiR4FnlLTxf3AXq5cGeZoMd3AA2/0R44z4r1dmPxsiF0OT +Vs/XVmIPR21Gy5JLR4PfnWHdPIQhKntaHD+uzIW8XIrj82Tf7VAU3exeHxZDM8hX2SqOwI9vmhUu +jqlTu1dmYJLeu+yQXt5TanJmMd/IDZBz9xAhDwUi1z5/Ircn7a/IVeSeWloxyV//AcWW5qjq6Mjk +Y3Md7YgG67b5yEPoFuFT2wydsqy1FcjQJpztLxDOHiyVNv/rN80azMseDX/TotGlkMDj0iQf9I8V +3Mc9uVtmXFUS8osBFAjYV1di+lhndJWLjxmNuyZVkmur2+ukjfhBgtf8edvj78x3rTcVmPxG7uf8 +iV5fLXoprT9OOF8hAElUxtPadGI28UdHeO7M/QMgfbUcbLoGu60HeSfz1i+OmQTFKJolO93vDhCd +1rDH5iBySFHhwrwTzFSkgsuhUM+Rys5SyzWQJbYyIbW5DqJwGXke/dyoCV4zwMghqBplpB7WFHYI +UHgG4bzwc1hhYtZB5Xk3yk06i3Zj53xzVx9gHzhpx856NkRMEKbvo7RxCP3xC4DH/IS9VQD/aHOU +42embcIOTgxJrxOvc3X/AOrVsehFBhYmaByCrmNsxCDICE9ckJQkARET6yAYaVHSxVIzsgdMdViP +CvNJGeY6r5U5FbNr5HSrP4jKZ0c1KUuPazTYqbOmOYr4orfaRhsPzkOJDGAu7tcrMFO/oqI3HKzG +QrBQrHjte3DUr7Q6Uwn7J5t8ACD3fdEeIada0xmd4T5cK/iwRjZSrdWD/Sp++eQV8k1e7OM9UvqL +mIZNQbAVQLuRAIv1rj2X+zBieRK7khPnXLpxc4pZHm5wKqcEq60YUCBwdBbAE0Ms73sIU0AXE4dt +pKhDiudA1dGouX6rorcGa9jdC6KNjjE+L869IpH+2pYpSBdWsVxwaRmLjKZffLk3v6Bri/KZ5YF8 +MOMMBtEBw8YDfjKwCB4RWk9TW2a0fe46a5HzS+/0qpFCaQnNodU24U4fMQ3lZziLD91FUQufoBVp +mkDtgp4BG0HY9rorOYTl/KreJdH97xck1dq5BycOWbhI/bC2g2P6a4KZBWCTR69p6nCQPqeyizmb +EqxAV+Y8PQJ26jAEeHB68nuXDdrCsgxDdGLrLLmlgYhRaPlDuYCpaXuTH/pqz1bWqu8Z0mkIjGhR ++1Z4KvIIFvlho7bShEE0tpJwYOTC5hknatECM5AwLiM1PyAkXvRB3Y7BbS9Qi9U/jc3+zF83k0RX +ZGoKMbVM6eX9Ki9ktIJK4CozWaLX0kRjR9A/o9oKKICfhecn62bsWW0LQ3rTyVyU0KeVR+hCGrQY +GriauQIPii1tZnfxHGjZs86hOgzE1JxF6SmlhYjbNrlAQkPR4J3HspzniVyooGE/blF7rEQFO/j8 +oDoay09XWcjuPvZjXU9jtoa1o7l9KKlnok2/IzD598uKqrdgpRG/mUEhv0zRByUR90lRWEcJf54x +Sd4+boa6BYYGNlqW6aFNswqD4xU5dY4XnEZq7Vai+S0voaXpsyVOaLiQFLR044KZjcerlSVph4cA +CKJlvRtodt9svRKr/wiz1oyeqU5Qb7kFL1pky81zI0VOaw+j/8bU4ERO8VUAI1I0ZqxopLs01iPB +RJRxL7piUIp4oKybTshTpf5n2VpDBWRJoMlsWhbJzY9wXEeQcHQ9BZD1wwqV11UyqMg4UWoZwWEw +pEVJh2mR/V2oleS2vsoNNn4dBSn9YGGd0sHtNCDer5SBVrbXxEyCag2PcZ0eTUPXaAJulkptK5jy +8i250PGZRSjJ1hoZ49T1b3R/xaaMMVQE3J+FF4/D+mxcKLuzTY4mm1UF8x1H0PiYai0p2+gn72Sp +zIAjX2aC5HtlUbGF3jjlOly6d0mgkr022Uf0lPgvktyx6pzql/BQZ5XrmCOZxDIT9qdmC/9E1Z1c +jMINzVFkj8h4CqslESDMf+wE+FDVtXgsRipGvWXJ4RWVf91K+hKKMKD4LxY/GzXT2lM25iruM0tu +8nHT/QY0j1cI7mjVJSX/U/uOQ7eX7RyRu2j2Ik4g6SdNZKqtOmEBjC56LKuKlFb38ytNruI0LzKG +wWF0JhImJK7vfycLJJ5BzpbXrJyqyKJ0/l9Ibs/YzDGAn12TP561TluEWpo9NGy+E92WxEVZDq6Z +tw/pgUTLEtRUamn8GmIkkEahBn0r21z+KEh0l8wjXB9DYbGUsgomvxTWAKnoVCU9koBuTnnTRuLq +ovbzQO2gSghzFQm17EdzaQ5XS+nPsmB+7n0+cbVAYMNNud0cbgpx0v2izeB3FW9ZixC/m+6kX39T +e1GsP1KMRly/ZrUwhDpNGlSHeE4OquiKCF8/0FP4n0NR4boDvV7zsODKD6xtAUS+fbT/bgwVRmYq +MLaz7ChbKVn/c4XRBL4QwBhhwyhs9FrRHynG0Qudmv2FYy3BoViJNgtlmedI2JY5+/sH56IV/tPP +YD4Ad3wC7qzqvKfJq+o6EPuLR7F0j0l7u5xt5zim2VwYUPXFIC8jWyF8nXtqIitESwRIQ/p/zwnr +Sy0nvXD3cgLw+mGlg9/CRmLtzz3NSIeAsUEvmGsQFJQMl29tKlQ/B3/HxHZQctsN4FCrH1Irlwvb +VQxh19funXNzZKFZCF33Qv9gMBAGhbREL7HUmjKPxvZXyEFst7xRFL/hLRxsrC8eZl98ZUdy46pr +gvL4W8MqbWnf7+moX5c9syB5glocaN7rNVnkFe4A3P/9bVhKvjKoiGfxDFumN5CZ31Wx9E0U1bZ8 +uWQl0/CFWX8xdQZRcDdJNNY5kBihO1srHEHYq2lhhxDE0PRNnIG8cgKeaC9ue0UDfusNs227bUe/ +HakkLfg26eW8yGFtUh4p87kFf2BFIABnJIf6rYmQmQJW63YP9zqSkO2CMCA4pg6P+sh/47CaYDBL +Fzo2KwWifTnbP8aYsxBVys8XQH3OGf4/4bdjYE2LXD/ifmjfEN7b7U5lVX3JFYRPuMoJZrm0W7ey +CEouqET9leHnzwRU47K0/+PrihbPTWKqN/4vujD6RCTap5guLw79Y5oie2bPRuWWxMrGm1Qt+eje +XFf6UpO+x5iIwCX3xhzXh3bfnG8pdqGHU93kP2QAmiOZSOI9BhK/yxiK0PsKor/FUOaMkSO6nDx2 +W3PsC4qSv5zf9m9OsKAkvUJ4f/rm8jbCLY/EpBLefptq0W21aZHltAypd0Gxn1E3fg7RdCrm2sdz +/OPzzauiGtqoebCMHoMIiPToE8e1wt0rBLurCBDu/39RoEqnxBpjZp8tnSIXa7V+dpNJuHsgoQur +duLdOV91wt0r12/cseFnjJGZcIHjpqcI3zzfwcWOGoyLVve02SFhmKu4FyRN4O6OGw7mqFjlDbwB +gmJh6+ltkKPZfJVXYlkIWUQigNAYNHE/nAhfmS38xMTcTvuyJp3778vf8xcLEKQsuzQFVaTLh0co +qZXWUPSuFAlH9Ok4asr1I/3zU4iMyDrFyMk9BEttOVO1OjlVGlYs65Jast37h/HR9r4T7opC9zWP +4vE48fPC1j5Z9/1MpP2oKtzTH1zX2CSh5aXGwiSPKeSdhA33RCzLUJo+JxdhDSU2Z4EEwlmhtOs9 +/HaDi2cozL3TDGuLYu08gaM5oFdc03uomTpyFMCyIbtp4njVrgzpzOOrzIxTstxsuC5Q/nyr0AaI +n12eP/9TbVp4gKRqyfc3QvDhq+yScCS1/8JN15sJusM5gcYjV8i5Xn7E6Qzlr1ui5RmqI8V4v+VS +mrvdCd29fw+B6tdwX2A/jdMN7LqMKuIy/eFVmdbFtjgLTdiwfGSL701DzZq7Xp5zLj6qR9H9R1ms +EyixOc+db3C0ZLyvofZhKRq7fp/jx21uPVyzqbTrlhQgY6KCozT4ZjpAe7KQOtjUpeL6NF9mlKBK +BV7TIL3e4arTz9HEabCbU6MiKBXuvsA0WTXsT5W9tcq1BgQ0kPhp293c90LYnRrTZ8f9z06t87T2 +OceMmBujoz4rSNyznmZuu7BaOWFyrCdH3cbuFSqD5byXtvJBYCt6J98xuyEgRi0y+mv9IIW9O/3w +bKhikY9/hclFDoKs7W/LIMjXt2wAzz9Qrb/iq0LAVTePS1SIFst1XDToykBNkygY+8CVJPCosJvL +bAwDEPJEXI1y5jlJE9SlJSnkoWScehcUwXfowTcWjp7USmobt/0zBnW17spDIiKWXzVLnFP6zAX8 +wpjOQ0mluj0rPgHs8xDFyDSBzEeR8/CIPnt9BAcoYsLi0F9uBBjsllXiSyciLSWs6+2aaTfOI5bG +DPPvafhfqEg19K0LDcubVHJZajjAnoTw2Tc/OV06UIXyPM1MzDC1R+grBQ5MQf5r0CSEGiSOcX2T +4DF0J/LTvaFeLaj6TRuvbrhJ8ubaDShZT2fXKCV7SaHuMPQbqBpeu09jdp71rWPwvFPGFNVYeEPg +CzJJiMuxztwR1XUHRmm5Te51Vb7cpZi28RWTLJ9WQD3K+PqiRATfb2TnFQkf0NYkjuJmMWF4G1xH +brAJV3S+pWMt6VEmm+s45z3egfFtyX62SPSPzMRqueZGnbdQZHGhqcvdzC3IPSLU00JzFxdUxnMM +caCLycU60S20kwpuN2M5EgXmsXZcClJ/WE06YHiTG35izzh77eNfp+6DiB8D/DP4U9k3aMxsNKR9 +RNljJbfSyB4PH1qUjEdEL3IghG5dPuQ9w1UF0rZiyjAV1aAcknmxg45B/L3LJ971rjqragrJ7uh3 +hOn+BhuHe87BSzkunX2CUemM+iXpZlzs/zGwEWZ6gf3R3JGaN0VENMdybdvCNGESvCKkP+QqyXYG +XpFeLGsbszpHkUNE4HfHfW9ECSUXh8d6wg1IEr5WnT6D47PFyUPZ0MX7jGW/vSCxbfc/O47wzoDf +se5pwgQN4rxR+lLRxisXLlRMOM3RzMJDSwnqt2s8/fFmBV6E9TDWoHMOoTqSylz5s8xXdlaPcmU4 +yaHnYNxzQcfMqKxrK1blNFeL2hCiO95oUqCbb0dxhxRVQvnhdPcji6Zu8Cf/CH9CzkagbNWtpxAp +pf1mSjcaR3KP72uyeTIMx5+OqdDuo8PwCO0dGH64Z7ENHZ/Eb40/UVyzX6BuN+IszfHqmZ9+Hcn7 +Zn2A8ccvdfmEh7BHrgTli3195RbljVOFZz5RYuOVDIz+eUdrTpUBcpGKLFVPnV3uZfCivWw0VarT +ETSC6qjznkLJEUCLbfSRiRdh9TFJ1OuRyp7+/K7j5OGNWtPJU0mvmGCMW/gTA89tIDaW+yCU1wjR +uupcp4BLSIeVmhFSJQkUdVnmQBboz2iDusSzZ/Y/ik7sYgM5aWZx7DbP6kIgAnx91gHnaEzHvVeY +bya0HOIDpffaj1fy8RffwKtGVxyT2Ewz6i2JXO9zEgQV+fyo+P+JWLLah4GsIx1cuCSndOqpXzJr +cvGCfDj/2BDmgYo+UoDrZCWfIhCa5i0fi3ijOqrzYf2u9eYeANsCRgTy2v6dRoldUuvtsSktcv/i +a5RHLT4O4u+3c35OaV+sQhiE3/mtfZryBC0d4kbqNA+yAj8HNjVa+r2moUCQiJ9MNE4azlNO6stj +WvlnAehTTb/I45uq0+2tmEVeUz4CfyMsiqEf77MfICicoSJKYjvVdQ8js7e31UuZ31101cTSHu7T +p0Fbahs0sX4Jo8aooePvw4UadqwpqmXRGk3BdZKSz81k4vdReg9ErYapxAP1ewqWDKlKaccqA73X +3AT2+59CfcCjRSBybHdL2xUlG0kvGKk4GUdBCDFok221Tm2l5nUy7ZK6/jNsX4hJ0C6t5GalkHWs +fha/WPSSMxWZ3V+WW8uiZU07aXQhB9C2BS61Up4GvK6hwUSZsmLf+m2lr+t9c7/3lpUtU3Vho6ZR +unQouOuOULnahGyAB1tayBWRUTF70Bhfl67P9vsgAUEDlQr6qQ88AwHPadsj6WREppth9kprIYoW +nFqt7/+nWVWab/bVGZxym23cB7RdLR1kIEou18XyBr40yYrNvs+1B6JGdOLgkE3y3ZDrFlroQxby +4zxSCbFaZR88H3eJvx2R6Ba/n17AXrDvnpI8/MyWRTpYCbvI2128xgYOpOfzbiAdy/QPAnDQkfU0 +v99B+PIBI/4y+JO3vLz9gl95rmp0vFHisfldj+6UL1X066m1BWCv792iAo2IFY4vlpLZLe0dO3IV +CbF7UFLMrJeZTAiMBWYIHRoRVnzddCpI3Fe4EoxuOjM/Cqj29b7TjXN/Qno1YgVxaFkxP5YMD8q3 +sxKwArqLkLqwqB4h7egi46TL1PMJQ1zanT4SGvJiAfWwj2gT5FKOyf50GMgNmtFNgAZ6bKAg29Gm +H6BQLa44bo+Mo4SvzcPvQnCxl1ljKczWTVoYZBcD+iU1P3DWrGLNp7jANRZUGV0pKSFPHzvPxR4+ +gxC2BA3+UbbLq2/1vJFjgJk/FFFuUzlTaGxOxRb46Du6oUARO6cybpS/YMXqpbO/P2sAP5dPbC/8 +1WjnWAvRLICmQqBxH/s79NVkLK0iMXQeDBjyr3d2nx4xIGeTgxR0sisBocEJXzuuPS8mHAMwfyhN +80pY4RLcViMbYDRaHku2fLflUJEfKL4iIhP1IWC8PSxvYZeFE1QC0YcLHq23+xTqfCtAmnmirTo7 +sCPLPyunzNwIONoh9R1iIXvCgx2suSslEYtbyk/kvWKj7YgQecKdQHd//JiFF3HNlyBZkkrhJg2S +Hn5TafiE7qoV+DWf4vf2kj23s3If9IF1VQVEbPeX0DvQOUf1b38o2uV0vh7Q7dktJSkhbnUJaMl3 +4Uu5He0KFBW1WsitMJTmpwORmXt/l6IDkIMwkWaDo+atK6nPY80TH6BS1IoP+s4p6LpN42Ms7XLQ +KQzOV/wjG6hUcNFVEsUij0vVWQO2d+N2hMJRwK8XKpasLoKRdx6TTGdDcwW7hiqqIET9bvrNOXYt +uTXoJyQyJokgx2gVQf0uYN4vOGsMbdQC/9Gta3HgezOEYQXdR/6S4PsJ5qGMcwoet+Soj5LGqIb3 +zZJs4gQjiTX8ypRb+rwaj6/NN+MI2T1B+rA88UqxVV62rUxpLlmmCbRPqKzGtnS082BzReTA1wLf +twmXHJZeW9pgFiiZLInIAfuPsteKAYGcLGrXKY8DykbePWAFd87rrWUHjGRq2fptcojzMMkI8vJk +9MQ20U8eKfdMa3yZLZ6p+E9EZjSg4IguiYDQTmFwqIEZcHgP0HXlsH9wu3oKdqc1EphUOaqLVOmL +CxdY440etfGT4H9X/NFqieFOrFLpVvqB1FXvC4A8dMGXhotVPXLhaFo6pRFBLM5gZurm3opGaMOZ +3XXm11+HMKLdFeTX2V+vBo5R8DHQIA469P/Rzn3bIacS+XZ06dboWiEJH75WAxB3GCruu6AnQE3k +7plzf1CMHR8hEmC1BEOSv6GwnenJTOD8qSJR48amCLfvnd/Fc9sezxiWVFBoHabiORoHDfkD+gZi +ZPksHejcKEzpZk8yjASTKhLjgyorPigshxrWmsvOWf/ZuGDtmgDImnlcjr5DqSYanDq9O45aEbEc +GyUK9X009+Swolbfhbff4o27mX/Gwq26+LHu6O87/ksHPOV8MjGKUSj6QdUxeWtxp3JWaog5p8MD +NkzMFvAHIb6NPdTIB7dtojwAqAVYaixzfKjbomlssihFub2Nq6SX0cqrjtZ5G+zv3/FrY3k5Wbbg +haoqUULqG9qocYK2nfQ47/SwMrwDuzgFEc9aJoNVW/aRu7XpWXflj2HjAqt8C0MbMFCwBzHAQbWl +XOrBF5/dHYp4Yrtc1m3yXQinEqMgkZn1A+hjoBiAHJ/6CequuUCOle19jCEgkd/83X+ZW0VtrMHQ +FBYE0t7EZIbY1EZNkQsBcQ0yf0RwHvjYGDMaanomSQDJhdIWNx65pZe37kiaKUfmyruea0zQzr5q +oiTKjxtg+VcfOOaokViCZ7bp0yKmuhHRZpmwH46KnfMlwtzcaatMd16Wl6X8H/yPJ8yrG1SKgUUE +xZWjgImtL+ej0c44NrIol7pe7c3QhhHtnSkmww7TDTVPF7QrOnkcO5s+egocrCPzZWk/W4gKhMgi +XU7y8ukB8DtOdYaQmRHs8ebVigixgbLa0axxIc+QxfN7ymM7WiZ6Wrc2/+yaVuwZSV71e+ZHjvNj +NE+WgfnEw0eCfizLCu6VPeZBxEttB1RUXlO7YsOk1Q8qDnmx9WJqJaKD2qrbGwA619KkxTJAjqAx +le7frprx8l/FwHCBkjjd1CrcF6rQSwelJuzeLRoGfefqkceqVvUruUy3vmkdnPWvrLEo1etaRyrG +NC59pWoJzAiOEoiTBuo1w5FIKcRm7U5C8wF19S2rfyCZU+Tnq5QlDSkb09cAW1jAC/C3fCIq7jmk +cZ2FfmQF0O953N85lUU1K1765htWsGUPIK93lKtChNeA6Y0R+d5MH9KOPDtxHy8zqTAD/Ap7g6oP +MBrFO97tmLsDvfeDprR2DK4gel5ooLbl19UvYXVj9Nh43qrljva+YAl7qtZkguzaidqfQIq+a/dH +j3cVGhRTP5AFitQ0EPL74UgK3y6/wXyTJBLT4P3LBZ5+eiH7frG6N6w+HlF+GPed135vr8EMQMMv +quSqBxsp+aQ36r0arHEFq653eom6Je6mM67oxYwVwWYAlCgJAvUTxW+h+UwYjfp8hqVcHSF7j1MN +dJdpsNhGxk5Bxf+ehyI5KyhnEds+h3DReKqPjDaz4FexRuWY0ha2QK8Hm95YCV6v+AVZqLpwwZSV +KnmPKZCc6B6SILkgqOu5A92vH/oBVG8d7qOjpwMFTXxDxywAamHxfp7IR5Gws+6XPmL41ro8uwkG +B4MGmnOa1cYb/y9Dl1TDH7miczEGjFL+N6kl54a4m2/HufzQtiLAouwN+5aDMilUojMosaXqVqCM +6KzltbfA5rzhaxLdVl0zm43s0xsHsXWlXKyc5juPwyVOhpUTecnyzNQu7RKzmhUdY5U/xaAgLw9v +eMZZrIyPzewNUdqVe60cPVrPIsNfDvD0BTarYM0eZ9GhSykKPcmAwNY7yhBLnl0ZSS2hSOO+p2Xr +nJ30+TVdzv451Jv+fVzpFh5VBymK1hJTKsV8i4ZJhqyj+AsQ2BOnNDP4uLmcg8RjsSehtrani9Ik +KUUMBvWD+biZ5z4Eog4t3YgDHpxXBdp2Nqq0Ou06+FgW1CFVTJFC2a3EryQ5U3eJILU49rQ5y4Ox +ECS4wtEa90frXkBhklhKZOO9/yvxA9BGjquclvD35v6CHoT4rCjn8Ct8lWgjZV61MC87B5g66tMN +KfvlpxkmOy4Y3ZydmsfHmPu0/IPfawc1ssApz1O1yK+hPJA8l/b7cWxvf+G7EgIBK455opGAuGDI +OM1lb6mMS48+DlG2DHHuV3gBJdvjGgmSd7qwtmYyE5JeCtAUpVNCnTw4ZR+mZYlzah5wVvHd4dxM +rNN4TsOTPkq281ApGHWt8LdrKA1yCMQGh0HbPyjB3Jm9y+4LjwJJOy6uDFalUMX8y73x03R6LorQ +u5vtxedBJ4Ebx+cjotY4o24u5uTWaPAZ2qzUf9kJI+ocascr6T7YNbUxfngPO9IGSQERlg66FNj/ +n02ehdqSk3HZfWr6Q8PphSwrS0QarZ4ciUiRiCbll9BH+3tOb52kpLuxW3cKRr9X11i6/wLE2Xf3 +fC5b+kLRl7HfUZ+XZL5hrNcjP1Ve2dJYYxtDvxxitFNhANgghOwY1Lbx/tMLtydarfUrUUpjHv6F +1ggpvAdAttigKGjbS8pFGEECaN187TJTcqef8ZOieIyEytJMhhKnnnvCp7DE7MgGvXTzq/ffwy+/ +c0pOOAxv1e352CyLlZx/3qY4eQtxiVcUAFMlOxyOhi6oz5gKXrc1qzQn06kX+RTJN/Pm1Qs/LUkO +Ud0pAAat3Zrt+hwe1eR2o/Dtlr+juEqFDZyzB/CpLw5bYiHuUNPedB0zzZvnOZoNbmuUMxhy8CFM +tNTn1IY9S9fVI5M250g1PtLfgW6m/RXetUxBTSqbu75CSsCvpI6BG88AQBkEKlPMn9IlDjtFAZmT +B2/HrXqhuD+ReqHZ/5YhftUhusN70Ry452gnXO3Y1B1YNIUmDG8EyJcXM9j6127R288NuNgbLzLY +FlNbzssNmbcDnG/yKZOw+Z1APt4Tnt18ZLiomu6y8DUq20sYI77dl6Tx2xJklGX7UoZ1Pwqktzg3 +UhBFiQ8R4IMHg28yEuy1gp9jpSb+ktxjn7KxpSJoKA4B2I2EiOtJuj1DiJam5TLAp/Kw2pAKYUg9 +BwB705IQgKyw43HLY4BIxaopesnvvImJLVEQlFAL2P288RjJkz91HBs6upb8NOz5HOL9X5BE9N54 +wbh67OBjNtUc+8Sg0GHtAtR1szLsWWn/si8wzwbei9cAqeV92Tn7wu29zgQwYSNWNv5FNyQPV84T +PJw3n6+CsFrwXubmQe1I/cPXeGbOawYCUGGqKvwsTZfWFSmYk+PU0y/ZYQM5UtvN/b6xoEK7BNB8 +r8tNkn02n9uDU+53rCcIQcINXhVi6FagtVJP3AI5xpL+WyoE0Cg/lM29mDroiEH43XH9RhqjQLEe +o1J4iskxO7LGBD64lzzp7+N9hbUdaFsx5nkU9w2aJW7sv6jflPtmjH7EBLADrhF5W2F7hNTVKsFV +Cw5UKayviFKTqPVzpa+WJgZ7NaTh7kwhPgOM4aB/vv2DewwSBmBD9f6XiBsU/V1xCA25j32Nt5Uz +qrykHzObFDoEkdPueq6ooHoxLdy10Iy5l/rUk6dgygnNjjjhQ+xNmSBUjRYkUme0/Nhya1DQoDRF +ja6pnawwkZqs/DTcPoVxLRt5vZ1xWIh2mDnB3YXCi9NGw2XqC1oXPkUcYGNHSXBtFEP2Kcri/Cpk +/FsWNQ+Y+fRa9j67QIgaysVW6A45HVZFOBAaqL5JswderRqnR6t/pk0VWaL/xeFdZvECJNC13j6O +ENX5KN2G8vODzXhS+ZcqdulKPFCUmjan2ou00GHoczpX+baFNLLpmiGq1/lsurrrNB13SsxcTwF6 +9AEiZ8sT+Agt+Men2CkvTOjNHgryUw3ODPjP3pClmBQgFrWxeeHIRDw6AkAvOIj3EvPvCIsM/ir4 +tsqKf2UOc+V/LzQcH+R53CD4bBw3WndS7DuxO85iL9b+JavZf7PLX+nhFWHAQoApPc7+YdEq8ERM +mNLzwLpI/yIqtTM/q2q+5GFomzL124YjCMY5LKznnqUFJT1G2GcdbQch7MycP21zVMJTI8TNB9Fv +qv4w2+VcgQHgivaSABe+oM7DwfhX2Y3mB0txOsQgGs0Tkuz5/CYz0efpmWc2CqGiPPN5cY2tdjA2 +BX+kvXZuQIhk4LYfUzYyw/apvWRp6E7aVTD/ekvGHmIrTkWAqGUn5hhUpnZ/dwXK6wxVo65XTR1z +ByvB/4w2kcQTKbSqEIamJtTJi3hLLVL0BK+sWl5m9WBRI6MdKmv8t+8SprSItVnnR+dSsCW22gFF +FhcdLmWTQIF1OyiOjDin7nmLPtMltXyvy2F8LkFKRvqKCOVm9hWNC+IH9ZiPlwdf3am7ZUpJxlqU +R54XEO+xUaw52tk8TdPjGgbZ85ddsnMx1iKWqVSKUp9V+pjH0jjrmAk9OrOjFG5XyII55zZH53J2 +64NTp2YVQavIitEqSl8MqDhkTmnBQ9nJFOBFlR/bRvSXvDOV/BKqjTLZsK8O2Am74lH5Fo/xCGjV +aJY2imKGWALQeXgewiICLbTZFL1zUuEzYfK4tt79QOqWKonLxjjhT9rdjhaGBSQURE0Eu3XqqP8Z +E2W8QOheqKXWaFURuF0Guy6/NwbV6yEhVkmJfNX5QzPcODnQIP8jkXhvQCBlnLOGy+vrqd2EAfxv +Z6uOfWZwX8abHFUPBi2U+p+YK+n0/yg5qDQa48R5U2jCed+wakvQuw6+R7Q0WEJzx//wQb3NJ2XN +9vaUvoZeLPo+H1aR/tqiOAcoozrjyMzO4mbL3EAbqJ2gTFouS20fBPFUU8S+v8xjuDGkRiZ7UqeT +JvLwNTY676WfcMAhOtiTZhfZ0SHuEThiWpUvnNaJtPRl5kKcvkcNdG0sUut4MYXw26jBS2NZ6Up6 +SjqxbT+aLgg4SPytlnHevBdD/7H8n2Dw/Rjf/1yexSUbktDQcGcIaxFSj9HVUej6+wjZO7jQWwo8 +f0yNTOou16de4FxrJc82Y4vn1heoAvfixSGw8Cih4acCLNG8DhSaRXqR7mwHbkIt56JWwhJO362+ +ghShfgb3exioiupmDMvffHOTTnQsTLAGBe0096LgMJrzsu61/MHmHrNJirnvuJbezuh7zXOJ1rLl +gDI8P/K2lYFQEiodCKCeJRCnhzzl3ZyU0kHDMfJj8smKrYTJ8PQ8RNtgkbKQH+sb0N7jHDcgdz3I +Imd6H3DEJ4jHT3ZdeVJupAE5HVCx/NLektyO/gbn3FVb2OGl7P5xD4t4XW9g429hirV2bAyqtVWu +Q6ZSsx6/D7lNPShoKfetqA+F93FohwdDAsn7WBGaPyFnOB2kSRE/LVz0ffQUPRTV5sU9LzOsxbnd +iebRZgqh2pVIP9zoO0MKxV1wHWrBINmFOa80yf/xOWrWBQ9uJoGjsfUSq4wmD9UIpqa2APeL9LRE +P8BdNavGU1xbBZbQFxuL18bxJiv2nJercumVVDqqC7C/G+TDzqxmKmU8/L7SA9JFRfYV9goyGOwM +SOYS1zXWL23L8lnJwTlKLPxN3abE51H4rVJkii0O+aK8cBLJ+andwpTGkFvpocPV8VfJoL+bVpHc +Qg++IW6hB5dSa4tgiI1i+SbT7iGKEcs0PSvH07ZwIvRxS48lRnMNIfXqf6bpfiBBZrfOzzZvexNP +CsMwkcp9Tw6Br3WacTumlZ8Yy45OfbefkrWyeBhAuVXM0398tI/qExMEDE4NWVlKsTCs6V+sx5NO +w7lCa5MD+5+B4OFY4Z5JiDpxSSJ5ZOorzxrFZOcJYcI5AAX+ofnKP94Y3sOp5CZdnMuQceO9HHX5 +Tr+ZVUiaK+Fs4qzr/GDjREsq7sPGJ4EbgHec6r1ZHEsqrf2jxbZnBIyr1B2hc7YzLklIIoKeLIEQ +DRRF6slXANADH+eSo4olwaOmIEHAeaeOwmn08Pt8IftxaJ9cho+XyLrAnNl159rfdU6I3JSNKA94 +aUHzW6oYs5UErjvpuKNXH4ORQbzg4ep6qNaxu9MvIYhIZmYdNjcJNcpeaYOJxFCLfBZEjdo9wTNX +v1EE2b5BtqU9Hdr61v9TFZof8jc0Xi1R2U27P+py85jqz9Fj6tADrVgrmiArDTnZsVgwdouOw9xX +faIOf9+KvavZ/lBINEJjuLN6AByAudMqCGha+MVEHAPiZVEi6xSu0J4jKPfOUWvIF1I7saBG9Tj7 +94H06jqs3he+uKr/vwEBrFfAb+wTjqDbWQUMZFiLScDoGfu6sxXR+GvPMdawdeWbPjqs7osC2TVS +i6PpIEX3l6L5rEA0IIyRj/sWcnVfzyaDkXzM9qhY3O8KOnQBK7jqpmXXDBU3zJq99TKHEnmW/1V5 +qdw1vta+4FbigUj/mgMIEY0rVgHtBzDHdjW+/79QwMKSM/1DrCvEjf9WZ+B7l+MKxiF4CkpO8ykD +YuH9p8aZ0OG6CkABpL4euQ63C1NlOq3Q11HSSkj/j/CSCnEoFbZ1yY2Q3Zc4QCl4sbel3PMry3Ww +dbHbAK9JgoCP77CQzWCpMYKsSMMRmAigxSBTQAQ0WGP4miYqOPdpaqm1JSdFCDX33LVhAR1RM7j5 ++8JihdNRmFnDGSt8m3VE1ql1boxlwMWG1+fAYg3rgit5slilz2q1wm4Et5nvsmoSbnJ3vCLqnE36 +crwWC2sifIuRtg4ykq2Gb1Nla3wVTtoK5pitr3JubWt4ZihEZMUYJiEzR1WYIP0fUgzIZmGha1+F +KdpPg31+pOUImHH33xWvmbZYhALQjZ1bvym5MvziIv/bMEb17IIvz3iok1uqCzn/oET7X7Z0kBi1 +bnGObwZyk7md40IyEZhuz+MlD5/adjB8Pteh5InlD1XcFezomFyxYoXFLJXLqp5shF2fZ1eiUoBZ +I3JlT8qSHvF9r/yS2el90Wi3a6Yo/NKfGTGMpEKTi8ndn/s/LXtKBNvO0ZiAjbxbKzH60PrkGnpu +0a46oDj8H1JPaw8UQ3E6tJtWDRasqJ3S9zAxXWLjCOYaZlgImP85DRmx4K2pu1AEiUG3x/wnUoKF +4ZMNnIWpc6W0IcUEkWt+xkW5phNhe83jgdzOgDMmjiktyp7NOLBH21hlZzOuyvisVMdZErPobDyk +OIYUNU07bkKWxkh8em1zIfS1xZ10jyK3fe/RajyRLR3m9o9Q4ZMEv/ii4cWGuJ0CVmjyeNUOzzpE +5degtTW9iblsFCcD3tnnfqy+ToiNbkgAuzhkMJJa8W7tmw8P2e7VG40sYY0gDw1ywhT5E9Iln2h6 +VwjRrn/bVeWYCy+d1jzb/zmUgaaIpM8uRbLJ42mzieuNUthv7PFGHoKJ3gUSIQSHCPG1pIYLZWB0 +TPNhRuZW6opFDR1QfXPftRowVXnMwif9uKWqemXXVjL+TgRmXJh5dK6Eg3Ni9g4axLyR8HIh8hQw +pJFNomfyjmamVpCpSndccWvqVQOLrb2jiR3FBsxsb+Wy8+L6SFvfZJQrdC3A0XJntdz8pwrt4KbZ +Cku6KpxniDbJpEB690Q850eTvN4V3v8QlcPgJY8+FqBhXspwV4hjxdQMcuhmRofNgMTjFcyuRGFd +Tx9M5Tf9MyfF+I6JNCrJypUG55XaxautJ8OscLfD0g/oCijnRetQ4WD937s3hJWl/W/747VHzsWo +IUQxK6D1bMaa6ZP1Er0I/PpRS66m2GoalFD3iTlVI/pKp5qVVpWZZNQnYFqp02sRn2q8V3pfURsy +hpC2Vx87UJkkUjq5P+pKtpAyew9ug0hmNxiLp8cvfQIM0g2kcnc8698AC6YzmajIp1rUriWE5mAZ +SUurrhax912OYJrD9pwujQXoo1FiubitGterJnzV6cmSw3Y6PnAMO4SL9MeDGj8rEuy5v44zYO7c +C3vgfeZXKsplw0GOK0R0M5+lHDD4+46CuJMaGgj1CL2aTtc1WM6oJsUcqS9MkrXWVSnGvpYOAFpl +0/2qDEdAJ8j0wk4Yv+lchlG6w3oPkSIQhvjxT49k0wNuED6x926eYrXkN7RkyvQbhQgufuzMqOiu +ul1qoaFKXVQDsseNPLXg1xrtKakPanJyIcCTyEOMgo8UjslXws0lNG9KhtfGFjqWIlGviwVK0M3+ +W3QPg0c1axuQhiExHoqeAYcZ/lS5Gff/ht8buZmj8e7SU75czOFZbZR1yhUCbCTpXJ+6eph8T8v0 +ca5NkrbsOINQx60m3f5Y5r5Y7hxk2uMy8qKVgc+ln/nwWCEw0MdBoKmJlRPoFm/ndwEM5xj1CNpZ +8mLNG9qHHEofbIIj77aelk3IYdD1wXLERR6rswI9yIf9/zvv6gI6W0kLBL3fnOP1pctg3ZUxGrdv +99nGcaJoZcBXhhsYXsXHUJH+Ojt0mfVg0A299UjRxIL34zjItlQQHR0mAUiKnWaXSeroI4NYl0gO +gQnsHL+xMnePcw/1uu89FJlP1ii5EmeYUSLSNI9DXRGN3Xs4aQKEdP5xQBqVEfHF3x29n9YfRA9d +r6CH2L/kNClq6w5ZmSqf0L0dYV4z3Secso9x3vy8U7Jmw76OAsmdBwe+qMUZsN8Q2/+HKzcitnVD +w4M7jBt3gtOS/6wDIKHPCpVkZB4zf4jdqPfVWqWIOA/U8qfdV19bf4DtpHFwpMQ9Gy9ZOnAp3EJu +1Xva5+lX+JzJLy/emdELb3ZYsDRpSqv8FNco0dGGkre2+c72eRkuUWKdy2ZwXW3OSa7oo1pKMG6I +4lViegIlY7rCp+VCYq3LOBjWrsTVBLoYECOTFD3tb+0b/5VkgdbHShOm/OAx2ZHoydzCAGTusDCC +bCmEBdpSlc8MI6vkyNVfE3OV47YQgDZZXQPVhDUPyDx/eN4zYSxH2WLbT6/54Cg75oUaBrAo33OU +KgwXWnT+9pJQrx97QFqvk7urcXIjoUYN4NWezqYg8ZOJfZFi17UBeTLPmxUxbql/to8N2e/hLLjZ +IdnSWqmxMu9q2WWKgz7NpP/Q+4v1aMYKOkY5msfz2Bg8eCa1GFhc/ZELC69Nqv/N+4f+ylRHwC9m +uFlouFlHeS6P0iM7PrQWtm9ocSep7IgPspEU8MfurtgnVVBxgtZaoU3/Dul1u3qcAIGEC41Ozgfw +DkjZ7qDmGeUleHUZWgYC+W8stRy4fWtCNKSL7NTWX45nihNuXgPWkZC5AvJzJ7vXG9OM7BNIlfUr +dP4lR9aMlxG4uH4M87t+AMI4/5EvBmqMatHNiWnbfMmPSzjqFIXFHxyO9ZfPVqPPi8q0F5u505P7 +9J/n4683zujVBeNdCSuCQOiViQ4usoVW5K2Cdm+huyOigslxpzu9KxYBRm5opbjtziALhbhWyiCa +h62H/W1lbTzvTTyGSs5v7wkORALSZoz2IRr65OjpGk7owaLDQut1Cgcph9dluGkR2hpVAn9UErAG ++Dzh5Dxbo6CPBSsP5mYLWB5//aNZsnCdlB/Ipeuo0Zd40GRJ+t/npGz5hxHroo8nzbn110MGCLot +gTIVSDKLytT4uyjHTKtRhvVZipCcZ6MgOcDugyZMWfCdzmibW2RtkBORxEn9Kq4RScqqeQtQx9J+ +6Q5+aRsBR9Wxvkx2vtshxUgmYzG1KCx6gAYKSfFBiEqgGfh2t2YpZYE53CRcpXq3im3LpJnx9+RV +tPeuuU9vKK6Xk/ThIydgVPpWd8ipo8Uzxy6+5f3glWJAznQAYXjwJrueNJKdHI52T80ywlGwf4Eo +EUzb6M1YP9apwtXj/oSLNaT/ZqVL4NLJAkP8gajOML9sLMlnm4N/A4LTEF1NSpD2CGEaawWwfLRT +gP2NI8PJQju+iMtJi8Bp/FBz0ljN2rKesZ2FODY0zM7TwY2ULqazcpviwbcTKnmaBdMpEdU1tnJ0 +FkCXERlHx0bZ6aEohpxKBuB9RadtmpLkIbmZFLkAACSW5MN1VfNGHJseqeOiMk6KTtVaSKJfH/CK +n7LftnJUrZ89TgX8PsSgIRQ/7m59b8DoBUSz7x838okVgeajPV3Hi+sCTzDOOb+dsW99MW3+Nka2 +vcsNWCY62yJc4QN+i4QJ3qCPoJ17gQIyjQaJbzsKpHuWIILNh9CdV1xni+PCMRMsxWbC5o9moiJh +suM0iLwz6vlab5wrf4OofefWzCKgInDza+85KknWF8IOo3QfAjtncGrcftYBEETq0WMeX02uccu6 +Wwwcx4D844+SmzUysecQjy2Uifcxh5TI4RJ4vq7gnqxRpxc6Sh/xiPhjgx5J7BYgMQmWAdaOjHFe +STkqbds78j/b0SYIvIMXbIYCZsZ3NGm9nXLFi9N1glfGxbzyqwlo5wVgT7jiLjfykdry+DBBR0JZ +fwdTe1vqbjsxYyLts3v3zkl1PZ0sfu7+6zJsjIk2/wc8X7bYy7aP7E9nXGBB0YjO1wDXWetJVKsW +uXUyhCdH14zNvsu/ws2DQtQ/MYVcsYHonTSYG5fR5PwNYbeF1acpB8rMXsQnSiQsiHnDaEx3gDIc +XY2rC+dUC9IdrunFqQROCB8A5OzuOVMtwbRipcGH1M+Dfp2SBcImVSMb9w4X70SqhqXWqKbNIOv/ +xr+aJHRLicP8CUYV5TekXhguTC4zVvLoawWJyrO9haKSS6NnNv4q3IR0dYgvp80oREvBAVyMIq2v +0Dcz4EQ/QDc+k/PlTLCMgOqHcnLKQWCQZqCedFq21l4xcG9hK/YxAGbxey5qexYFbHirxPr+UIl+ +ndm71MEDItLht7ywkBlDi9rhDzKkaVm4hhOl8AEtpFKukpFD6E5FMsXU890791ndZ4J/5kWKn4Fj +C5GGJWgCxWhYQldl7H0eR+eGkWb3Ona9NW5fsppd0XtQ5H6aSKlYE+sOwEd6Rmx+g8bByUAxF7sq +UMp1BP3GhdefN78NgtpWydJdZCCf/CngrzCOedj13qu+c//RRq6fjD0XS65ds4oZWUr3+gmcma9Z +dSxiVmy5S4w+QVmuM+UtwViMqFGednq1b05jMDWF3vwdmrm1pLv6h/4LQRx/l2BD0Fyf9l7FlvlQ +igf7SGuDPihV/wvYmWOnhblDusDokJkEWH3Hr4rkASLpwyrSzg0oxrfCEnU8YqeHAqmViP8o1u1D +ggcKe39dJ6F1468lERUVDzwRt0MDpUV8W6nDhND77Zkas+JBJ8Cv4UbBmnu6X1moH00pQ82lCxVU +wprwoLnaMxUD3rf7iePRSVPYgQoTFLx6izCv079dWYHZctelaUviZJG8TqbRVpx0/sEj6Ixr6c/j +LdymDnvNa9lRr1xuthx0GFUFMF4KkM4VgDUPvGZlRu0Aq9M8lKba/adJNf4QS96UbP6XvKWzLNsX +4VvunGU43VXq5hl7LavS68W2axb+Km5ov8YlGPsZFYOZ4bJq+tYmH0v0FxuyGgrpXjFORTT60/qb +v6vziJgMclVm0sPm1c5z1knQcmwl920hEYcVJXvSYsV4er5Pv11JUft4fDFeOFHmWBru6IDyu1N7 +SSVOnpxE+ig8bLUWWhQQXxR4dI2MU4N6E/BwxhnXDB1m+pVv2ekkcrgBDY1nIiD5Ie1+uL6fzPUx +VJukTXg4ePyYy9l06vqGWfYFeG1+xqPsAGXBHyZfVR4uR52LdbE6pyoLQu81jf2GqAoWKoCHvK7+ +OHsluUEoXZRiLKipJc0OB8TYRyuZOMYaCFdGwhJfIND30WUPXT2GSCiuZFWghoCoJkWFTQKUpCSu +U9bRSonZhX/KEpmHJ6vY0JeC30dmDQ5oC26NrPX+KqDik1CyOENqqlPRI0QrfM3MTuRxzyhCruvm +JBj5OZehb5OpNKyddTCImeBD8JNuwfvmI2sUATD7ELwSyQLPVqa7Gzwz/QlJmMUY7gO9jxT8SQd5 +jnHY1kZJyja0ceNdaRhAxdU8NiD6IugnZA== +`protect end_protected diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/gowin_spdif_tx_syn.rpt.html b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/gowin_spdif_tx_syn.rpt.html new file mode 100644 index 0000000..be315bf --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/gowin_spdif_tx_syn.rpt.html @@ -0,0 +1,1447 @@ + + + +synthesis Report + + + +
+ +
+

Synthesis Messages

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Report TitleGowinSynthesis Report
Design FileC:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\SPDIF_Transmitter_Top.v
+C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\spdif_tx_top.vp
+
GowinSynthesis Constraints File---
Tool VersionV1.9.10.03 Education (64-bit)
Part NumberGW1NR-LV9QN88PC6/I5
DeviceGW1NR-9
Device VersionC
Created TimeTue Aug 19 21:50:04 2025 +
Legal AnnouncementCopyright (C)2014-2024 Gowin Semiconductor Corporation. ALL rights reserved.
+

Synthesis Details

+ + + + + + + + + + + + + +
Top Level ModuleGowin_SPDIF_TX
Synthesis ProcessRunning parser:
    CPU time = 0h 0m 0.046s, Elapsed time = 0h 0m 0.105s, Peak memory usage = 23.137MB
Running netlist conversion:
    CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.001s, Peak memory usage = 23.137MB
Running device independent optimization:
    Optimizing Phase 0: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.003s, Peak memory usage = 23.137MB
    Optimizing Phase 1: CPU time = 0h 0m 0.015s, Elapsed time = 0h 0m 0.002s, Peak memory usage = 23.137MB
    Optimizing Phase 2: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.004s, Peak memory usage = 23.137MB
Running inference:
    Inferring Phase 0: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.002s, Peak memory usage = 23.137MB
    Inferring Phase 1: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.001s, Peak memory usage = 23.137MB
    Inferring Phase 2: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0s, Peak memory usage = 23.137MB
    Inferring Phase 3: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0s, Peak memory usage = 23.137MB
Running technical mapping:
    Tech-Mapping Phase 0: CPU time = 0h 0m 0.015s, Elapsed time = 0h 0m 0.006s, Peak memory usage = 23.137MB
    Tech-Mapping Phase 1: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.002s, Peak memory usage = 23.137MB
    Tech-Mapping Phase 2: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.002s, Peak memory usage = 23.137MB
    Tech-Mapping Phase 3: CPU time = 0h 0m 0.234s, Elapsed time = 0h 0m 0.289s, Peak memory usage = 51.004MB
    Tech-Mapping Phase 4: CPU time = 0h 0m 0.031s, Elapsed time = 0h 0m 0.025s, Peak memory usage = 51.004MB
Generate output files:
    CPU time = 0h 0m 0.015s, Elapsed time = 0h 0m 0.02s, Peak memory usage = 51.004MB
Total Time and Memory UsageCPU time = 0h 0m 0.356s, Elapsed time = 0h 0m 0.462s, Peak memory usage = 51.004MB
+

Resource

+

Resource Usage Summary

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ResourceUsage
I/O Port 37
I/O Buf 37
    IBUF29
    OBUF8
Register 78
    DFFC42
    DFFCE36
LUT 82
    LUT29
    LUT336
    LUT437
INV 2
    INV2
IOLOGIC 1
    ODDR1
+

Resource Utilization Summary

+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ResourceUsageUtilization
Logic84(84 LUT, 0 ALU) / 8640<1%
Register78 / 66932%
  --Register as Latch0 / 66930%
  --Register as FF78 / 66932%
BSRAM0 / 260%
+

Timing

+

Clock Summary:

+ + + + + + + + + + + + + + + + + + + + + + + + + +
NO.Clock NameTypePeriodFrequency(MHz)RiseFallSourceMasterObject
1I_clkBase20.00050.00.00010.000 I_clk_ibuf/I
+

Max Frequency Summary:

+ + + + + + + + + + + + + + + + + +
NO.Clock NameConstraintActual FmaxLogic LevelEntity
1I_clk50.000(MHz)74.949(MHz)6TOP
+

Detail Timing Paths Information

+

Path 1

+Path Summary:
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack6.658
Data Arrival Time13.668
Data Required Time20.326
Fromu_spdif_tx_top/u_spdif_tx_package/Parity_vector_11_s0
Tou_spdif_tx_top/u_spdif_tx_package/Parity_check_s0
Launch ClkI_clk[R]
Latch ClkI_clk[R]
+Data Arrival Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
0.0000.000 I_clk
0.0000.000tCLRR1I_clk_ibuf/I
0.0000.000tINSRR79I_clk_ibuf/O
0.7260.726tNETRR1u_spdif_tx_top/u_spdif_tx_package/Parity_vector_11_s0/CLK
1.1840.458tC2QRF1u_spdif_tx_top/u_spdif_tx_package/Parity_vector_11_s0/Q
2.1440.960tNETFF1u_spdif_tx_top/u_spdif_tx_package/n62_s70/I1
3.2431.099tINSFF1u_spdif_tx_top/u_spdif_tx_package/n62_s70/F
4.2030.960tNETFF1u_spdif_tx_top/u_spdif_tx_package/n62_s62/I1
4.3520.149tINSFF1u_spdif_tx_top/u_spdif_tx_package/n62_s62/O
5.3120.960tNETFF1u_spdif_tx_top/u_spdif_tx_package/n62_s58/I1
5.4750.163tINSFF1u_spdif_tx_top/u_spdif_tx_package/n62_s58/O
6.4350.960tNETFF1u_spdif_tx_top/u_spdif_tx_package/n62_s56/I1
6.5980.163tINSFF2u_spdif_tx_top/u_spdif_tx_package/n62_s56/O
7.5580.960tNETFF1u_spdif_tx_top/u_spdif_tx_package/n187_s6/I0
8.5901.032tINSFF1u_spdif_tx_top/u_spdif_tx_package/n187_s6/F
9.5500.960tNETFF1u_spdif_tx_top/u_spdif_tx_package/n187_s3/I1
10.6491.099tINSFF2u_spdif_tx_top/u_spdif_tx_package/n187_s3/F
11.6090.960tNETFF1u_spdif_tx_top/u_spdif_tx_package/n64_s1/I1
12.7081.099tINSFF1u_spdif_tx_top/u_spdif_tx_package/n64_s1/F
13.6680.960tNETFF1u_spdif_tx_top/u_spdif_tx_package/Parity_check_s0/D
+Data Required Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
20.0000.000 I_clk
20.0000.000tCLRR1I_clk_ibuf/I
20.0000.000tINSRR79I_clk_ibuf/O
20.7260.726tNETRR1u_spdif_tx_top/u_spdif_tx_package/Parity_check_s0/CLK
20.326-0.400tSu 1u_spdif_tx_top/u_spdif_tx_package/Parity_check_s0
+Path Statistics: + + + + + + + + + + + + + + + + + + + +
Clock Skew:0.000
Setup Relationship:20.000
Logic Level:6
Arrival Clock Path Delay: cell: 0.000, 0.000%; route: 0.726, 100.000%
Arrival Data Path Delay: cell: 4.804, 37.119%; route: 7.680, 59.340%; tC2Q: 0.458, 3.541%
Required Clock Path Delay: cell: 0.000, 0.000%; route: 0.726, 100.000%
+
+

Path 2

+Path Summary:
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack6.935
Data Arrival Time13.391
Data Required Time20.326
Fromu_spdif_tx_top/u_spdif_tx_package/Parity_vector_11_s0
Tou_spdif_tx_top/u_spdif_tx_package/O_spdif_tx_d_s0
Launch ClkI_clk[R]
Latch ClkI_clk[R]
+Data Arrival Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
0.0000.000 I_clk
0.0000.000tCLRR1I_clk_ibuf/I
0.0000.000tINSRR79I_clk_ibuf/O
0.7260.726tNETRR1u_spdif_tx_top/u_spdif_tx_package/Parity_vector_11_s0/CLK
1.1840.458tC2QRF1u_spdif_tx_top/u_spdif_tx_package/Parity_vector_11_s0/Q
2.1440.960tNETFF1u_spdif_tx_top/u_spdif_tx_package/n62_s70/I1
3.2431.099tINSFF1u_spdif_tx_top/u_spdif_tx_package/n62_s70/F
4.2030.960tNETFF1u_spdif_tx_top/u_spdif_tx_package/n62_s62/I1
4.3520.149tINSFF1u_spdif_tx_top/u_spdif_tx_package/n62_s62/O
5.3120.960tNETFF1u_spdif_tx_top/u_spdif_tx_package/n62_s58/I1
5.4750.163tINSFF1u_spdif_tx_top/u_spdif_tx_package/n62_s58/O
6.4350.960tNETFF1u_spdif_tx_top/u_spdif_tx_package/n62_s56/I1
6.5980.163tINSFF2u_spdif_tx_top/u_spdif_tx_package/n62_s56/O
7.5580.960tNETFF1u_spdif_tx_top/u_spdif_tx_package/n187_s6/I0
8.5901.032tINSFF1u_spdif_tx_top/u_spdif_tx_package/n187_s6/F
9.5500.960tNETFF1u_spdif_tx_top/u_spdif_tx_package/n187_s3/I1
10.6491.099tINSFF2u_spdif_tx_top/u_spdif_tx_package/n187_s3/F
11.6090.960tNETFF1u_spdif_tx_top/u_spdif_tx_package/n187_s0/I2
12.4310.822tINSFF1u_spdif_tx_top/u_spdif_tx_package/n187_s0/F
13.3910.960tNETFF1u_spdif_tx_top/u_spdif_tx_package/O_spdif_tx_d_s0/D
+Data Required Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
20.0000.000 I_clk
20.0000.000tCLRR1I_clk_ibuf/I
20.0000.000tINSRR79I_clk_ibuf/O
20.7260.726tNETRR1u_spdif_tx_top/u_spdif_tx_package/O_spdif_tx_d_s0/CLK
20.326-0.400tSu 1u_spdif_tx_top/u_spdif_tx_package/O_spdif_tx_d_s0
+Path Statistics: + + + + + + + + + + + + + + + + + + + +
Clock Skew:0.000
Setup Relationship:20.000
Logic Level:6
Arrival Clock Path Delay: cell: 0.000, 0.000%; route: 0.726, 100.000%
Arrival Data Path Delay: cell: 4.527, 35.743%; route: 7.680, 60.638%; tC2Q: 0.458, 3.619%
Required Clock Path Delay: cell: 0.000, 0.000%; route: 0.726, 100.000%
+
+

Path 3

+Path Summary:
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack12.005
Data Arrival Time8.321
Data Required Time20.326
Fromu_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_0_s0
Tou_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_0_s2
Launch ClkI_clk[R]
Latch ClkI_clk[R]
+Data Arrival Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
0.0000.000 I_clk
0.0000.000tCLRR1I_clk_ibuf/I
0.0000.000tINSRR79I_clk_ibuf/O
0.7260.726tNETRR1u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_0_s0/CLK
1.1840.458tC2QRF7u_spdif_tx_top/u_spdif_tx_encode/Cnt_sub_frame_0_s0/Q
2.1440.960tNETFF1u_spdif_tx_top/u_spdif_tx_encode/n618_s11/I1
3.2431.099tINSFF1u_spdif_tx_top/u_spdif_tx_encode/n618_s11/F
4.2030.960tNETFF1u_spdif_tx_top/u_spdif_tx_encode/n618_s10/I1
5.3021.099tINSFF1u_spdif_tx_top/u_spdif_tx_encode/n618_s10/F
6.2620.960tNETFF1u_spdif_tx_top/u_spdif_tx_encode/n618_s9/I1
7.3611.099tINSFF1u_spdif_tx_top/u_spdif_tx_encode/n618_s9/F
8.3210.960tNETFF1u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_0_s2/D
+Data Required Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
20.0000.000 I_clk
20.0000.000tCLRR1I_clk_ibuf/I
20.0000.000tINSRR79I_clk_ibuf/O
20.7260.726tNETRR1u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_0_s2/CLK
20.326-0.400tSu 1u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_0_s2
+Path Statistics: + + + + + + + + + + + + + + + + + + + +
Clock Skew:0.000
Setup Relationship:20.000
Logic Level:4
Arrival Clock Path Delay: cell: 0.000, 0.000%; route: 0.726, 100.000%
Arrival Data Path Delay: cell: 3.297, 43.408%; route: 3.840, 50.558%; tC2Q: 0.458, 6.034%
Required Clock Path Delay: cell: 0.000, 0.000%; route: 0.726, 100.000%
+
+

Path 4

+Path Summary:
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack12.005
Data Arrival Time8.321
Data Required Time20.326
Fromu_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode_0_s0
Tou_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_1_s2
Launch ClkI_clk[R]
Latch ClkI_clk[R]
+Data Arrival Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
0.0000.000 I_clk
0.0000.000tCLRR1I_clk_ibuf/I
0.0000.000tINSRR79I_clk_ibuf/O
0.7260.726tNETRR1u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode_0_s0/CLK
1.1840.458tC2QRF8u_spdif_tx_top/u_spdif_tx_encode/State_spdif_encode_0_s0/Q
2.1440.960tNETFF1u_spdif_tx_top/u_spdif_tx_encode/n617_s11/I1
3.2431.099tINSFF1u_spdif_tx_top/u_spdif_tx_encode/n617_s11/F
4.2030.960tNETFF1u_spdif_tx_top/u_spdif_tx_encode/n617_s10/I1
5.3021.099tINSFF1u_spdif_tx_top/u_spdif_tx_encode/n617_s10/F
6.2620.960tNETFF1u_spdif_tx_top/u_spdif_tx_encode/n617_s9/I1
7.3611.099tINSFF1u_spdif_tx_top/u_spdif_tx_encode/n617_s9/F
8.3210.960tNETFF1u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_1_s2/D
+Data Required Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
20.0000.000 I_clk
20.0000.000tCLRR1I_clk_ibuf/I
20.0000.000tINSRR79I_clk_ibuf/O
20.7260.726tNETRR1u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_1_s2/CLK
20.326-0.400tSu 1u_spdif_tx_top/u_spdif_tx_encode/Spdif_tx_data_1_s2
+Path Statistics: + + + + + + + + + + + + + + + + + + + +
Clock Skew:0.000
Setup Relationship:20.000
Logic Level:4
Arrival Clock Path Delay: cell: 0.000, 0.000%; route: 0.726, 100.000%
Arrival Data Path Delay: cell: 3.297, 43.408%; route: 3.840, 50.558%; tC2Q: 0.458, 6.034%
Required Clock Path Delay: cell: 0.000, 0.000%; route: 0.726, 100.000%
+
+

Path 5

+Path Summary:
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Slack12.282
Data Arrival Time8.044
Data Required Time20.326
Fromu_spdif_tx_top/u_spdif_tx_control/Cnt_block_1_s0
Tou_spdif_tx_top/u_spdif_tx_control/Cnt_block_7_s0
Launch ClkI_clk[R]
Latch ClkI_clk[R]
+Data Arrival Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
0.0000.000 I_clk
0.0000.000tCLRR1I_clk_ibuf/I
0.0000.000tINSRR79I_clk_ibuf/O
0.7260.726tNETRR1u_spdif_tx_top/u_spdif_tx_control/Cnt_block_1_s0/CLK
1.1840.458tC2QRF5u_spdif_tx_top/u_spdif_tx_control/Cnt_block_1_s0/Q
2.1440.960tNETFF1u_spdif_tx_top/u_spdif_tx_control/n111_s2/I1
3.2431.099tINSFF3u_spdif_tx_top/u_spdif_tx_control/n111_s2/F
4.2030.960tNETFF1u_spdif_tx_top/u_spdif_tx_control/n109_s2/I2
5.0250.822tINSFF2u_spdif_tx_top/u_spdif_tx_control/n109_s2/F
5.9850.960tNETFF1u_spdif_tx_top/u_spdif_tx_control/n109_s1/I1
7.0841.099tINSFF1u_spdif_tx_top/u_spdif_tx_control/n109_s1/F
8.0440.960tNETFF1u_spdif_tx_top/u_spdif_tx_control/Cnt_block_7_s0/D
+Data Required Path: + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
ATDELAYTYPERFFANOUTNODE
20.0000.000 I_clk
20.0000.000tCLRR1I_clk_ibuf/I
20.0000.000tINSRR79I_clk_ibuf/O
20.7260.726tNETRR1u_spdif_tx_top/u_spdif_tx_control/Cnt_block_7_s0/CLK
20.326-0.400tSu 1u_spdif_tx_top/u_spdif_tx_control/Cnt_block_7_s0
+Path Statistics: + + + + + + + + + + + + + + + + + + + +
Clock Skew:0.000
Setup Relationship:20.000
Logic Level:4
Arrival Clock Path Delay: cell: 0.000, 0.000%; route: 0.726, 100.000%
Arrival Data Path Delay: cell: 3.020, 41.266%; route: 3.840, 52.471%; tC2Q: 0.458, 6.263%
Required Clock Path Delay: cell: 0.000, 0.000%; route: 0.726, 100.000%
+
+
+
+ + diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/gowin_spdif_tx_syn_resource.html b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/gowin_spdif_tx_syn_resource.html new file mode 100644 index 0000000..e9c7c27 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/gowin_spdif_tx_syn_resource.html @@ -0,0 +1,46 @@ + + + +Hierarchy Module Resource + + + +
+
+

Hierarchy Module Resource

+ + + + + + + + + + + + + + + + + + + + + +
MODULE NAMEREG NUMBERALU NUMBERLUT NUMBERDSP NUMBERBSRAM NUMBERSSRAM NUMBERROM16 NUMBER
Gowin_SPDIF_TX (C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/SPDIF_TX/data/SPDIF_Transmitter_Top.v)78-84----
+
+
+ + diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/gowin_spdif_tx_syn_rsc.xml b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/gowin_spdif_tx_syn_rsc.xml new file mode 100644 index 0000000..0388a26 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/gowin_spdif_tx_syn_rsc.xml @@ -0,0 +1,2 @@ + + diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/gowin_spdif_tx_tmp.vhd b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/gowin_spdif_tx_tmp.vhd new file mode 100644 index 0000000..5c30549 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/gowin_spdif_tx_tmp.vhd @@ -0,0 +1,50 @@ +--Copyright (C)2014-2024 Gowin Semiconductor Corporation. +--All rights reserved. +--File Title: Template file for instantiation +--Tool Version: V1.9.10.03 Education (64-bit) +--Part Number: GW1NR-LV9QN88PC6/I5 +--Device: GW1NR-9 +--Device Version: C +--Created Time: Tue Aug 19 21:50:04 2025 + +--Change the instance name and port connections to the signal names +----------Copy here to design-------- + +component Gowin_SPDIF_TX + port ( + I_clk: in std_logic; + I_rst_n: in std_logic; + I_audio_d: in std_logic_vector(23 downto 0); + I_validity_bit: in std_logic; + I_user_bit: in std_logic; + I_chan_status_bit: in std_logic; + O_audio_d_req: out std_logic; + O_validity_bit_req: out std_logic; + O_user_bit_req: out std_logic; + O_chan_status_bit_req: out std_logic; + O_block_start_flag: out std_logic; + O_sub_frame0_flag: out std_logic; + O_sub_frame1_flag: out std_logic; + O_Spdif_tx_data: out std_logic + ); +end component; + +your_instance_name: Gowin_SPDIF_TX + port map ( + I_clk => I_clk, + I_rst_n => I_rst_n, + I_audio_d => I_audio_d, + I_validity_bit => I_validity_bit, + I_user_bit => I_user_bit, + I_chan_status_bit => I_chan_status_bit, + O_audio_d_req => O_audio_d_req, + O_validity_bit_req => O_validity_bit_req, + O_user_bit_req => O_user_bit_req, + O_chan_status_bit_req => O_chan_status_bit_req, + O_block_start_flag => O_block_start_flag, + O_sub_frame0_flag => O_sub_frame0_flag, + O_sub_frame1_flag => O_sub_frame1_flag, + O_Spdif_tx_data => O_Spdif_tx_data + ); + +----------Copy end------------------- diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/project.ini b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/project.ini new file mode 100644 index 0000000..d716b63 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/project.ini @@ -0,0 +1 @@ +RESOURCE_CHECK=false \ No newline at end of file diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/spdif_tx_defines.v b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/spdif_tx_defines.v new file mode 100644 index 0000000..81ce231 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/spdif_tx_defines.v @@ -0,0 +1 @@ +`define SPDIF_DATA_WIDTH 24 diff --git a/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/spdif_tx_name.v b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/spdif_tx_name.v new file mode 100644 index 0000000..b0e5ff8 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX/spdif_tx_name.v @@ -0,0 +1,2 @@ +`define module_name_tx Gowin_SPDIF_TX +`define getname(oriName,tmodule_name) \~oriName.tmodule_name diff --git a/src/hdl/fpga_hypernet_dir/src/hypernet_demux.vhd b/src/hdl/fpga_hypernet_dir/src/hypernet_demux.vhd new file mode 100644 index 0000000..f448e15 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/hypernet_demux.vhd @@ -0,0 +1,115 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_unsigned.all; +use ieee.numeric_std.all; -- lib for unsigned and signed + +entity hypernet_demux is + port ( + reset_n : in std_logic; -- Reset signal + + bclk : in std_logic; -- Input DIR bit clock (12.288 MHz) + lrclk : in std_logic; -- Input DIR word clock (192 kHz) + sdata : in std_logic; -- Input DIR audio data (read on rising edge of bclk) + + ch1_out : out std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 1 + ch2_out : out std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 2 + ch3_out : out std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 3 + ch4_out : out std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 4 + ch5_out : out std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 5 + ch6_out : out std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 6 + ch7_out : out std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 7 + ch8_out : out std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 8 + + data_ready : out std_logic -- Signals that the receiver just finished channel + ); +end entity; + +architecture rtl of hypernet_demux is + signal sample_data : std_logic_vector(31 downto 0); -- Shift register for incoming serial data (32-bit buffer) + signal sample_audio_data : std_logic_vector(23 downto 0); -- Represents the "active" audio part of the sample_data + signal sample_lsb_data : std_logic_vector(1 downto 0); -- Represents the "metadata" part of the sample_data + + signal prev_sample_audio_data : std_logic_vector(23 downto 0); -- Represents the "active" audio part of the last sample + signal prev_sample_lsb_data : std_logic_vector(1 downto 0); -- Represents the "metadata" part of the last sample + + signal zlrclk : std_logic; -- Previous lrclk (for edge detection) + signal is_lr_changed : std_logic; -- Pulse high when lrclk edge detected + +begin + + -- Detect LR transition (channel boundary) + is_lr_changed <= '1' when zlrclk /= lrclk else '0'; + + -- Extract active part of the audio signal and the current LSB of said signal. + -- The sample_data signal is 32bit but only the upper 24 bits matter + sample_audio_data <= sample_data(31 downto 10) & "00"; -- Remove the LSB as this is used for the channel index + sample_lsb_data <= sample_data(9 downto 8); + + -- Main deserialization process + deserialize_i2s : process(bclk) begin + if rising_edge(bclk) then + if reset_n = '0' then + -- Reset all outputs and counters + sample_data <= (others => '0'); + prev_sample_audio_data <= (others => '0'); + prev_sample_lsb_data <= (others => '0'); + ch1_out <= (others => '0'); + ch2_out <= (others => '0'); + ch3_out <= (others => '0'); + ch4_out <= (others => '0'); + ch5_out <= (others => '0'); + ch6_out <= (others => '0'); + ch7_out <= (others => '0'); + ch8_out <= (others => '0'); + data_ready <= '0'; + + -- Keep the edge detector running while in reset + zlrclk <= lrclk; + else + -- Shift serial input data into buffer (MSB first). 32 bits are shifted but only the upper 24 are valid data + sample_data <= sample_data(sample_data'high-1 downto sample_data'low) & sdata; + + -- Save LRCLK for edge detection + zlrclk <= lrclk; + + -- On word clock transition: + if is_lr_changed then + + -- Check if current LSB matches previous LSB + if sample_lsb_data = prev_sample_lsb_data then + -- Two successive samples with same LSB whe are successfuly synced - output them + case sample_lsb_data is + when "00" => + ch1_out <= prev_sample_audio_data; + ch2_out <= sample_audio_data; + when "01" => + ch3_out <= prev_sample_audio_data; + ch4_out <= sample_audio_data; + when "10" => + ch5_out <= prev_sample_audio_data; + ch6_out <= sample_audio_data; + when "11" => + ch7_out <= prev_sample_audio_data; + ch8_out <= sample_audio_data; + + -- When channel 8 is written, flag that the data is ready for 1 bclk cycle + -- The choice of lsb=11 is arbitrary, unless the input data is really fucked-up it shouldn't matter. There really should be a separate data ready signal for each pair + data_ready <= '1'; + end case; + end if; + + -- Store sample and LSB as previous for next comparison + prev_sample_audio_data <= sample_audio_data; + prev_sample_lsb_data <= sample_lsb_data; + + else + -- Still reading data + -- Clear the data ready flag + data_ready <= '0'; + end if; + end if; + end if; + end process; + +end rtl; + \ No newline at end of file diff --git a/src/hdl/fpga_hypernet_dir/src/hypernet_mux.vhd b/src/hdl/fpga_hypernet_dir/src/hypernet_mux.vhd new file mode 100644 index 0000000..dfda611 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/hypernet_mux.vhd @@ -0,0 +1,99 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_unsigned.all; +use ieee.numeric_std.all; -- lib for unsigned and signed + +entity hypernet_mux is + port ( + clk : in std_logic; -- Master clock + reset_n : in std_logic; -- Reset signal + + bclk : in std_logic; -- Bit clock used for the 8 channel burst write + + ch1_in : in std_logic_vector(23 downto 0); -- Channel 1 + ch2_in : in std_logic_vector(23 downto 0); -- Channel 2 + ch3_in : in std_logic_vector(23 downto 0); -- Channel 3 + ch4_in : in std_logic_vector(23 downto 0); -- Channel 4 + ch5_in : in std_logic_vector(23 downto 0); -- Channel 5 + ch6_in : in std_logic_vector(23 downto 0); -- Channel 6 + ch7_in : in std_logic_vector(23 downto 0); -- Channel 7 + ch8_in : in std_logic_vector(23 downto 0); -- Channel 8 + data_ready : in std_logic; -- Input data is ready + + sample_write : out std_logic; -- Sample write assert signal for the fifo + sample : out std_logic_vector(23 downto 0) -- Sample for the fifo + ); +end entity; + +architecture rtl of hypernet_mux is + -- Bit clock delays and signal for the bit clock positive edge + signal zbclk, zzbclk, zzzbclk : std_logic; + signal bclk_neg_edge, bclk_pos_edge : std_logic; + + signal burst_write_counter : integer range 0 to 8 := 0; +begin + + detect_bclk_edge : process(clk) begin + if rising_edge(clk) then + zbclk <= bclk; + zzbclk <= zbclk; + zzzbclk <= zzbclk; + if zzbclk = '1' and zzzbclk = '0' and reset_n = '1' then + bclk_pos_edge <= '1'; + elsif zzbclk = '0' and zzzbclk = '1' and reset_n = '1' then + bclk_neg_edge <= '1'; + else + bclk_pos_edge <= '0'; + bclk_neg_edge <= '0'; + end if; + end if; + end process; + + push_data : process(clk) begin + if falling_edge(clk) then + if reset_n = '0' then + -- Reset all outputs and counters + burst_write_counter <= 0; + sample_write <= '0'; + sample <= "000000000000000000000000"; + else + -- Data from the I2S reader is ready, burst write the data to the FIFO + if data_ready = '1' then + burst_write_counter <= 8; + end if; + + -- Write samples on a bclk pos edge + if bclk_pos_edge = '1' then + if burst_write_counter = 8 then + sample <= ch1_in(21 downto 0) & "00"; + elsif burst_write_counter = 7 then + sample <= ch2_in(21 downto 0) & "00"; + elsif burst_write_counter = 6 then + sample <= ch3_in(21 downto 0) & "01"; + elsif burst_write_counter = 5 then + sample <= ch4_in(21 downto 0) & "01"; + elsif burst_write_counter = 4 then + sample <= ch5_in(21 downto 0) & "10"; + elsif burst_write_counter = 3 then + sample <= ch6_in(21 downto 0) & "10"; + elsif burst_write_counter = 2 then + sample <= ch7_in(21 downto 0) & "11"; + elsif burst_write_counter = 1 then + sample <= ch8_in(21 downto 0) & "11"; + end if; + + if burst_write_counter > 0 then + sample_write <= '1'; + burst_write_counter <= burst_write_counter - 1; + end if; + elsif bclk_neg_edge = '1' then + sample_write <= '0'; + end if; + end if; + end if; + end process; + + + +end rtl; + \ No newline at end of file diff --git a/src/hdl/fpga_hypernet_dir/src/hypernet_synced_i2s_clocks.vhd b/src/hdl/fpga_hypernet_dir/src/hypernet_synced_i2s_clocks.vhd new file mode 100644 index 0000000..78fea3d --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/hypernet_synced_i2s_clocks.vhd @@ -0,0 +1,81 @@ +library ieee; +use ieee.std_logic_1164.all; + +entity hypernet_synced_i2s_clocks is + port ( + reset_n : in std_logic; + + sclk : in std_logic; -- Input i2s system clock (12.288 MHz) + + bclk : buffer std_logic; -- Output i2s bit clock (sclk/4 = 3.072 MHz) + lrclk : buffer std_logic; -- Output i2s word clock (sclk/256 = 48KHz) + + sync : in std_logic -- Synchronization signal + -- The generated bit clock will be phased locked to this signal (ie. when sync rises, bit clock rises) + -- The same applies to word clock but it will be slightly offset + ); +end entity; + +architecture Behavioral of hypernet_synced_i2s_clocks is + -- Clock dividers for the output I2S clocks + signal count_i2s_bclk : integer := 0; + signal count_i2s_lrclk : integer := 0; + + -- Block start detector & signals + signal zsync, zzsync, zzzsync : std_logic; + signal sync_pos_edge : std_logic; + +begin + -- Sync edge detector + detect_bsync_edge : process(sclk) begin + if rising_edge(sclk) then + zsync <= sync; + zzsync <= zsync; + zzzsync <= zzsync; + if zzsync = '1' and zzzsync = '0' then + sync_pos_edge <= '1'; + else + sync_pos_edge <= '0'; + end if; + end if; + end process; + + -- Bit clock divider + i2s_bit_clock: process(sclk) begin + if(rising_edge(sclk)) then + -- Make sure the clock is properly synchronized at the block start + if(sync_pos_edge = '1' or reset_n = '0') then + bclk <= '1'; + count_i2s_bclk <= 0; + else + -- Divide the AES3 bit clock to get the I2S bit clock + count_i2s_bclk <= count_i2s_bclk + 1; + if(count_i2s_bclk = 1) then + bclk <= not bclk; + count_i2s_bclk <= 0; + end if; + + end if; + end if; + end process; + + -- Word clock divider + i2s_lr_clock: process(sclk) begin + if(falling_edge(sclk)) then + -- Make sure the clock is properly synchronized at the block start + if(sync_pos_edge = '1' or reset_n = '0') then + lrclk <= '1'; + count_i2s_lrclk <= 6; -- Slight offset/delay to keep a rising bclk after a lrck edge + else + -- Divide the AES3 bit clock to get the I2S bit clock + count_i2s_lrclk <= count_i2s_lrclk + 1; + if(count_i2s_lrclk = 127) then + lrclk <= not lrclk; + count_i2s_lrclk <= 0; + end if; + + end if; + end if; + end process; + +end; \ No newline at end of file diff --git a/src/hdl/fpga_hypernet_dir/src/hypernet_tx_channel_status.vhd b/src/hdl/fpga_hypernet_dir/src/hypernet_tx_channel_status.vhd new file mode 100644 index 0000000..c01289a --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/hypernet_tx_channel_status.vhd @@ -0,0 +1,47 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_unsigned.all; +use ieee.numeric_std.all; -- lib for unsigned and signed + +entity hypernet_tx_channel_status is + port ( + reset_n : in std_logic; -- Reset signal + clk : in std_logic; -- Input clock + + block_start : in std_logic; -- Reset signal + + channel_status_bit_req : in std_logic; + channel_status_bit : out std_logic + ); +end entity; + +architecture rtl of hypernet_tx_channel_status is + constant STATUS_BITS: std_logic_vector(383 downto 0) := "000000000000000000000000000000000000000000000000000000000000000011000000111100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000"; + + signal status_bit_index : unsigned(10 downto 0); + +begin + process(clk) begin + if rising_edge(clk) then + if (reset_n = '0' or block_start = '1') then + -- Reset state + channel_status_bit <= '0'; + status_bit_index <= (others => '0'); + else + -- Update output with current bit + channel_status_bit <= STATUS_BITS(383 - to_integer(status_bit_index)); + + -- Advance to next bit when requested + if (channel_status_bit_req = '1') then + if (status_bit_index = to_unsigned(383, 11)) then + status_bit_index <= (others => '0'); + else + status_bit_index <= status_bit_index + 1; + end if; + end if; + end if; + end if; + end process; + +end rtl; + diff --git a/src/hdl/fpga_hypernet_dir/src/i2s_quad_receiver.vhd b/src/hdl/fpga_hypernet_dir/src/i2s_quad_receiver.vhd new file mode 100644 index 0000000..0eb01df --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/i2s_quad_receiver.vhd @@ -0,0 +1,166 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_unsigned.all; +use ieee.numeric_std.all; -- lib for unsigned and signed + +entity i2s_quad_receiver is + port ( + clk : in std_logic; -- Master clock + reset_n : in std_logic; + sample_sync : in std_logic; + + bclk : in std_logic; -- bit clock + lrclk : in std_logic; -- word-select (left/right) + + sdata1 : in std_logic; -- serial data for ADC 1 + sdata2 : in std_logic; -- serial data for ADC 2 + sdata3 : in std_logic; -- serial data for ADC 3 + sdata4 : in std_logic; -- serial data for ADC 4 + + sample_ch_1_l : out std_logic_vector(23 downto 0); -- Channel 1 Left + sample_ch_1_r : out std_logic_vector(23 downto 0); -- Channel 1 Right + sample_ch_2_l : out std_logic_vector(23 downto 0); -- Channel 2 Left + sample_ch_2_r : out std_logic_vector(23 downto 0); -- Channel 2 Right + sample_ch_3_l : out std_logic_vector(23 downto 0); -- Channel 3 Left + sample_ch_3_r : out std_logic_vector(23 downto 0); -- Channel 3 Right + sample_ch_4_l : out std_logic_vector(23 downto 0); -- Channel 4 Left + sample_ch_4_r : out std_logic_vector(23 downto 0); -- Channel 4 Right + + data_ready : out std_logic + ); +end entity; + +architecture rtl of i2s_quad_receiver is + -- Buffers to hold the partially read samples + signal sample_ch_1_l_buf : std_logic_vector(23 downto 0); + signal sample_ch_1_r_buf : std_logic_vector(23 downto 0); + signal sample_ch_2_l_buf : std_logic_vector(23 downto 0); + signal sample_ch_2_r_buf : std_logic_vector(23 downto 0); + signal sample_ch_3_l_buf : std_logic_vector(23 downto 0); + signal sample_ch_3_r_buf : std_logic_vector(23 downto 0); + signal sample_ch_4_l_buf : std_logic_vector(23 downto 0); + signal sample_ch_4_r_buf : std_logic_vector(23 downto 0); + + -- Bit clock delays and signal for the bit clock positive edge + signal zbclk, zzbclk, zzzbclk : std_logic; + signal bclk_neg_edge, bclk_pos_edge : std_logic; + + -- Lr clock delays and signal for an lr clock edge + signal lrck_edge, lrck_neg_edge, lrck_pos_edge : std_logic; + signal zlrclk, zzlrclk, zzzlrclk : std_logic; + + -- Signal to specify when we should be reading bits + signal has_data : std_logic; + + -- Bit counter + signal bit_cnt : integer range 0 to 31 := 0; +begin + + detect_bclk_edge : process(clk) + begin + if rising_edge(clk) then + zbclk <= bclk; + zzbclk <= zbclk; + zzzbclk <= zzbclk; + if zzbclk = '1' and zzzbclk = '0' and reset_n = '1' then + bclk_pos_edge <= '1'; + elsif zzbclk = '0' and zzzbclk = '1' and reset_n = '1' then + bclk_neg_edge <= '1'; + else + bclk_pos_edge <= '0'; + bclk_neg_edge <= '0'; + end if; + end if; + end process; + + detect_lrck_edge : process(clk) + begin + if rising_edge(clk) then + zlrclk <= lrclk; + zzlrclk <= zlrclk; + zzzlrclk <= zzlrclk; + if zzlrclk = '1' and zzzlrclk = '0' and reset_n = '1' then + lrck_pos_edge <= '1'; + lrck_edge <= '1'; + elsif zzlrclk = '0' and zzzlrclk = '1' and reset_n = '1' then + lrck_neg_edge <= '1'; + lrck_edge <= '1'; + else + lrck_pos_edge <= '0'; + lrck_neg_edge <= '0'; + lrck_edge <= '0'; + end if; + end if; + end process; + + detect_sample : process(clk) begin + if rising_edge(clk) then + if sample_sync = '1' or reset_n = '0' then + -- Sync detected, reset every signal + bit_cnt <= 0; + data_ready <= '0'; + else + if lrck_edge = '1' then + -- Left/right clock edge detected this means new channel -> reset the bit counter + bit_cnt <= 0; + end if; + + if bclk_pos_edge = '1' then + -- Bit clock positive clock edge detected -> increment the bit counter + bit_cnt <= bit_cnt + 1; + end if; + + if bclk_neg_edge = '1' then + -- Bit clock negative clock edge detected -> + -- Only read the first 24 bits, cheack the counter and set the signal appropriatly + if bit_cnt = 0 then + has_data <= '1'; + elsif bit_cnt >= 24 then + has_data <= '0'; + end if; + end if; + + -- Output the data + if lrck_pos_edge = '1' then + sample_ch_1_r <= sample_ch_1_r_buf; + sample_ch_2_r <= sample_ch_2_r_buf; + sample_ch_3_r <= sample_ch_3_r_buf; + sample_ch_4_r <= sample_ch_4_r_buf; + end if; + if lrck_neg_edge = '1' then + sample_ch_1_l <= sample_ch_1_l_buf; + sample_ch_2_l <= sample_ch_2_l_buf; + sample_ch_3_l <= sample_ch_3_l_buf; + sample_ch_4_l <= sample_ch_4_l_buf; + + data_ready <= '1'; + else + data_ready <= '0'; + end if; + end if; + end if; + end process; + + + + get_data : process(clk) begin + if rising_edge(clk) then + if bclk_pos_edge = '1' and has_data = '1' then + if lrclk = '1' then + sample_ch_1_l_buf <= sample_ch_1_l_buf(sample_ch_1_l_buf'high-1 downto sample_ch_1_l_buf'low) & sdata1; + sample_ch_2_l_buf <= sample_ch_2_l_buf(sample_ch_2_l_buf'high-1 downto sample_ch_2_l_buf'low) & sdata2; + sample_ch_3_l_buf <= sample_ch_3_l_buf(sample_ch_3_l_buf'high-1 downto sample_ch_3_l_buf'low) & sdata3; + sample_ch_4_l_buf <= sample_ch_4_l_buf(sample_ch_4_l_buf'high-1 downto sample_ch_4_l_buf'low) & sdata4; + else + sample_ch_1_r_buf <= sample_ch_1_r_buf(sample_ch_1_r_buf'high-1 downto sample_ch_1_r_buf'low) & sdata1; + sample_ch_2_r_buf <= sample_ch_2_r_buf(sample_ch_2_r_buf'high-1 downto sample_ch_2_r_buf'low) & sdata2; + sample_ch_3_r_buf <= sample_ch_3_r_buf(sample_ch_3_r_buf'high-1 downto sample_ch_3_r_buf'low) & sdata3; + sample_ch_4_r_buf <= sample_ch_4_r_buf(sample_ch_4_r_buf'high-1 downto sample_ch_4_r_buf'low) & sdata4; + end if; + end if; + end if; + end process; + + +end rtl; + \ No newline at end of file diff --git a/src/hdl/fpga_hypernet_dir/src/i2s_quad_transmitter.vhd b/src/hdl/fpga_hypernet_dir/src/i2s_quad_transmitter.vhd new file mode 100644 index 0000000..5ca51e2 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/i2s_quad_transmitter.vhd @@ -0,0 +1,193 @@ +------------------------------------------------------------------------------- +-- Quad output I2S transmitter +-- Based on +-- - OpenCore's i2s_interface by Geir Drange (https://opencores.org/projects/i2s_interface) +-- Adapted for left-justified operation +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +entity i2s_quad_transmitter is + generic ( + DATA_WIDTH : integer range 16 to 32 := 32 + ); + port ( + -- Master clock & reset + clk : in std_logic; + reset_n : in std_logic; + + -- Audio clocks + bclk : in std_logic; -- I2S bit clock (3.072 MHz) + lrclk : in std_logic; -- I2S bit clock (48KHz) + + -- Input L/R samples for each channel + sample_load : in std_logic; + sample_ch_1_l : in std_logic_vector(DATA_WIDTH - 1 downto 0); -- Channel 1 Left + sample_ch_1_r : in std_logic_vector(DATA_WIDTH - 1 downto 0); -- Channel 1 Right + sample_ch_2_l : in std_logic_vector(DATA_WIDTH - 1 downto 0); -- Channel 2 Left + sample_ch_2_r : in std_logic_vector(DATA_WIDTH - 1 downto 0); -- Channel 2 Right + sample_ch_3_l : in std_logic_vector(DATA_WIDTH - 1 downto 0); -- Channel 3 Left + sample_ch_3_r : in std_logic_vector(DATA_WIDTH - 1 downto 0); -- Channel 3 Right + sample_ch_4_l : in std_logic_vector(DATA_WIDTH - 1 downto 0); -- Channel 4 Left + sample_ch_4_r : in std_logic_vector(DATA_WIDTH - 1 downto 0); -- Channel 4 Right + + -- Output serial data + sdout_1 : out std_logic; -- Serialized audio data for channel 1+2 + sdout_2 : out std_logic; -- Serialized audio data for channel 3+4 + sdout_3 : out std_logic; -- Serialized audio data for channel 5+6 + sdout_4 : out std_logic -- Serialized audio data for channel 7+8 + ); +end i2s_quad_transmitter; + +architecture rtl of i2s_quad_transmitter is + -- Bit clock edge detection signals + -- Three-stage synchronizer for clean edge detection in the system clock domain + signal zbclk, zzbclk, zzzbclk : std_logic; + signal bclk_neg_edge : std_logic; + signal bclk_pos_edge : std_logic; + + -- Word clock edge detection signals + -- Three-stage synchronizer for clean edge detection in the system clock domain + signal zlrclk, zzlrclk, zzzlrclk : std_logic; + signal lrclk_edge : std_logic; + signal lrclk_neg_edge : std_logic; + signal lrclk_pos_edge : std_logic; + + -- Bit position counter within each word (0 to DATA_WIDTH-1) + signal bit_counter : integer range 0 to 31 := 0; + + -- Sample buffers for each channel (double buffering) + -- These store the current samples being transmitted while new ones can be loaded + signal sample_ch_1_l_buf_load : std_logic_vector(DATA_WIDTH - 1 downto 0); + signal sample_ch_1_r_buf_load : std_logic_vector(DATA_WIDTH - 1 downto 0); + signal sample_ch_2_l_buf_load : std_logic_vector(DATA_WIDTH - 1 downto 0); + signal sample_ch_2_r_buf_load : std_logic_vector(DATA_WIDTH - 1 downto 0); + signal sample_ch_3_l_buf_load : std_logic_vector(DATA_WIDTH - 1 downto 0); + signal sample_ch_3_r_buf_load : std_logic_vector(DATA_WIDTH - 1 downto 0); + signal sample_ch_4_l_buf_load : std_logic_vector(DATA_WIDTH - 1 downto 0); + signal sample_ch_4_r_buf_load : std_logic_vector(DATA_WIDTH - 1 downto 0); + + signal sample_ch_1_l_buf_play : std_logic_vector(DATA_WIDTH - 1 downto 0); + signal sample_ch_1_r_buf_play : std_logic_vector(DATA_WIDTH - 1 downto 0); + signal sample_ch_2_l_buf_play : std_logic_vector(DATA_WIDTH - 1 downto 0); + signal sample_ch_2_r_buf_play : std_logic_vector(DATA_WIDTH - 1 downto 0); + signal sample_ch_3_l_buf_play : std_logic_vector(DATA_WIDTH - 1 downto 0); + signal sample_ch_3_r_buf_play : std_logic_vector(DATA_WIDTH - 1 downto 0); + signal sample_ch_4_l_buf_play : std_logic_vector(DATA_WIDTH - 1 downto 0); + signal sample_ch_4_r_buf_play : std_logic_vector(DATA_WIDTH - 1 downto 0); + +begin + -- BCLK Edge Detection Process + detect_bclk_edge : process(clk) begin + if rising_edge(clk) then + zbclk <= bclk; + zzbclk <= zbclk; + zzzbclk <= zzbclk; + if zzbclk = '1' and zzzbclk = '0' then + bclk_pos_edge <= '1'; + elsif zzbclk = '0' and zzzbclk = '1' then + bclk_neg_edge <= '1'; + else + bclk_pos_edge <= '0'; + bclk_neg_edge <= '0'; + end if; + end if; + end process; + + -- LRCLK Edge Detection Process + detect_lrclk_edge : process(clk) begin + if rising_edge(clk) then + zlrclk <= lrclk; + zzlrclk <= zlrclk; + zzzlrclk <= zzlrclk; + if zzlrclk = '1' and zzzlrclk = '0' then + lrclk_pos_edge <= '1'; + lrclk_edge <= '1'; + elsif zzlrclk = '0' and zzzlrclk = '1' then + lrclk_neg_edge <= '1'; + lrclk_edge <= '1'; + else + lrclk_pos_edge <= '0'; + lrclk_neg_edge <= '0'; + lrclk_edge <= '0'; + end if; + end if; + end process; + + -- Sample Loading and Bit Counter Management + -- Handles loading new samples and tracking bit position within each word + detect_sample : process(clk) begin + if rising_edge(clk) then + if reset_n = '0' then + -- Reset: initialize bit counter + bit_counter <= 0; + else + -- Update all channel buffers when sample_load is asserted + -- This provides double-buffering: new samples loaded while current ones transmit + if sample_load = '1' then + sample_ch_1_l_buf_load <= sample_ch_1_l; + sample_ch_1_r_buf_load <= sample_ch_1_r; + sample_ch_2_l_buf_load <= sample_ch_2_l; + sample_ch_2_r_buf_load <= sample_ch_2_r; + sample_ch_3_l_buf_load <= sample_ch_3_l; + sample_ch_3_r_buf_load <= sample_ch_3_r; + sample_ch_4_l_buf_load <= sample_ch_4_l; + sample_ch_4_r_buf_load <= sample_ch_4_r; + end if; + + -- If the left/right channel changes, reset the output bit counter + if lrclk_edge = '1' then + bit_counter <= 0; + + sample_ch_1_l_buf_play <= sample_ch_1_l_buf_load; + sample_ch_1_r_buf_play <= sample_ch_1_r_buf_load; + sample_ch_2_l_buf_play <= sample_ch_2_l_buf_load; + sample_ch_2_r_buf_play <= sample_ch_2_r_buf_load; + sample_ch_3_l_buf_play <= sample_ch_3_l_buf_load; + sample_ch_3_r_buf_play <= sample_ch_3_r_buf_load; + sample_ch_4_l_buf_play <= sample_ch_4_l_buf_load; + sample_ch_4_r_buf_play <= sample_ch_4_r_buf_load; + end if; + + -- Increment the bit counter a positive edge of the bit clock + if bclk_pos_edge = '1' then + bit_counter <= bit_counter + 1; + end if; + + end if; + end if; + end process; + + -- Serial Data Transmission Process + -- Outputs the appropriate bit from the sample buffers based on current channel and bit position + send_data : process(clk) begin + if rising_edge(clk) then + if reset_n = '0' then + -- Reset: output silence (zeros) on all channels + sdout_1 <= '0'; + sdout_2 <= '0'; + sdout_3 <= '0'; + sdout_4 <= '0'; + else + -- Normal state, output the proper bit (left or right) for each channel on the negative bit clock edge + if bclk_neg_edge = '1' then + if lrclk = '1' then + -- Transmit LEFT channel samples (MSB first) + sdout_1 <= sample_ch_1_l_buf_play(DATA_WIDTH - 1 - bit_counter); + sdout_2 <= sample_ch_2_l_buf_play(DATA_WIDTH - 1 - bit_counter); + sdout_3 <= sample_ch_3_l_buf_play(DATA_WIDTH - 1 - bit_counter); + sdout_4 <= sample_ch_4_l_buf_play(DATA_WIDTH - 1 - bit_counter); + else + -- Transmit RIGHT channel samples (MSB first) + sdout_1 <= sample_ch_1_r_buf_play(DATA_WIDTH - 1 - bit_counter); + sdout_2 <= sample_ch_2_r_buf_play(DATA_WIDTH - 1 - bit_counter); + sdout_3 <= sample_ch_3_r_buf_play(DATA_WIDTH - 1 - bit_counter); + sdout_4 <= sample_ch_4_r_buf_play(DATA_WIDTH - 1 - bit_counter); + end if; + end if; + + end if; + end if; + end process; +end rtl; diff --git a/src/hdl/fpga_hypernet_dir/src/main.v b/src/hdl/fpga_hypernet_dir/src/main.v new file mode 100644 index 0000000..0204f76 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/main.v @@ -0,0 +1,111 @@ +module top ( + input sys_clk, // 27Mhz crystal onboard the Tang Nano 1k + input sys_key_a, // A Button onboard the Tang Nano 1k. Used as a reset signal + input sys_key_b, // B Button onboard the Tang Nano 1k. + + input audio_clk, // 24.576 MHz from the clock generator (either PLL1707 or DIX9211 buffered outut) + + input dir_sclk, // Input aes3 system clock (49.152 MHz) + input dir_bclk, // Input aes3 bit clock (12.288 MHz) + input dir_lrclk, // Input aes3 word clock (192 kHz) + input dir_data, // Input aes3 audio data + input dir_bframe, // Input aes3 B-frame indicator + input dir_lock, // Input aes3 lock indicator + output aes3_in_active_n, // Serial output is active + + output i2s_out_sclk, // Output audio system clock (12.288 MHz) + output i2s_out_bclk, // Output audio bit clock (3.072 MHz) + output i2s_out_lrclk, // Output audio word clock (48 kHz) + output i2s_out_data_1, // Output audio channel 1+2 + output i2s_out_data_2, // Output audio channel 3+4 + output i2s_out_data_3, // Output audio channel 5+6 + output i2s_out_data_4, // Output audio channel 7+8 + + output i2s_in_sclk, // Input audio system clock (12.288 MHz) + output i2s_in_bclk, // Input audio bit clock (3.072 MHz) + output i2s_in_lrclk, // Input audio word clock (48 kHz) + input i2s_in_data_1, // Input audio channel 1+2 + input i2s_in_data_2, // Input audio channel 3+4 + input i2s_in_data_3, // Input audio channel 5+6 + input i2s_in_data_4, // Input audio channel 7+8 + + output aes3_out_active_n, // Serial output is active + output aes3_out, // AES3/Hypernet serial output + + output debug1, + output debug2, + output debug3, + output debug4 +); + + +Gowin_rPLL_123M pll( + .reset(!sys_key_a), + .clkin(sys_clk), + .clkout(clk_123M) +); +wire clk_24M6; +Gowin_CLKDIV5 clk5( + .hclkin(clk_123M), + .resetn(sys_key_a), + .clkout(clk_24M6) +); +wire clk_12M3; +Gowin_CLKDIV2 clk2( + .hclkin(clk_24M6), + .resetn(sys_key_a), + .clkout(clk_12M3) +); + +wire main_tx_1_active_n; +led_blinker tx_status( + .clk(clk_12M3), + .running(!main_tx_1_active_n), + .led_out(aes3_out_active_n) +); + +main_tx main_tx_1_inst( + .reset_n(sys_key_a), + + .clk(clk_12M3), + + .i2s_in_sclk(i2s_in_sclk), + .i2s_in_bclk(i2s_in_bclk), + .i2s_in_lrclk(i2s_in_lrclk), + .i2s_in_data_1(i2s_in_data_1), + .i2s_in_data_2(i2s_in_data_2), + .i2s_in_data_3(i2s_in_data_3), + .i2s_in_data_4(i2s_in_data_4), + + .active_n(main_tx_1_active_n), + .aes3(aes3_out) +); + + +led_blinker rx_status( + .clk(clk_12M3), + .running(!dir_lock), + .led_out(aes3_in_active_n) +); + +main_rx main_rx_1_inst( + .reset_n(sys_key_a), + + .dir_sclk(dir_sclk), + .dir_bclk(dir_bclk), + .dir_lrclk(dir_lrclk), + .dir_data(dir_data), + + .dir_bframe(dir_bframe), + + .i2s_out_sclk(i2s_out_sclk), + .i2s_out_bclk(i2s_out_bclk), + .i2s_out_lrclk(i2s_out_lrclk), + .i2s_out_data_1(i2s_out_data_1), + .i2s_out_data_2(i2s_out_data_2), + .i2s_out_data_3(i2s_out_data_3), + .i2s_out_data_4(i2s_out_data_4) +); + + +endmodule \ No newline at end of file diff --git a/src/hdl/fpga_hypernet_dir/src/main_rx.v b/src/hdl/fpga_hypernet_dir/src/main_rx.v new file mode 100644 index 0000000..f005a50 --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/main_rx.v @@ -0,0 +1,110 @@ +//////////////////////////////////////////////////////////////////////////////// +// Receiver for Hypernet (based on Behringer's UltraNet) +// Helped by: +// - OpenCore's i2s_interface by Geir Drange (https://opencores.org/projects/i2s_interface) +// - The work on ultranet of Christian Nöding (https://github.com/xn--nding-jua/UltranetReceiver) +// - Verilog I2S decoder by Seiji Ainoguchi (https://github.com/ain1084/serial_audio_decoder/blob/main/serial_audio_decoder.v) +// +// Deserialize standard 24bit I2S data at 192kHz into 8 channels. +// Designed to work with the DIX9211 as a frontend +//////////////////////////////////////////////////////////////////////////////// + +module main_rx ( + input reset_n, // Active-low reset + + input dir_sclk, // Input DIR system clock (49.152 MHz) + input dir_bclk, // Input DIR bit clock (12.288 MHz) + input dir_lrclk, // Input DIR word clock (192 kHz) + input dir_data, // Input DIR audio data + input dir_bframe, // Input DIR B-frame indicator + + output i2s_out_sclk, // Output I2S system clock (12.288 MHz) + output i2s_out_bclk, // Output I2S bit clock (3.072 MHz) + output i2s_out_lrclk, // Output I2S word clock (48 kHz) + output i2s_out_data_1, // Output I2S channels 1+2 + output i2s_out_data_2, // Output I2S channels 3+4 + output i2s_out_data_3, // Output I2S channels 5+6 + output i2s_out_data_4 // Output I2S channels 7+8 +); + +// Pulse indicating new set of samples ready from the demuxer +wire audio_sample_load; + +// Audio sample data (24-bit each) +wire [23:0] audio_sample_1; +wire [23:0] audio_sample_2; +wire [23:0] audio_sample_3; +wire [23:0] audio_sample_4; +wire [23:0] audio_sample_5; +wire [23:0] audio_sample_6; +wire [23:0] audio_sample_7; +wire [23:0] audio_sample_8; + +// Hypernet receiver / DIR interface: extracts 8 channels of 24-bit samples +hypernet_demux demuxer( + .reset_n(reset_n), + + .bclk(dir_bclk), // Input DIR bit clock (12.288 MHz) + .lrclk(dir_lrclk), // Input DIR word clock (48 kHz) + .sdata(dir_data), // Input DIR audio data + + .ch1_out(audio_sample_1), // Output audio channel 1 + .ch2_out(audio_sample_2), // Output audio channel 2 + .ch3_out(audio_sample_3), // Output audio channel 3 + .ch4_out(audio_sample_4), // Output audio channel 4 + .ch5_out(audio_sample_5), // Output audio channel 5 + .ch6_out(audio_sample_6), // Output audio channel 6 + .ch7_out(audio_sample_7), // Output audio channel 7 + .ch8_out(audio_sample_8), // Output audio channel 8 + + .data_ready(audio_sample_load) // Received the last channel pair (7-8) +); + +// Clock divider: generates I2S system clock (12.288 MHz) from AES3 clock (49.152 MHz) +Gowin_CLKDIV4 sclk_div( + .hclkin(dir_sclk), // Input recovered clock from the DIR (49.152 MHz) + .resetn(reset_n), + + .clkout(i2s_out_sclk) // Output I2S system clock (12.288 MHz) +); +// I2S clock generator: derives bit and word clocks from I2S system clock and aligns them with the AES3 b-frame signal +hypernet_synced_i2s_clocks clocks( + .sclk(i2s_out_sclk), // Input I2S system clock (12.288 MHz) + .reset_n(reset_n), + + .sync(audio_sample_load), // TODO: Not sure this is the right signal to use here, the goal is to sync the lrclk output with a samle load to avoid loading data during play + + .bclk(i2s_out_bclk), // Output I2S bit clock (3.072 MHz) + .lrclk(i2s_out_lrclk) // Output I2S word clock (48 kHz) +); + + +// I2S transmitter: converts 8 audio channels into 4 stereo I2S outputs +i2s_quad_transmitter transmitter( + .clk(dir_sclk), // Master clock (49.152 MHz) + .reset_n(reset_n), + + .bclk(i2s_out_bclk), // I2S bit clock (3.072 MHz) + .lrclk(i2s_out_lrclk), // I2S word clock (48 kHz) + + .sample_load(audio_sample_load), // Load new samples when frame ends + + // Map 8 input channels into 4 stereo pairs (L/R) + .sample_ch_1_l({ audio_sample_1, 8'b00000000}), // Ch1 left (32-bit padded) + .sample_ch_1_r({ audio_sample_2, 8'b00000000}), // Ch2 right + .sample_ch_2_l({ audio_sample_3, 8'b00000000}), // Ch3 left + .sample_ch_2_r({ audio_sample_4, 8'b00000000}), // Ch4 right + .sample_ch_3_l({ audio_sample_5, 8'b00000000}), // Ch5 left + .sample_ch_3_r({ audio_sample_6, 8'b00000000}), // Ch6 right + .sample_ch_4_l({ audio_sample_7, 8'b00000000}), // Ch7 left + .sample_ch_4_r({ audio_sample_8, 8'b00000000}), // Ch8 right + + // I2S serial outputs for 4 stereo links + .sdout_1(i2s_out_data_1), + .sdout_2(i2s_out_data_2), + .sdout_3(i2s_out_data_3), + .sdout_4(i2s_out_data_4) +); + + +endmodule \ No newline at end of file diff --git a/src/hdl/fpga_hypernet_dir/src/main_tx.v b/src/hdl/fpga_hypernet_dir/src/main_tx.v new file mode 100644 index 0000000..3deeaaf --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src/main_tx.v @@ -0,0 +1,169 @@ +//////////////////////////////////////////////////////////////////////////////// +// Transmitter for Hypernet (based on Behringer's UltraNet) +//////////////////////////////////////////////////////////////////////////////// + +module main_tx ( + input reset_n, // Active-low reset + + input clk, // Input aes3 clock (12.288 MHz) + + output i2s_in_sclk, // Output audio system clock (12.288 MHz) + output i2s_in_bclk, // Output audio bit clock (3.072 MHz) + output i2s_in_lrclk, // Output audio word clock (48 kHz) + input i2s_in_data_1, // Input audio channel 1+2 + input i2s_in_data_2, // Input audio channel 3+4 + input i2s_in_data_3, // Input audio channel 5+6 + input i2s_in_data_4, // Input audio channel 7+8 + + output active_n, // Serial output is active + output aes3 // AES3/Hypernet serial output +); + + +assign i2s_in_sclk = clk; // Since the GOWIN SPDIF_TX module works 64*Fs we can reuse the 12.288 MHz clock for the ADCs system clock + +wire block_start; // Sync signal, asserted for 1 clock cycle at the start of an AES3 block (BFRAME) + +wire fifo_empty; // FIFO empty flag +wire fifo_almost_full; // FIFO almost full flag + +wire fifo_audio_data_read_req; // FIFO read request, asserted for 1 clock cycle by the SPDIF_TX module when it wants data +wire [23:0] fifo_audio_data_read; // FIFO read data (24-bit audio sample) to the SPDIF_TX module + +wire fifo_audio_data_write_req; // FIFO write request, asserted in bursts of 8 cycles by the hypernet_mux module +wire [23:0] fifo_audio_data_write; // FIFO write data (24-bit audio sample) from the hypernet_mux module + +// Asynchronous FIFO for buffering audio samples between I2S and AES3 clock domains +gowin_fifo24 gowin_fifo( + .Reset(!reset_n), + + .WrClk(i2s_in_bclk), // Write domain: I2S bit clock + .Data(fifo_audio_data_write), // Audio sample to write + .WrEn(fifo_audio_data_write_req), // Write enable, will be asserted for a 8 samples bursts + + .RdClk(clk), // Read domain: AES3 clock + .Q(fifo_audio_data_read), // Audio sample read out + .RdEn(fifo_audio_data_read_req && fifo_almost_full), // Read enable when requested with a lockout to make sure the FIFO has data + + //.Almost_Empty(Almost_Empty), + .Empty(fifo_empty), + + .Almost_Full(fifo_almost_full) + //.Full(Full) +); + + + +wire chan_status_bit_req; // Channel status read request, asserted for 1 clock cycle by the SPDIF_TX module when it wants data +wire chan_status_bit; // Channel status data to the SPDIF_TX module from the hypernet_tx_channel_status module + +// Handler for the AES3 channel status bits +hypernet_tx_channel_status channel_status( + .clk(clk), // AES3 clock + .reset_n(reset_n), // Global reset + + .block_start(block_start), // Sync signal, asserted at the start of block (BFRAME) + + .channel_status_bit_req(chan_status_bit_req), // Channel status bit request, + .channel_status_bit(chan_status_bit) // Channel status bit value, +); + +// AES3 transmitter +Gowin_SPDIF_TX gowin_aes3_tx( + .I_clk(clk), // AES3 clock + .I_rst_n(reset_n && !fifo_empty), // Global reset + make sure it's not running when there is no data + + .I_audio_d(fifo_audio_data_read), // Input audio sample from the fifo + .O_audio_d_req(fifo_audio_data_read_req), // Request new audio sample from the FIFO + + .I_validity_bit(1'b1), // Validity bit, the stream must be marked as "invalid" to avoid garbage being played out by a standard aes3 receiver + //.O_validity_bit_req(O_validity_bit_req), // + + .I_user_bit(1'b0), // User bit (not used) + //.O_user_bit_req(O_user_bit_req), // + + .I_chan_status_bit(chan_status_bit), // Channel status bit request + .O_chan_status_bit_req(chan_status_bit_req), // Channel status bit value + + .O_block_start_flag(block_start), // Sync signal, asserted at the start of block (BFRAME) + //.O_sub_frame0_flag(sub_frame0_flag), + //.O_sub_frame1_flag(sub_frame1_flag), + + .O_Spdif_tx_data(aes3) // AES3/Hypernet serial output +); + +// Serial output active signal, active low when not in reset and when the fifo is not empty +assign active_n = !(reset_n && !fifo_empty); + +// Clock generation/derivation for the I2S interface +hypernet_synced_i2s_clocks clocks( + .sclk(i2s_in_sclk), // System clock input + .reset_n(reset_n), // Global reset + + .sync(block_start), // AES block sync. Used to align bclk and lrclk to a block start + + .bclk(i2s_in_bclk), // I2S 3.072MHz bit clock output + .lrclk(i2s_in_lrclk) // I2S 48kHz word clock output +); + + +// Audio sample data wires (24-bit each) +wire [23:0] audio_sample_1; +wire [23:0] audio_sample_2; +wire [23:0] audio_sample_3; +wire [23:0] audio_sample_4; +wire [23:0] audio_sample_5; +wire [23:0] audio_sample_6; +wire [23:0] audio_sample_7; +wire [23:0] audio_sample_8; +wire i2s_data_ready; + +// I2S reader +i2s_quad_receiver receiver( + .clk(clk), // System clock + .reset_n(reset_n), // Global reset + + .bclk(i2s_in_bclk), // I2S bit clock + .lrclk(i2s_in_lrclk), // I2S word clock + + .sdata1(i2s_in_data_1), // Input audio channel 1+2 + .sdata2(i2s_in_data_2), // Input audio channel 3+4 + .sdata3(i2s_in_data_3), // Input audio channel 5+6 + .sdata4(i2s_in_data_4), // Input audio channel 7+8 + + .sample_sync(block_start), // Sync with AES block start + + .sample_ch_1_l(audio_sample_1), // Ch1 left + .sample_ch_1_r(audio_sample_2), // Ch2 right + .sample_ch_2_l(audio_sample_3), // Ch3 left + .sample_ch_2_r(audio_sample_4), // Ch4 right + .sample_ch_3_l(audio_sample_5), // Ch5 left + .sample_ch_3_r(audio_sample_6), // Ch6 right + .sample_ch_4_l(audio_sample_7), // Ch7 left + .sample_ch_4_r(audio_sample_8), // Ch8 right + .data_ready(i2s_data_ready) // Output sample data is ready +); + +// FIFO audio reserializer +hypernet_mux muxer( + .clk(clk), // System clock + .reset_n(reset_n), // Global reset + + .bclk(i2s_in_bclk), // I2S bit clock, used to output data to the FIFO + + .ch1_in(audio_sample_1), // Ch1 left + .ch2_in(audio_sample_2), // Ch2 right + .ch3_in(audio_sample_3), // Ch3 left + .ch4_in(audio_sample_4), // Ch4 right + .ch5_in(audio_sample_5), // Ch5 left + .ch6_in(audio_sample_6), // Ch6 right + .ch7_in(audio_sample_7), // Ch7 left + .ch8_in(audio_sample_8), // Ch8 right + .data_ready(i2s_data_ready), // Input sample data is ready + + .sample_write(fifo_audio_data_write_req), // Write enable to FIFO + .sample(fifo_audio_data_write) // Audio sample to FIFO +); + + +endmodule \ No newline at end of file diff --git a/src/hdl/fpga_hypernet_dir/src_tests/hypernet_rx.vhd b/src/hdl/fpga_hypernet_dir/src_tests/hypernet_rx.vhd new file mode 100644 index 0000000..8ff950e --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src_tests/hypernet_rx.vhd @@ -0,0 +1,119 @@ +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_unsigned.all; +use ieee.numeric_std.all; -- lib for unsigned and signed + +entity hypernet_rx is + port ( + reset_n : in std_logic; -- Reset signal + + bclk : in std_logic; -- Input DIR bit clock (12.288 MHz) + lrclk : in std_logic; -- Input DIR word clock (192 kHz) + sdata : in std_logic; -- Input DIR audio data (read on rising edge of bclk) + + --bsync : in std_logic; -- Input DIR B-frame indicator. (Asserted by the DIR in the middle of the first sample of the block) + + ch1_out : buffer std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 1 + ch2_out : buffer std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 2 + ch3_out : buffer std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 3 + ch4_out : buffer std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 4 + ch5_out : buffer std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 5 + ch6_out : buffer std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 6 + ch7_out : buffer std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 7 + ch8_out : buffer std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 8 + + is_end_of_frame : out std_logic -- Signals that the receiver just finished channel 8 + ); +end entity; + +architecture rtl of hypernet_rx is + --signal bsync_last_change : std_logic; -- Stores state of bsync at the last lrck edge + + signal channel_counter : integer range 0 to 7 := 0; -- Tracks which channel is being received + + signal sample_data : std_logic_vector(31 downto 0); -- Shift register for incoming serial data (32-bit buffer) + + signal zlrclk : std_logic; -- Previous lrclk (for edge detection) + signal is_lr_changed : std_logic; -- Pulse high when lrclk edge detected +begin + + -- Detect LR transition (channel boundary) + is_lr_changed <= '1' when zlrclk /= lrclk else '0'; + + -- Main deserialization process + detect_sample : process(bclk) begin + if rising_edge(bclk) then + if reset_n = '0' then + -- Reset all outputs and counters + sample_data <= "00000000000000000000000000000000"; + ch1_out <= "000000000000000000000000"; + ch2_out <= "000000000000000000000000"; + ch3_out <= "000000000000000000000000"; + ch4_out <= "000000000000000000000000"; + ch5_out <= "000000000000000000000000"; + ch6_out <= "000000000000000000000000"; + ch7_out <= "000000000000000000000000"; + ch8_out <= "000000000000000000000000"; + is_end_of_frame <= '0'; + channel_counter <= 0; + --bsync_last_change <= '0'; + + -- Keep the edge detector running while in reset + zlrclk <= lrclk; + else + -- Shift serial input data into buffer (MSB first). 32 bits are shifted but only the upper 24 are valid audio + sample_data <= sample_data(sample_data'high-1 downto sample_data'low) & sdata; + + -- Save LRCLK for edge detection + zlrclk <= lrclk; + + -- On word clock transition): + if is_lr_changed then + -- Capture the bsync value + --bsync_last_change <= bsync; + + -- Detect start of frame: bsync was low at the last transition but is now high + --if bsync_last_change = '0' and bsync = '1' then + -- channel_counter <= 1; -- Since the bsync pulse happens in the middle of the first channel, we need to offset by one + --else + -- Otherwise step through 8 channels + if channel_counter < 7 then + channel_counter <= channel_counter + 1; + else + channel_counter <= 0; + end if; + --end if; + + -- Store the completed 24-bit sample into correct channel output + if channel_counter = 0 then + ch1_out <= sample_data(31 downto 8); + elsif channel_counter = 1 then + ch2_out <= sample_data(31 downto 8); + elsif channel_counter = 2 then + ch3_out <= sample_data(31 downto 8); + elsif channel_counter = 3 then + ch4_out <= sample_data(31 downto 8); + elsif channel_counter = 4 then + ch5_out <= sample_data(31 downto 8); + elsif channel_counter = 5 then + ch6_out <= sample_data(31 downto 8); + elsif channel_counter = 6 then + ch7_out <= sample_data(31 downto 8); + elsif channel_counter = 7 then + ch8_out <= sample_data(31 downto 8); + + -- When channel 8 is written, flag end of frame for 1 bclk cycle + is_end_of_frame <= '1'; + end if; + + else + -- Still reading data + -- Clear the end of frame flag just in case + is_end_of_frame <= '0'; + end if; + end if; + end if; + end process; + +end rtl; + \ No newline at end of file diff --git a/src/hdl/fpga_hypernet_dir/src_tests/main_rx.v b/src/hdl/fpga_hypernet_dir/src_tests/main_rx.v new file mode 100644 index 0000000..b71307a --- /dev/null +++ b/src/hdl/fpga_hypernet_dir/src_tests/main_rx.v @@ -0,0 +1,99 @@ +module main_rx ( + input reset_n, // Active-low reset + + input dir_sclk, // Input DIR system clock (24.576 MHz) + input dir_bclk, // Input DIR bit clock (12.288 MHz) + input dir_lrclk, // Input DIR word clock (192 kHz) + input dir_data, // Input DIR audio data + input dir_bframe, // Input DIR B-frame indicator + + output i2s_out_sclk, // Output I2S system clock (12.288 MHz) + output i2s_out_bclk, // Output I2S bit clock (3.072 MHz) + output i2s_out_lrclk, // Output I2S word clock (48 kHz) + output i2s_out_data_1, // Output I2S channels 1+2 + output i2s_out_data_2, // Output I2S channels 3+4 + output i2s_out_data_3, // Output I2S channels 5+6 + output i2s_out_data_4 // Output I2S channels 7+8 +); + +// Pulse indicating new set of samples ready +wire audio_sample_load; + +// Audio sample data wires (24-bit each) +wire [23:0] audio_sample_1; +wire [23:0] audio_sample_2; +wire [23:0] audio_sample_3; +wire [23:0] audio_sample_4; +wire [23:0] audio_sample_5; +wire [23:0] audio_sample_6; +wire [23:0] audio_sample_7; +wire [23:0] audio_sample_8; + + +// Hypernet receiver / DIR interface: extracts 8 channels of 24-bit samples +hypernet_rx rx( + .reset_n(reset_n), + + .bclk(dir_bclk), // Input DIR bit clock (12.288 MHz) + .lrclk(dir_lrclk), // Input DIR word clock (48 kHz) + .sdata(dir_data), // Input DIR audio data + //.bsync(dir_bframe), // Input DIR Frame sync signal + + .ch1_out(audio_sample_1), // Output audio channel 1 + .ch2_out(audio_sample_2), // Output audio channel 2 + .ch3_out(audio_sample_3), // Output audio channel 3 + .ch4_out(audio_sample_4), // Output audio channel 4 + .ch5_out(audio_sample_5), // Output audio channel 5 + .ch6_out(audio_sample_6), // Output audio channel 6 + .ch7_out(audio_sample_7), // Output audio channel 7 + .ch8_out(audio_sample_8), // Output audio channel 8 + + .is_end_of_frame(audio_sample_load) // Frame finished, asserted at the end of channel 8 +); + +// Clock divider: generates I2S system clock (12.288 MHz) from AES3 clock (49.152 MHz) +Gowin_CLKDIV4 sclk_div( + .hclkin(dir_sclk), // Input recovered clock from the DIR (49.152 MHz) + .resetn(reset_n), + + .clkout(i2s_out_sclk) // Output I2S system clock (12.288 MHz) +); +// I2S clock generator: derives bit and word clocks from I2S system clock and aligns them with the AES3 b-frame signal +hypernet_synced_i2s_clocks clocks( + .sclk(i2s_out_sclk), // Input I2S system clock (12.288 MHz) + .reset_n(reset_n), + + .sync(dir_bframe), // Input AES3 B-Frame signal + + .bclk(i2s_out_bclk), // Output I2S bit clock (3.072 MHz) + .lrclk(i2s_out_lrclk) // Output I2S word clock (48 kHz) +); + +// I2S transmitter: converts 8 audio channels into 4 stereo I2S outputs +i2s_quad_transmitter transmitter( + .clk(dir_sclk), // Master clock (49.152 MHz) TODO: Rewrite this module to use the I2S system clock + .reset_n(reset_n), + + .bclk(i2s_out_bclk), // I2S bit clock (3.072 MHz) + .lrclk(i2s_out_lrclk), // I2S word clock (48 kHz) + + .sample_load(audio_sample_load), // Load new samples when frame ends + + // Map 8 input channels into 4 stereo pairs (L/R) + .sample_ch_1_l({ audio_sample_1, 8'b00000000}), // Ch1 left (32-bit padded) + .sample_ch_1_r({ audio_sample_2, 8'b00000000}), // Ch2 right + .sample_ch_2_l({ audio_sample_3, 8'b00000000}), // Ch3 left + .sample_ch_2_r({ audio_sample_4, 8'b00000000}), // Ch4 right + .sample_ch_3_l({ audio_sample_5, 8'b00000000}), // Ch5 left + .sample_ch_3_r({ audio_sample_6, 8'b00000000}), // Ch6 right + .sample_ch_4_l({ audio_sample_7, 8'b00000000}), // Ch7 left + .sample_ch_4_r({ audio_sample_8, 8'b00000000}), // Ch8 right + + // I2S serial outputs for 4 stereo links + .sdout_1(i2s_out_data_1), + .sdout_2(i2s_out_data_2), + .sdout_3(i2s_out_data_3), + .sdout_4(i2s_out_data_4) +); + +endmodule \ No newline at end of file diff --git a/src/hdl/test_fpga_project/impl/gwsynthesis/test_fpga_project.vg b/src/hdl/test_fpga_project/impl/gwsynthesis/test_fpga_project.vg index 6b871a4..64b73bb 100644 --- a/src/hdl/test_fpga_project/impl/gwsynthesis/test_fpga_project.vg +++ b/src/hdl/test_fpga_project/impl/gwsynthesis/test_fpga_project.vg @@ -1,7 +1,7 @@ // //Written by GowinSynthesis //Tool Version "V1.9.10.03 Education (64-bit)" -//Sat Oct 4 23:28:21 2025 +//Mon Oct 6 00:25:45 2025 //Source file index table: //file0 "\C:/Projects/In\ Progress/HyperNet/src/hdl/test_fpga_project/src/gowin_rpll/gowin_rpll_245.v.v" @@ -30,9018 +30,9018 @@ `pragma protect encoding=(enctype="base64", line_length=76, bytes=256) `pragma protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa" `pragma protect key_block -gwEcb/iXUdrRKrgIOgel1S2hvDUMvOTfSIKrRpIP7KhFBpmlXaMjUDyC9aNdEdj83j0/Yj/H+erB -tM1Lu/y4KAs8s27Zn0bbKzpksL4Ki9KEZFe5fLoQimSaxrBMSxHBb+VgXZi99DctrkPVJZi1ivzq -MIHT80zamwmW9Tv8zTEW4Jn2zfCB06UJeWAh/2NM8PSM3cz4+fbu004fdiC3L1dccXmpYM6wW2Tk -7FpKW05x257H3sq2i8cAluOL8taOZIlgnx9qbDrqQtAJF2lZeyVEG+Jyp72ymrt9qnYSnJg1LCh8 -8xJ5ViCnXrKL0Ppu2nOYiSX9co7VDLnVh583Ag== +pLmTWCnpPQkRtfQMYnn3LWysMES1r07BsLgfe8TFU83Q1XObchkDXygZkNSfoRTSTSu0jZzfS+Zv +Yt0B8aTPMY9c4equbaHrJbm3spNhL9xMCjioeYeYIl8fxogAyhE4FiRZtKpNOxbLIuQh8gNl4Q3L +gy0wbJciCn3Eq49lus5ScagAL4pI5kz3RddYYUrC548voI5FnhW1MZt6uSAYewO2xdLGp6fvgr+b +JUVy5jgVEg2dachzoEI8uDkwB4S7v/6xRoHMuHcgHV2gpbMrcke2oe+ibIRpppV9XF5zk6ZgKJcI +vH2kSiC7xiMPzFAxRfX1buLlHwVnoJMec1fZaw== `pragma protect encoding=(enctype="base64", line_length=76, bytes=513120) `pragma protect data_keyowner="default-ip-vendor" `pragma protect data_keyname="default-ip-key" `pragma protect data_method="aes128-cfb" `pragma protect data_block -f2G+DJ9c9idFx9pHwQRZcSfEoT6oNZKHffuFdZKGfvhE9N3DFekfGr5Lyau6xHEC/idoO8Zec8Ws -LrBHUf8kyj76kMIJkSo1VHbLpLULlBCFibrtygf55xQGNX4EADrY5zpM2yuGR9S2XUwtzT3ElzdR -b5HFyM9cnVnGcrsbVBWpoug1A00L4j04ofEoUT8jTELK0dlUrE35xw59fUrA6dwFKnQYlK0fi1C1 -J1qboAezCzzQhlLlqowjv5e9cg5Q5fy/9W2sAGcQkSL8dd4F8mSGs3Z7GTkrxbFAwU6QZBja1Qn6 -AvbVO4OuNOQF0DG0JtNhQa4/tOJ2o+x8G3xsw4u0I/lRZsKzbh1coedAhvw2NXAG8Uoy8kB5Fqoc -Pk4i/muy2C4mpx5lBv1io0Phl4jkwU0InhImno0tsCfihWhepYjDyWwVUh+GcX8zFgOypMQUrgZk -ccnVy+68ecSATh2melxgK7Ebo/VylPDzgLTn5bmyWWXkud8oXqMGh+EqHlfNk/ek+43NomllVf6u -0YnxF8RVh3+U7BaGbN2lRGXug6yBoriYrsZ6jab+lKX9PPTR6ygSo8WlonhrwoEM6lNiDg5H44Qn -drgkz1VPPsMJ/VNgFB3ZxGjDG45TiGBVNjFAd6H4KUJcHYOLcCbKl/1tITnPFTvk5bhUbVGUgTZ+ -GMxnFMhR2yjfD/FhUgRHxQ5EdGwJyF75IxfG5oCVjFppyTKq/KQiOWs7oO92tOddn2IjhOnq/NSu -FJKUppuDd5gDiF1GOnMoTEbK9gd2ItiDX1VlGlQ2DHCVjlWdpITTC8l0edo70m9JWHQRXKUBYU72 -6QP8BMkkDLzsh/57E1+Wo/QADl1ZCG+A0Fo9f64KC8mOxbDPP8Cu72y7OU5mY2uTgoenNuYrSANf -AVojhXByy79Mk+u0/OM5aE9uCtHLkEeB6R7ihq/CC9TuGmCJ9L6feTwr9EDOwj1IKZ9kpzIgsBPU -VP2UUz1ZqwQmse+f//aPVi2oVvJFEYnMhk3mm/gohsy7QZI9FOqIvzo4uAsoe1ZYbT3NEgU9WKvE -vR9zzpeBy0Td/H3VWT3apsrhZ/SsyETQVm1zJd73iM9SnvOIePdF5/33Y94HjiyR570JHgsUiN0o -iVJjINX/8/MuvO7T3WG0Nb+HFPNsOqHRahgAdzXG0A78bVfLTF8fKWFTMi58VPr0QrmAZXrRILcd -0hrzbXubX50ka5j0+mooSVY4HF1ZuEWqWzl4YfVdhjIczdl7Cp3ljaq8cHQyatWe61kpVeRpwY/q -n6FSud5JzbUipmr1jBdCGWfyLqp2vU6zTsc0l1Y/U+kGbno/RAww+AKA/tHTbRQwywUeBjmv9nGv -vqBzvFesV5hTQCwkja1XmLX1Xnl4z4o74EqWtJOQen20RyTr8bp78LAfL06MasQmtzs/JfCz3BoJ -Fe2a02ovMOnL8t44VZ/49MWOvhlJC6EcrdxQvIikIxoWwwixrByx0AVGe4EM90F9sEyXMANZKlkc -wvI7xaRtk09Od2ANF8pUPHFejjFzzNR2lzxY2p6fHib7noWBjA2EyxZKs3pfqtoagdHN+8bs4BHJ -0TXpErbE4DPoMG3DpgvfFo/os7v7UTrPgCTxZklo6LQHLSfZUNfk3UVKdSOycVBKjrqJxLUiuu9p -Q3DyYIGcDGYkxoiplAyq81HEZPKbM+Vt+E/9cz+kHiJkaNM16Tu4wTu13C7iJdRc73HpvSu3PD1Y -i1ZGkfVoETv5uH6kDqXSbaR8NeiUfKdRi0qA0CBWGQKYtjYiy0gSPFvd4tiKCpse8037pFga1BqW -n0MDjFVur/8frW1Zs3sko/GzVlP4PSqG6iCsZKQtBLzQkQNyQTJq4OIyEkOP5QThstzBqSi99aPn -Temi9DmAzhCGoU6WCPu32Jo/mEglyodm5siKNPjqOeypqYuDKOMA0+36PRtR1b7W3lHgkNW0UBQo -8IugHKkHmjiK0QEi6BH8dE1AdooB0NaRyy9DcBWATxRSWnYwVdT9vvQKWIjl7/1QL1qJ9L+mnO7+ -10Atdq9iNCUv56xIEQ3XivEvFR8pDJ0LmLiFcDQlVdXeOkcGF47NnB5wMDSraP9SxyHW577udfuo -BT4L7q4I8QB+idMPA9qmkbB7wbjvA5GnFGyFQ+Yw9VV0nfxEx3rul8NBi0wWUSadU4CX3n+y3pGx -CsnOFsBzbrVMNZoUA+vkaXNeTgLeM0Pjl6M3YBauoUxBKlKbmIY8zR9P05f4F8rGBntdZ78NHZTe -NZY4roGOf7fUqVnPt+uUZ8cai+v6xYojkCvU9fON4Vg7vFBVaHAiBFN5bcIpF7dd83VooCHEdUG5 -NOhyix1Le5jstTkMN0b+KR7ngly5esU/0M6X6/6BZpKZmprV6h9s3OyotdDerWD0K4KcJ/f65RHE -nNYohCEdQ9O+9+mXX2mXQ34gJfOMibERmsEAao+1K2B7UcUsK6gUQ7Ch7SnwMm8wZUPQ4xnfRD7U -IhJf5dkV7dZo001IdXWuMO5P3xVpIb0R8uZEQiXbAFJQKPApAI6mbx4DIpiptBCNcYtr5aWAtBBH -Pw/vC+b2ikt8jHbiMECtwhhsijCrbvA3NLFXn1oeSS5ES2/jlOBmbjIa3GugYfq/8tZnKDEgxCoh -AB96U+uqVmOxPnxPSp0ZkEpZ8CkJQJiJYQqNqr2KO+1Z09OD0YMX5dYy2L71yaz2EjwqIeE+4Te+ -TzPQooCz/66HH6/vhbGjk0+ja9b7oYiBfnIo7ZaZ+/6Y0XKzOd6LTf4pHFXwIo9dEJ3AYTQPuONB -HNQIMbF49l0C0KO9NpkGgzFlXPtgbQz9ax7+5mSj5fv9Z8OxaArRZgvAkD3FhU/qDMzOQoWdSb9S -4pTdHYPysiznY2g+SULcAyodeZ+pvq1t0OMvVcSDp6Z5eyhpUXYW52/JZBUCeKCUaDwNDRCcywew -N9wviH2yaCaT6Grh1OoihrlIP19DWHciLCcP4eZv+ciwxnIEB6TcC0y9iMaCm+srQ2wvIk8UF7OD -kfJ97imyQpsYYk1cIs81P+CVQ1wA02XGyELce8Hs1FQaGcqHDRjzWc25CmA+0aeVdANqKm5nwexD -OHe44P/nemHh94+QjT+BLOYP4U34fEhfL3bDeaTg4iYl4hVCZlsfpU4d4COE7hlq2zGgKnr+lUk0 -lorrtgvQImqXCkxx7zrQNdJYLTK4Q9QY7Nw0uy8LrZrTPdhMeuJt3CggB7R3stK4bxA2HDsps34m -bZU50S1gw9yy1z6ND8MVaEEdHPva7ACdEcJBDfo95gMcsHz2+iBJT1xmQoBqjzHgqdcGhBbTLzhb -ihsoZVOTDvCoY2a4IeEamcSSai4kFBv8jTH0Hn7UezvJ7zexawiG4v8n2c2EKWOJpx9k9uLjef32 -yqFF65UBQTtS0mQ7TAD4VMVijGZ5DzC93m4L9PnP+v61+LSfSrEHdnOZ+CaAAaQRIob8ZbQd0cxZ -Zqh74RvSbA0VuKyQAAWVewypVqjLQP4qjv89BnmlALCOunoc2wwopt3wl0iBRWMX/7DQTkM5zpLa -TEWBXQmhIs2S46RPSUvjT4gUtlVLMzkm/89LLnRMVqsWXtrey1uMMqBGo3hHvPDdf1rhVxi8YqPL -qJh9EbvZXWkOLL6lYMclMc96jGs+Q45617kXduL8eUCPKRhNf0jIy2FRis5XhIbOFcDK31TOYNco -9+5KzXo0xFmahura4GwkiZtAYES8fMCo91xGytCRcUa2H6OF+YkxNdZxc/OVarQtVS3vW/0HMvHr -W6SLqQcbSyHNckLo3lyTlA5oRuiDKsAygO2NIK2t4YmlZMPybZk50zhOR0FN3FbFHRKQKN7JSGtW -Q2/ZXg1rMLUK6/YPxT4k+nCU56vTBRIgnz6soKJjp2gTHA4tUiZnT27KNV1mWcdzxp1nuud9D2g8 -VzzpjfXMRDFXtiL7RdFLPdNQdMrd/CFaL3SmNH8ugl6dgWYL6FqDtTZLL+jlYp3Q4zvGGsbXjLEP -KT0UQPakZCabK8m3vPRqgDwRVV3Rxzt77hi2HnHrwqmSspn6GD4gGEf/Fly3HXVOjtJAitsGA3fh -v629ovDGab5JMUEyyQVopaHVcx2tfgExMN4Xo8B1HKzKs3RrulmxLkbsvH2PFt9l97OnDviBASUx -NGIXoMUGEnmMYv6cBgaCloi0e1YdvEMVGydVwAB1iGY0EZazxFEJPzrvZPj/YW6H85u3kmNm0YAU -ivWxYrUCE0BqBTKFCkHVWcX9KDpC+Cmivdt6TYZYC4vOuxJRr9s5jnI/Py5TNCIjt6cmWsjdhmrA -7gExblteNwEd2hKY2bgTsUHwVPZQHh8mTdifB5liDdyisA78jyqlMar0ni+FdhKGgwaJ1a7OzVld -jT+CKpRLALUiRmj3AxPHA3qrNigSOjOY1kO7IP841FO7q0Vu77gEy83e6cn4ylothYOiKExnynSc -+6JZCD0JjbDMrQVX/Mj9hyMnJlHcTV/k2epSkycyYRpjhGVFelJmtJ6ATN6YytmB8/wm+sG2gTUQ -+OIMUyeD9cd4XQ4mjh5hgVd9TVziE/ORiHdecNbjpv5PXT/3tRPUvgUtp7Bqvcbh/pmFM7kr0amf -+vCYF6DYVUA2m/NlLWuftGreANySsLa6gwUtRCh2fz1FapkLQTZWHt/SoAFj6vDmGp75r/jdB+PL -a/YGWgYksHiEkM1egJONEEneTmoJVhp0iHAqJQ0rfecHuyuTVlEbqeJr+wKbAvzXJQ6NcbbPMuoe -LxoWXg3Yj2gtsGPrp5vYeDGuEdnwvVw9S3kpTwWKa6ZX/AvQtkirHH90z0MQ+T6IbxDQGgHrMKRq -hW65q75jvjd8kugdXq2Jvhs+DJTQl3VlNVCtRZi8wGSTt9HGKXRP5R+ioHYOE4lX4iALj0nbLvcm -UC7vTiAg6dmYCW/5TMYaVNo6t2QW9ESnk0AKyfvW/UHmMbGzRmXWToHgbUf+g/6QlTbFSNBQHzSE -G7I8iFyGtwQT+ggZNtf0OVZNkHMwhN8nNJKEr52IB3KAIUIzujtNmUr4PLBVW8VKTta52S1Zzyaz -eod36zjTQJ/yo9XzjxRPLmNWDEvgbcNhuCL7vyIdNw/zesACox+44BAJF10tVlr3/U8RJcbdw1bw -/VNFCvKMk1yvK4z3GB8nhpaF8CUGxwkV5MlIe3qWiEtmj7DwMcMFvNcj2xHXpDFwqgqSMhLaJDjN -iuVCdToie/4XCLAxRvGDHGucnYY2PSKF7QA0cr1ivkL9kirV6xG+cxlGHz22htSTxqxn4d0tpdkU -QOomAnFjX6gM7wVIR66WI9PN+sjDW95DQLmlVNJCPFec9oH4oy8HQSEFpZ3fJHv/FAT9pBNWIJRF -b+GmPGBsPCCB5a+Q6sdywZVAbBBq71tDISarkXOOw/JeWUm+PcTBuABbVWYfEf0JEpDGGfn/DNaT -IENZHI8IY3E31fbRqGkmOy/GvxVoc3EAp8SxPDsvKo/NSgWKOQ62otSN+EEw/q5RxUlAaMq27Okz -QJwjnT5JNXzSjCc0eIpk6/+WqPjg7T0F+UmFtnig/qo0I4RzgSW8WRIK0FIhY+Xff9awDzRTXCgX -Q3CB20Iz+gUGeV/lqE8lIYFVw/FIxkMBh4gQvjjIg03hhnb3ZomF2HPIVko3ThGqVczJyKxYsNWd -JY+B20L519CEZRqKTE478s+B6MnvXY1yG2jyp9tNtnRlmhVSx9M6D7/X45tV0H7135z+OILAdeZJ -Y4RcP4tMFfM/W9KGRkVQ0kSmJHkpqCHTt6JiW7B9nAXYalHqcZqRA9EcU2gLHJH/+UBdOh3CozKt -uGBH13ZZip/KwLnWZbjUJkA9HjyZNk131ZaqgJW6v3OPrL7neTs9ojilXhQCndQTkYgKUGIV+uSh -f/tn/+LweAwP7KBXhp8DVuq/8g19RGxLGnyd4PZ6TtOEvGnM4CORd7WjnMiGmHqgDjOZmMy9G0eu -9tJO6WWreehZr9CyBFsZr/SQId0INZd0cK9u/ld+0Zouqdz++UgPqUBDqb/RLC/VflNl9sQHGZUQ -yjK4CglMw3b4jr6FC0Cn4ZPCLYws1usX/rbFkVuEsB7u0CNVkqBLFteBt94IuiirXdrCv1/FPmG1 -NLRS4bg5uvQeZAqak6VfJgEig1ET6g0AStOkMIHmD0oriLDhADYGJq/wbQjv3DrEbjCTC3BeAdPt -fNNQ1vcusiUnYu74Coy6NrxAWXhWbRXuhteQ4tN+UDSUVHews5+j5tDcdbLVxH7JIHBOP8cRRY4I -e/FMhvp6nzL44DG1ZBFiN3EfynRnM5v0dmE4SWrREXa7abIeU31us0x0skMC5EssSql03W60SnXW -vNYIGjCFygeztKSXmLkz4oKTHAIO+bexjxQ0WnpxE8kD1NMV1i56LoaNyOhSJ4vqqWjZSkHTQOZW -fZQze2s+hD77Dm+JxkBEquzjMnX5Mq+r27DpT3mNaCzFVuc5DrfoAulXfjyWuiNGXA/B5t3fJlLH -Jqzj7+13NHhfkxhW7SObw4KIZg2b62xGMcURlP0vilyESb0JEutJ8h32b0ILQTe9Q4snzlO/yVkY -37JLbetA1+X5MPFPoJGfy1eo2ww0iil04Nx0DOgpMFI8sJs3Qos8IFU8LHC2J6iBnBFA8Da7fIjs -gY+AYTSC9jpu2zKL+g6iVSrV062hLcEs8IVqN6sKY8Qso0793Bj4CBU61rB6Vog/VOs1EYaIkQN9 -p3Jzp8YGcjTeTut8BvR35OaVqOfU3/9SqIITu0Jtwoww0PucpKgDcycIhw4+m7DA6t2ZOytB1DcJ -vbH/cZkRQjq3/TEFGEFxY7XseE2lNIyKCJejMeU3IiLauuawpk0MjySVExDzHaTqidQT7fhqr5mH -xJz+aDH8//3FDbKyY8zSmcD5imnBB4kD7ujLxww0hKVrFGCM4L8iUfJ0bgUk3oJIA/n7A3W4ojlj -zZEpBEdZRbXeFdUld+77zt+EriV3vV3+Pe8DCRhoP3WiKIBVKLQrWrhPARvYOD3b5H0YNWXe11Ai -rXVXuIw6tp9khOKrDsRQD5T6hwn+OeKsD7ps0LKv4jLJi05tKLXwmhh255LeodgvnqZVacjOnncM -lJ4Iphcux6QZF/dATE1a9V20bhAgAYveuaDum+ML/Ae6kz+W7jAWWstkbfJ3NsozpYob9QS90N8Z -7V/He3RoheyPu69c44Ohb3q5rYD3Uy5IERb9SGd4Pnsxt3HLC3EjhyCsFgpD3XJKZBgB3alyx5Ep -1euFiqNjPrcALwovG5DY97/l4XsWX6OJSrkZD9eyt2CYlZiwst/URWtJpdJ0ZWIh/em1FVLPaZVf -KbkggWFBRvg55JFGjkdbj1roHMh4BuL0On7KEFrGT05g2XY9S5ReQZGODHo4n15sAOwHQztek6iy -rHoUmlWXlK0UihIh4wX9AwCutXB1h3DEgVmTyJT7hjEDx/BbuJ00y56Oyf+TQovSt1qZerkm0fuF -hLkPEID0nyJ+nfjtXZfPMsagw9Iz0IsDQw7NM5vVym7v1NuRxUTz+g6bVmdE59MujbSO03C5fJqH -AkH6+wEEoMuMpUXzzIf0Av44EJ90cofwF3TJSGtGHXqq6gD1xM0Y1+ywEUi7aKojS44Z+OuzCjr/ -fUmlganYy5sLu4uXXm1nrpw2oDytL1QRK1iRfWZ/On0cbfgUvK4jonW9kIAt/lpEojIvrSjAYlvB -gHvShK2Xi9v5n7R49Ral7WK5NpuT8Pea4KvBgqmQzwEfnpHcYJlriyqKl6zPQ6WczzetiDpIoKAu -P4+KZa4BbovVDrpoVSqfcVUngleqaeVMk4MAtXxMi+cGGS7dmHguGUDgIe/Py0d+spU5lQ7/cZmh -i2pUfiONKWzeWCv0u1BnRVxjmlEGFvDaRuOzoxIa/prXe3nU3ii2qcEL9NeAUaXch+FhYGJF+RLn -OXv0iQ40za+RLvjHw4vcUonaUCN1cBjz/N3NnPXhjjB/8AMNu77q8voY0aHY1EzPpWkLmhJDE552 -Qf1qOe3g5n8ZpFamSZv53iy2RUvvZD6XIjOGYyQNVpRfQlxXsNbL+R5WqEsXlZnak0cHR40U872z -WgVRkLukY4CFGqCZP0iKPTRUDCsXt/9w5gH7L/iVaXZPp038D+I4yW4XUPcjeMivRuzYg/V8hucZ -RiKTkZtaWADLLuoEMni2NHsiwOuk0cLpf85z9CXNIeNd6sD0R3lDtIKMjLr4b1lyyZWy0kzdniaC -ndnp9hHYoGXvNx1hFY4Fa3ow8cGTjFgKEd17opgb9mR7Alnm0cTsiiDrWeUywaPPjUsYPvDY3uCJ -ZLCVwlWYD7Q3gJ/32+hzGqjceTS+L/OTnzJjuP7ZpfWsZcRwe0oYYzu8zO1UbEsDZ4fyWO2hCd/S -FMcGcpkrECX0xzrlG3RzBWH1/eKMKBnSyAt++qjRhQWErQacv7Vw07ZnF15F+h01dULvus1J4mrh -txloQYrCfca325aabPLp62payJ9dksLY+S+RWpoEecsqAe+Uu1vfbOkSLPD0MWtFXCPdXXHeZiP1 -dgWx4zBk0EnGawA9437S2AtXGbPW4OQoefgMFilG+0t21cQBO9+yNoht2mDrGlTcsNMopEbLbZ1C -9Pbour9MviUQhSb4qTo6AB6CQQZnI6XrghtPN4W+KY1TSB8fLnFOV4mZIKfA3ZskpV3Iykq+a3jA -NIzFwfDr8+8WQLtnOWpOunL6nMZ8dHUBVLwS8UYnuFdqLkAu7xUdFF6WFx+nnL9IG/xs4ZMIbNa2 -p/fIvKy4hx6/uaZJQ+fP0xOar6pxfX2MAKKtf59Ukigs6rp+inBlDpaM5L/OmjwXhPLLzkTNgP5a -S8Yk9V2did9PxnDHicFRS/IBlLt8fs/WZWG54/ZrK/bHD5YedQlzQt8VbqnK+ODKFmHeol7KvAhl -7+B8od3Itl5dzVydHVsdYIR5cAHRRcUkNFCAHwXX8MfIzq6gw18REypmzo6sNMN7AkLpi7fMI3qQ -uqk8AWS8X+lmrDSxdVU/8iAgiTYBsUV0kOZvJsiXpAcpX/UzrsyFLrTiFUXNta4PoEz8XAo/nemU -Q6UrqXGuZBSD4f/ad2oxwYDJDP8eKDY5WTlAVkJw3iyB95zYlUTCD9P1QXtpW5DNfkUnL5xqJcVA -2L8TBCcdrdAaibzOSnjRR9fhZnkUIDhKqwHcch8f7riZqyhPIq0I8Ppzw6htSQTa+4ll++qV4mdu -q0mREIpsDOigSpwEHyeo4tNvNhkNBHO/6jMkFFYVOhN3UZrRcgyKq6xESXKMW43xdSdHZ4W8zaZH -TTrIHVArR6TJ+XopF9PwOrNrC7rBBUPDpdkMJu6fJ9LP38oBDGQUJ8bLzBA+E69xAkZoIwnC3DCv -/tUGwUsIzGdmY4Ss4bjFELmcBWO3+YqTW7TSOVTFy7jRvgsX+jXZ5fvA5nEuNQm/zp9b25zycc+r -AmYswC4QY2XgnAT3Ud6paVtU0RLgLqP/eg4WhqRo1cjXCfNJtpIJaTOJE4BcA8hc+rZCFLBaVkNM -viehRY4a+CHMfhV1C3M7uIQGssbnYzcjadMZ3O5Mswz2tWXhGVOJ0ZBCuhg0/x6dvSKKuM1u+J0M -ph81QQFCNIxhMsuErhHRW9A6CNYiuKFBID4eYWU0ZVN9BYpqqtva4e83AreWOkQAyzG8pnA/10gG -3oHQ5a0O44c+ma4rD+YxSRNUWVnviateMfKHhvuJGdxDF6FHHl1mDKZqpeLGryehsqbeTldEDqs7 -q//QeS1/7cDfjQa/lQwB/Q/bcU/gyGjjy6M0oB0DlodeqhIbRpxPFEaMIE6GQI78S4cpNlWNbTZO -aTvBDWSW1SpjVhHFzmkftjTxGIikK9bwbCkqZPKa6vGu5fCR4fegT7UB7th/fDy2Q5CKssvWpbrO -P2WZK4XMb5rr5OycL7l/tsGJwTOZisNkqTu3OIv8B59ZqdXgcfKxwh/GkbhxjY5lpcu10cOSGxw7 -cbk8/EcOYzxgWbgSt7ihUra8Tsx3Wrh3CxUueB7zaADMBLClnVuCF6WeNS6jr6algY6YjhmoLO4q -tNzBQQMHPwXBVVRD4CHSaEXCvah9vVrEkXfFvVi/WAGB5Xriena9EsCRgUmA86OSEpb7V2qtNlEm -yNWZJGcwKvb9hu5IXj3IU5EffXnPeTZ/ZAh9okMSstFF/HQ23jDmEwkdUcmSNm7Of6pvtgNcK9AX -m0z4dGhPdngOW9+eFbNVr+6jNdGcIahcsup037fhPApNoA9pHDiUW9ELCgUv0MPicMjqBETuv962 -V0i0zZD1BsWCnOidkXkxvxguNv6aB5SGNoFtPhfPbQpEJu13Y9JzFCv/S/Kli1p2m7Ec1ZWA+uHR -lbavgD0MIdmKIzuoaPplTpSDjeJ/A4FH/PAO1KBlAx49B8Dz2p8LLTlgfv83GjaJeluraTwmaGxN -Z6qhQyB0Ofda31CvZcX0wIiEmTm/ll3tJDo1ZHi6G+Tg6lLSCYcljdI2en3Dg3eouNkDPOpvg1tw -+GwgyVdvDQihX3XAV4VvmSpZVHLy7e6+vWdbB6o7haqsrdyxQpZgnh/9DFMAV9Uq6EViQrO8axIg -29WK8pyK5Ifa6XI4lHAv5J6wYTnL2YkTJxd3ufQoMRLJNEzPcZslAPEJFloGxeEvGqkEocBiwzJM -0+66NQg8Pfjhv5UzKoqnIwInouXIKs86mKiMR16PtG297Ca5/yfNWDvU+CvgzXMTs8Y4uEVSqGdh -g+IxzfWJvc7HtsrkGDvtwfDRTZhdkj+YYnMHG1qFxlA6x0ofAKUL6f4qvUV9tsReCQfEtd4hhLDs -t0H+UFwraCSo4hJDtDNq747xt4r6DnREYM882ys9qlQRg9JpwOw7FU2uqBt1gCqDgSZvl4uSi20f -YYFwjcJofefM8AVrGg7d7ePvcs52Y6cU6DFzSiuz9ZvwSsOr3D36IMFJrsp7EN/sAyjvkP6xAM8I -I4nIFagjb2SdU4mWuHTV5DF/BsiGkJx5ysmSDCr8lmbKTgR3MDOUI25JTnFQ2hW1Mzr5DMFlUHX4 -O5Lr1o2+aoxEAQ58qTshkEuurC3awpjVFA6BuGrNgI4XtZHa/2qR+Cm2pSvL+3392f+QB4+yFmCc -l0EHbyjxvDYM6lxkcS7B2EbYXXkbh3yg9qP/uUwmGU9IWoLe0jGCWHkqgzdHXJtWVG+FAo2aljZ0 -A0gZXopRHtZ2jdz1s6kpRJ0oiZDiBdFpA01aErBOUR2NgDFHt/xpASN5HhaddPWXPIsrKwnvbxeQ -HLDIukArlgt7/sax+ufo+QFUeJDT4oXnPyUu0Us2Q+4bui0vXTgfrAkvH28i/MHxzWyOCUIjmLYQ -pHCg5p00anQDfwSSRziTg1MUyr50mJqdbHooP0XACqOEAtaWVXqaaX3JCRru9mTxPlKyB90EtnaZ -ZuD00VTlTSZnitCjSOVifipVMvoFuhNAw5fP8KBJcIu2x8HAHlDZK/jEEzohBJ6vaNBwsAbYS4lg -fjNnlxKz/vX876rnNookC/KfJVKFGW4uR0V3fYVAMEF+jqr0Y6JWB5hIbngJL4C88S/FErwXii79 -XDtOVAprTnCsHZWlC/tk8g86L43Oc27SPXPlMrl478ovzWw7kDT4xXH6giCJRGhtOKHpFhyzgsxE -zOayzcqy+GCENI04+QZbQHpLn4ug/+4l1IDj266L1ThfUb6sLStZMDNnXp+9LyuXg9VW6aNVvel+ -phsBFUoF5NPyxyZDpILU0d0y4seTebcNgDUWQdJT0mrBRlOhFtr8jz+MyYpJxmTbN4Tbi72IEHWU -uKQmWw6/awrx61Jn1b6szPsvsXB6ky1dTqrsqqy8NXi1qw1SXKsN9/UYJWGt2VGSGwI2lW9y61m8 -cViNWGiR8tEeyWMRNgUirylOOSNJjv1foi+SXzqSs7iy3WaM1drI8hi88ReL7RcAvDQYme1XyhKw -bCHUKeXRY51PgNaHIqWZybxjIdQWF8YuDjxy8EudFpPTtSwvnMxZC9fapPkev5hcR7WgEGMiU4cL -xBNSq3w4tmXejG+ql1WLpMF4oUG6VeI20aGQKN732DDQm7dxIvDga39hdGURnDivL2NjZzjoUrZZ -tjhVcY6ZjljmFMVCcS7FXIvyl0l1BWpG9E4aVevN8swsooCawIZtrLYyIECmFJWSjSpXxKKoHlFS -Kk6Bn5k0pM893APalDrGsAQhFH87LJ5/zzcELdE7foQeYD8i/xmp2ba4WJLArVXkrBodBJJUNvna -02FEVJO5SsXwGWhitzLShgGNPWrWNUAV9Sb6p4Az3mASBO5P26GNV0E/31eJDem9K/WTN+koRJhu -Nwe2TCFR2V3/5k6E5FXOzpv9l9QU8izS1sexeN/oKp8u0nx47CM+z2+z/iGHPLR/S+Tfme5c7Fkl -6O5BuvP5y+py9VzGgUWPgXSc6DA99xifERSMdad+GzTSUmR6ITjgbws/+NaUyE7/I5GMINgpVwhi -L7f5pbY/bhyj0l52k/kNTZJshi+c4BpyS+gsGtujXsDnQlYSbasLY83cpfqjXd+S9qAAupfhiwtB -u/w+y9TKx3NCds5/pUI+BL0YVDdljWY9X7dOsHtvxwlZHPRs8RliK967Ml+h/a+h7ECqD/DpgkEI -tKKm15pcoDietjF+Bxtb9sc2J9bTIrSCKVTMDDFa6eswxB/9uLD19AxjjcgQ4GEgZDqzG/coIOjm -55Tlf11Wx85QwWNiVivlVULu3lgv9lxu557OUtgi/0FdTFmWVF7lQm6PVFfmh7iMHuj+zfSCSAat -LVTMR9TKvX1A8jKgY34d66KfMtLH+c1FmuFyUYRoP04g14AuHjFdlcwkrlES258+Js3e7ItOMbAs -SnQ0O5UpE+SbpRFB95tbqQibVIpFrW43lRhLRLPZMjtEeRptUWMSyVOFuBS66ve0WmYqPXmpe90D -6aBLFvlbU+OolASe2QDC+Iaz2NSlm9gqsIzHG/Yex0noCdJg/aLgDcYnpq3+YkqSZZOXf9NygDha -2TRHpAJo35oFmva0/cuPeFqvJdaBjzro01UmDQJ/dTdAdjv4i+gGYNn0x18g1HYPHocTsJlp1vzv -oSCDjz4oNTZwqsCjZjTWPLoMp3zQV2mYQkFoHkhnIQlutzMKn4Dh8nOp2fAbV00FuLgRmqCZSAT1 -iYl18pif+sENiqddnEUdOb/u2xopfjSYsZ/pdaixuL0sgfOjI/39oYPy39RUE3mZIc3VhqGsK7GS -vJFZKnerkEx1shbNlZsy4dES/CnEjPlqCfFl2T4Lp5arTUDOieVDqhQe7Qicw2fXf0VKqTlWp7xn -PX/YfKGZ0aR2vlpLNX9751QhsR7pXT5Xr13YvKT4tvZdkH81aUU4OSRJ6ypxdPVKB99hFnlnMqKA -TOPaqSUWfu43SeJNvd02oRUGKGtG/50isnc8rqp80YLcm+c0N8zpfLMsHvHn5Ymr4KHkA9FyRQZh -RYstB1cKim8eetrYeDiqzp14o1YwU8Eh+Zvj7f3vFv1k0ICuajKQ/YHrZUWFpXE+zqQbJLY06KYI -a/pBv9X/GwVGxlMMn4AaE7pGylKi3fTFmDISgxdNPDvD/IWjUo8kfJcjDatXOJAOM28fmAp6t8qI -WI4b1m8DPtbuXcBgZaLyNUmRjjePYKgMCW8V+RUZktwLxJE6tWp1UVJLqysb2OC/nRuTYlZx/vK6 -kJagjheZaQlmaVXn1IbVTw3Yyi41TjT4xcgrRbxbPhTi1ZeQNcEvfUojRzDdVF+IEqYhsApFfIsD -fUQGDjvTT2gpYSx12uVvbEW7TVQLTqQLT6cbDp6srSF21xxZofO/AonLY5oxZFhVrTHNedFrCwSS -UVWDiAPVSFQ6xHT98qp5PPey8PyKb1NI6QTMsgxK0SNc0hqwd7i2mpv1Lav19wsTwsUPFmkYJxEr -MD4z2R7nNrY9nlL0Wa0GOHt4hGwQ0qBNs6yytfyFeNiv8DcGrT/zSxtHCYqcVgziEKOONZOVibfN -lUjxj+xYMXAflMHVOpYFfN4yIrQJX5ZQbB1mlCezFkKxNfclrENKNSWPfBg1m5b+VmLtekFEp6+l -kBvRT4ZpiHENmFaLu4qI5l3Dw8rpSv6Yb/pdxeTRyCHk7PkYMv89Newb+sHeg/YJO48UyXQk90nr -INjwZGzEENi13WDMckfK5DTRXuU3MU9Oa1/cXvSxq4r85YBFpFsUcb2n0D+Q3GBZiFuXGR4X/7WW -Kl+qwv9Ubf8iB9CNL3Vn3Ip3UA8I6g54VDbvrE/WLND/jMxDe1npLh0FheXr+BodBPEDr5P5nqQD -cqhyuruasK84YfPkYI9ofPnmha7qPhl86uDVUgWtrQa2rtZg4+cNcHkkOnxsQMOss3pLkLnw0vky -5MWcz8ei1ZFZkrSZ21pF5OI2uzvY8ibjd+pp3E+p8orJ7WhUrGiBpnQc78xxVLVN4AROpnDENTVA -rgylKHNfaoyDDQRFrC126tsstLwa8+w4P2TDZI1slAm1U+8pa91Bqa+b6udEAk+q74+njeGCy6BN -D2qCoEmkO5n4gmpIAn4xQ4zQZM20BUukaZ2wuYj6ZGdVMls/BH8CriRM3NS4+2HAJeJI/H+HOyvg -vEMHCayduU2oSVN6DwtH1YBqRwZayuwKp5kmkaZ08Ofr9X3sticw68FNOg9JU16GEiz4pUvzLldM -b6pH7m8Di6KlWMMJ2VGpd8b3pHs7dnRwA2g3glPy5BPSt4jBBrHlRRrjG+9pqGB7dgl7kFykydoo -t3yQJmtCjB2UC1ShmfJ2JUzmmKDwDn5dk1QmHgNlTZN/Uqb8fyJJcQPstMRte6jPECyJ0coX+la8 -acBEZWmOwlJaeKZzdewx9px9W/J5cMfiCWJqBCARAcSANYJrvVF6U7wUB6jblTMXd1kEToJktjoa -dhERxhUVvH4smQ5s0Zg99sF6mXyleGCr3fHq2BrbOlvop9o9llRm8mC9VAmSyQ+3nFrpzAblY3sK -fIXlLTliky0Rq+sjpWgJmuSQrJxmmhjY39XKnEWgpE7B3mb0CLEOxvC3FDeijh1MJz65gaLc17Xr -8/PvgK8tV6S7eTbKk3v8Ymysb5fdEbbY3t9/zDFIoWgmBI8v6fA23NQp7UmRGDAP9nm3zK8oRcVm -prvEuWO8VluucchppcoHTk6G2APvoy3JJ7rgsHMMPKIc/Ec/BIcSd256XD5B2YaYm2cB83n3elCS -jqOPAAEk58AqwD0jXA9lrA6vEOxVnIoYHVE/m+uv2bb0HmYRjGqSVzcesB2pOAByv24tRJRosW4I -XGGdXKwkBtIQgJb48HbV3IcqpRq3oUmuqPHpXjckj2q080qK2FNCLv1uCzgjk7r42OpajaM4BbRg -NgOYKqcDx7WPzVBlUDn9hIaX347UOoKgXdRhzhZaLbOsbZQRQp2xUlRj/lViQG53sk+Uq2ZqGjuj -O1pKJZUHxdzF+zOfoHNZnVf2P/518Li1Y/3owTwxv7WG8qDtYEfqnSJRHpjnhzJiN2v4bLstuKJX -4C36WjkQJfDnb3ExMb6AdUdws04N9jU7EuF1OadD0bm+TW25CZDvY0UzzpBh3zs5PUxdRSW+2oWX -nVXbhVut4L75hhOyP3CWvG+19O0L9ILGj8tDBYyutL6h0Sf+mFaXG/0vq1uR1sxmM/MLaiMNNtnV -zYpmfVf3YyrwjB3s2twZUk9xKuN9Ppy7LKjmn1GDgm7mxtplKXTDFTNvFrzIZh2h4Vf+IegNQQVP -8L2UIf1nQ7kM1wCID2X4Trzhpj5cALCmJcmsCiMb6Y2atGFsaOoj6njyTK7Jl6xNtjTaLyCjL7gZ -DDeCLgWWpirqxWk6oqVC5S5bhZiEGtKVtkEUqrV5XOotN2zN8/vAyabY9rRx1+72/iDn99trQ4nf -SLbArXvrSjxSc3CqCjlhqG8z9gVaIwaGuGvDlR91jjSok4RMG1llWLCxqpSECc9S5ckhdVqGAqKs -hH4lvb+azot+L6AHKDNNY23WTQFTHNQAxHQle8OL0HyXqOLBvdbfwuensMLMiIF9WDmVVonMkINf -M7MTsYb0EC94YcvTgxoCirIwowc7xczwWP5rNMO5dFd4V8h8oU0SaASr+U7GT4EM12aMT+pqijY8 -Wg99QnQh7qUAzH+/laPa0CtyLhqF9fY2Xg7Fq/myTyoIKoheqXR0P9El648co2I9izl9mYjFqX42 -st3zpkleKouNNoAO5ouewMk6emyWn0dG80dAkwBEqmPmcc2EMAz/RGNzkhwl02gWt7ZIwj/zjH9X -/w2nLV6+8P9Ev7AgwZ/adPVUqCML+l5umnTCzETiHuamt3EiKN9YtIYl1TSnEVcnaLuKR/4BZOl2 -bRG5FRa8eF75B/m6iHK6dbFJU4vHyenl3KJIDgQxJVS3rzNZ7qauiOjSR9z8WtLopcxiXVVGCbxJ -g11iw1gkZDyYQxIxGaqcixcun21L6Nk8WPQ6mmmmlMuC24g2VWIt6EEliE8oo84gg07ajOlFqM6N -zQ+Uio3bEmVAAkv93Jsm4jGDb4NaVEA7fLwJOqPagnrsdCHsMcAv6dZVLu+BgME1RYtM0J3s73hf -tMCnb7rpQrUAtQBLDkuF16Jr1u05qhHIohKhES82WY2a/nLv7dCTpeU/R1aYJYDnfIfkCVL7tjb2 -D5NWNSWi4uAaRr0swupQRHl0lCEjFKdYHxsRi3sAvg2PVF7w5L5OzwoUcngjKbYE7K7arjvPmGXd -L4L3QCOHOCqA4tq3UI+J1Vu728WqeQuggxtkbskuqLT3EPrXJ+0FE9Vd0UqvEE5NN64qaVO6FnEe -XfzjBFbrz3vpiXArsINEAgTB4EHMTKIzLl8/EbbIMpPjaprwA7fo0JmZIOQzAORe7c+FDFXhTLlA -NkRkDyE5ATfngCuR/s7P907XDfmbpoGrjpl0J+LEKqWQiiWW1gmDk5oAc8e8GKDFmw558z0bTMnd -VmM1oePVDHQ3EKvjhlhvEJwbo2RDM1MAaxZYNaydDVoTJfatCZe45K8thsCK+uivgoMw6HCIPrXZ -7DlchESEoYvkj10o269MBvya75Ky+Injf2ba2VGtQ8PNjhcn/E35PvsrZQSeisHmQru7UEDo1cqY -NIm7MLwH+X5Xa8DSgXFoOCNIkJ2CTIqh1BZNLuk/sa14CvP2uX+Pz5U96I64Pe0lM2830wAolhKm -2AC+mpQvhOCc879L6aDKrz6OIEbMMCb7+iTTTNVhV68CzDAL27mYFRPyjX956RKlFymUtkXsi+be -OgBX1yYvmk0/StEe8THxkrjOwZAuunHaRWwSCil22Ev/gQ8SyyOghuOIczWwN8rxls6D77p31QAf -tsbpZK6d8BINqway0Z1vbNjQ5bqmzD8A92BsTXsCTeS/GqflIsm3H7XJLv3T5bhmwrSiWU6L5iR3 -XTXABOgJ3rBe1CnlMmsZkRywLl8XS842eaJuOL911ytRuVaDh8DLGYWpoIlzZ58l5T1l2X6fuCEM -nAfGM8eXjref1YGXf19L7Adc9HlvxRuPEX0c9WVkK7C7zZe8XUPQmqPdaL827yuxedcqZKOCMMMR -kBIigHKz7KvvVxr+NiXho7J6AYrnmFdlXpAhbF4zojrhM3M+TRiypBfMhmZJ3QhZxIIFK0T1MSxC -uXfAOIdY4eYhEXX80I1t8lQOL3OMhV38FOK43cBdCYmlWpwvRmyK3OTtPDgyBQcq9aQygpmHJ+x8 -6CQnlePMErtWpkl1l56jA3wWmHLRvj5aa3I5JNCtIf5NN/fbauj5zK6dsxWwtwv9Iqr2E/c6DNUT -zY4Zaxbg2/DZJWGRhHPXnFB5wfiAPw/8LDnxQbT4TDRZ7cAiDBPFREW2wMv4ECeNcVuMtQEjo/dd -8zkVk1dPsaDiB9mNhaC8jK5AnExb5E8N2+fj8fKjtuDCPB5blNtEHLaqtPbkftfWcal2w1Pg0xl0 -OKafN72dYAuSIEO4oSAhbEdaNHgG5fx4yp3sogbe8DjS4p1O1cAPDrqZjDLXTiyL45XhuYgAjtfV -F2XiZ8o1wapSfzDbO99sXARejF7kOv+nXNG1qzzHrJ5YznzEd8FzQr9kZwB8P8fYb+v8Vy8HE69s -zR/bsJl9hHInjX4rCzcQLs5iGF8892ALkGbEQYOtLwVyeIPd6YxdyiEpghq+tBsIY+gdkb976b/e -tx3jXZmAT64U7GgLLx7F0JFsx0WeZ+3XChdB4YCWZMNLiX7HRTbTj91SYhQWfjcCRhcghfKxhFkm -qFEbfdWhkMAyu40+DRzFHWOkh3jDJEO8RM9F2JspNBjucHyP9LhzSIhcfF72BNk+GysTil1G6k9+ -eZn5xH5uiuDtULmoX28r5s5fSxuotp5LQJXSAvuxlEk2bBpZQsjGhg6mF5AH/Ksn89cKZ5qYwUL8 -WmIS7diDdkBgLuJM3mOEn+ySKHJ29JTtx6+k25RJxBoGy3ao1Y7aaS/UWYiQ2hJqEsJKVGptuBFN -pmRZFV5OPeB2Qnk94uOCWeUpYpUKQEjzv/aUdvIE0BBkXJJVFwVAodhXZDNXamgHum712xXFT2cq -6nwTuvhdCjIdusePrql5XRXmActbFKzwx9BU/T90j7/q1cshIZW1ywQvUOyDh0TspcA2VuVxyzLW -cF/d86+6J+IHwEBZhvbTlZXn1fHRkJqKMkkVi0epFVk9bquahSJzEY4hMLH0dyRN15z3KRKQ5wxd -WnkGu99lRhxOY1KJBMzyE4tnzsDJiwW6yXdJGs+DE/WcyoenuOULJ0NpXoDmZfHjXvve2MJUox22 -wWO2XFt9djNOfkqGSBModoO92NwyhSDyl0oPQGBm1lgQE77IlHFgsnNCzZshykZKPcCuXJaaQiul -mePqalFAi28FLsTodGEB5KBCkGRVd68tCF/IL3gc8KBf3Lub5NzbFBUONBrXm+QXSZ5J3WXUaE7d -1GMenZc7PWHwendFKDGhc7F9Wy8DAm90gE1D0DT/CIdSgcy3thTFmGml31ZZz3P+iNXWR0jXzsB8 -r2Xkmt5em63G3VaTAc7KoPLKMbLwU6rKdIM2lQ3a0iNOpBGGR4wdmPASNg/z1xUm9kuyJN3waD2D -YC9rpl8FJxTYX1ayKZYL8QHJkSyXMYroiRC8LqC3borj5urp6YNKjfkrcHkYyAwqjRDkAlMHenN8 -Yu7MZ7DM3Kn6wQv4mvtwlVoYI96HJ3hz2CVOJpkMfKMAfvla5eioaVjuq8dvS1xclenDDwJ6aFf4 -FQDdg994CFCpGbRKitacaoV6HXnzIq7U2lTqR6Izqk28FNiq0BtBDg3omDG+yz3G3mSvEflLSEvf -e/lYwK8ZCMOsUfCJKMWNNatX8ORHYm4QqUH2tv2OvXLG4gniOPVzVAMpds+6z1TSzgq8UgECp25/ -kIXBvnoIigsbLdyktkfLXBMdo3t6bsSBEtVod2siyJB4vB7NJqFnMLNs+FH80WHHuqWZ0WGaUJWf -5D3ivj9rv+bo1SQGE4WxDeWu/383gXN5o1oGSufuVAK7q44rGmOeO8uCO5PEhwxSKtiSYUdmLmAt -WQlC51twnTb9TkVp01D8ud2prOg46JgaMoM/HmbqVWbQzTV6E+ze9EYEtcPaSgkbZ5H3ptJBZQYg -DWWFSsMfelha6NYR8KtYro7PwpcHPATKEmYbi2sp8/rehFl4z9INcRFpTKra6c0/5GRIPGtzg+Lf -TxppPtuOSkpefsHghdjnWhrY82CLpn2Yy7bZVpK6AipAn/svFXPxZTp2vIKBhjsiogg6bMn/hdB1 -zs9x71HDp5TkSJkUiw6llBx/P+vKm3OOOufuwl2tDtlJ+8I02e808Hj1564sxS1bEXIEEb+E1rvZ -oSh4F7B4uzEM+202hIfqt1Yg1BEVSp3J5Mk3F7+DUKjnuj4QRbqODzgm4KVGR5MzCMFH/oeG8HJ8 -CSpywms/QszESTGHTAVkQoL5yX8A4ej8sxhlBWHCJX2SBmbTpHXaNOd8C3QgIbsD1tLS9pwCHck5 -NdUlavToWCoo9aLZkK2jVxs2DHi+V3Sti2cZFLL9f9NbTtYI69uvPVXor/mVtGWzkezA2oxgcavt -ujH6tFis3ccx97YIj5+7jD+ZIj5NMT9KXX5ZPig5pXnxZfGDs2ptaCPJq4vfkc5tvKm6wiYNITcJ -TkTkz9bmL6Yxoy7bbTml5V3RFTJn86wR0TUNW0nJMV0/1+9qEGWviGGB9+PyWBNJl4zoXbd6kLeN -nA1Rk+7p6EcPAbMHLKlsRWfiwmOh1RTm3pp3qtgRqTmwLQTI4iOuX3a2l953LcElYi0ribdeVoyE -zBRI6/hwWuiMl4HaVqwn8J7uL5/LMDg50OEt8aJH1wQ+Aivy5ikf/+Z2jFu5IwT1bthMoD7sRUMO -nJbYgdMoMom7HhgbwDG5vJQy8mdOdcv2HzeQcIvoQsua4ITqdcrzIX6UpetkUO4kaQd4mmWJalI7 -5znRO6gl/Dd+s/h6m/LL9Z5es40ypbdzHIlj+P5HHQT9YfOUXzG94Yi12aV7H9fLPuH9UzLwcTGR -8teAox5yXsmruu05pmf65fGOCMHtOjIaCd3gpA67yVpyP0l1nSylLH80pMX4sbe5L0PD4jFQaZZ/ -6SDQgzywDp+Ybr0z0IyKlcM2aoMbbbNeLrO2pC331rQyzTv+440cO2s8RNDlOVnUDcLOO48p7vsf -rVEX3v5QMy2O4LbiXmzWyCGuNGEF8epZA9lP0kFAlh048YHf9N8HZSBK52oDQi7kdkbHBRZLzrKB -hURCPKcihWbUIHTLg+OlL6iI/xAIT1CntggQiYVTHe6DQ44WQ6XPId+kWLWE6mEfVRsaL1UzWQoW -/mr8l223PvWDW8Ct7frSb/+lvW+/rj7J7k6Cy+sEEtN+n1JXdcOH+8JQ002kMRxmmsU16qfxV1hP -Aj7jG0gH7+R0Zgc/jQR6U5mIJwX/r7Q+wehSsai7ou2r3SI1KZg0vHc0pg54WeU0DUPNLkM8HoiI -GWT6kda5ECKYKT/AHJFRJFYZ+j8PgxM9w5YgfbGrskzzruAw68VfgKM9eZ4/y563yBHKu62EGVwz -eevTUgWFS/2wRw1txZ3LkGEqtOnM+ehA1dWoeCfAYWAUath5lV2Rrvdg7mO1LNqqazmKn6gubEZ9 -aOChO/NyhGosDVL3jAu7QME0B0kiE36+6IHfZzh43xd7R3bUT2Ex8jkKB+al/ulG45/cLIEvkNEC -AZ4DqEuMYIC3neCeP6D+TKq5y8cMu3TjeIyiq86T0x/RS2gG6ad1hFK1Y33xHfJYp8K8GV9Vhaw7 -4Jt8yWOs/4T95hG0In40amQQZpSVUxURUwpTDiffQbVhFkNrcYSLYN5XxGvRLnlsCmPS1rdZ/exn -7JRX5n0oKczG7TNzLpFCYIMTdnckF/T/qdEIYkdsiyEjAR4Co8OzckI+Uw1lVbYqxXp7gserBzDw -c6MvXBimscVVEz2K6OgkPZ1wJwViJc2HqPoc2furB2WrjVxAwM2qLUON7Kpse9/SXky0mvkvYFvU -SjEnggcgLA+uL0l/DqHg7t/2AEldIILSBqlQRO271TfnRuwrsMg9xgjnR0JP26pI4LpblU6QZ4Fy -vSayzFCZ9Tf6h/fP4u+atW6CLVQwk1w9GtInvD8R0Tyh+vjKBfblk0cRILAS6xd321isTewUdE6d -OjqY1kRgJFLsAtn21wjvTIW6vnZ5ANb1UXiEy8RGlR+npc7zQdaw9Uf91aSHAdajfnv5eMc0CyEI -AUc6tR9yh9++xHiIC7atgm1QR+XMJFwHUyc2x4YMsbd9xQr+L/Y9wFGqTE4Qjjv/WsGZEDmlstYU -nr//ls2Q76mv5M0yv22Pndb55LQznZi3AV4udm+Ztauo/Cp1JJt77AsZvlCzsx4c9c/iOSRo3/Cg -R4TUMpupYlND5YOhDeSV/dNQytxXFzBd4Bnp1HTEGSg/wx7utLbJx70Hyppi80Sag56wwnDNPTIJ -u9x5e/nLUpFPxw5CgZL27W9lMwARXbktQqMWig82umLxhaOyOHTX286BD0kGi2dXBkkSQiGtq5/U -rSHuX/Azh9hWy7VY/HIbVT6dk0cu9Nw8sQgWK+CXvxXopJ8uGcNoH6WjZWYradTgMx9Fv80yhLeb -cvtENn/ILIl0Db5FN6Kb92oBFm+FPNwm/2GBJcpd3W3tRuUG2KvONbKY5/5aXngLin2ZVfyr+8F2 -f92J4YskOrl7vDYRwBug4mVVNXCpdi4M0o2TyHnzk/2sAclfvH3E4UrVwQ4pbJBhYjjT1b4tYzaZ -fKOQE6lk73XoxD7DYXr/UQKKzBVfBwxzNn9qBusRFqIIC43atnCY56YYSqThH6sB8V1Ma1RKwg6C -I+ZHNrSWoy7jXQQsgIh3WzJKV8GLz85+0v3CfRYbAooUVNNsVtMILUKOHAMHn4YPEQc70EBmnkcA -VhJLiz7ApyHOy5qSD9UGvKNbOeGvC5qRLF2DC2NeIMNzBQ1XD40n51dQPR/La7fs8Dz+wLT6O1tn -OYgOMcRqaSCcNI8HLMsvn1jqf6YK1OVFw73167dWXR3kV4ZeZ5sWeD5vtxLGf+qsP+wEFdhOeIxV -i5Bhaa0SeRCRoLtH/XukscHNFEyLa33s4BNVJ3zCKHx6APon1f4VmlZWyCexWO3p4yuoob4wJRNe -Bp0K9rTKbh7h/+YaOHIE4zzyg2zCujlL5WGHCQMSw/5FNp6zy1xwiNr08/ZG2O4VB3rxFBfagRrN -B8HmOX1/2pKu+7g9frTZUOnOyrpI2NodMmu5nF2QXmfQb8KeXQ94a/TgKWkZYukARHdvAc2mxhHQ -kF1HVc+WOYY3jUeUMW2ePwa+MyPyMsqMeCwUtTd9SKcenne3GwCUnb/qOgyvrZjs1zXKKKB7NMMz -E51bIyxkWsyKcfQpheB0wI2A1ynKnazvHDQetA/viBjTlmxiUSLoEyAtTl79g9h0Uu6QG/ChCC5q -4YMsD/aDlAi2vA4bKitVjJzu2uv5B86eMqOuCRLhAbhQVKRZA/k1H6vN6NK7OICUGcydMAbqQrWu -HEjQsy3Iz0tBpv3qag3Q5240CFDzJ62l06yWQkC4N8Kbsj8US23uYEOmSNhsfjCchzYW0KwGg5zX -WVUsge3Hk736tahpWyqd3ocp7nKdCWirAHqookxYtS0taK6B+dW0RK816uVZqoGyWUpM19LP7w+F -sXK4unNB+sRoGQzixiqeJYw8q1QL6tGzFRaBTvU+2ka7BKps93wDMAg5K0uffQVDxjPjBlZ/EPsr -fhwuLcXxuT3U+4KjWgiN+Avbqv2yvQHxGqFuF7Et5WFPYRozWOTlG4jnmolz8FjAcukiCG9QTQXR -G8Md5YyyzkQDx5mh7fJOI+CRPetmKD2a0FPuLyIUmVF02XtXREouDLMvUIPFh9xq7WbOOeigHFld -Te3f4DT2vD/tCoXHJ7rpxkFERWKyn9rl3eX4moTbEuYGXWLlSfCjSiRqXF1sS+XYMPcEepX+cC5p -WDcInq2d4eUpmMHgmXUjQP/5qU+TT+l1potVWj0WAoIuWpP+J+L8LaAT3Xb1p0hrBBx1mJQARFFc -W++R6k1YMWhlJwx+FHEkyq+VPMuo9gnwUFMN+e9oK0KnJgbtgELiCpAuxU8iIPfgHLE8pzaXx17J -BXk548PWZCRO8U9ouNnwvTgZkQlwtyUe0CZlOcK6zjzAXd7IKDogCx9lJJwXlfJ3hjBjnNSdhR9S -cPH4PKGobm5yDg6Xn4K8/8FDd5T3ChBltvPWzkLxcojLxqZPFkPE1VUHs3wTsUiX0D2JU/ZDcuUJ -A/j8HRz8RxbbT7vrKCIUJBExJDmCaWAxsae5QKWNEyr4LtvkBwUxBbmMOV5mpRSPmOQIcu3lM+H3 -dWu8QI43AofG4UZMAocImUxfA03BGPsY0g+tWDg0ziN6VBUeo5Y4mHPAorZ/p8EuLBNgDJ+jpIQq -QnMcWTwU9jFyyzZIwwmolzX6G8rsxF9eA1+KeDjXEV9dbz2a0OSvkMHpvazln8h/GC0+QM74EC1G -bzjuKb7lil3rULzoTHcBQYNdxNSiXSujP/KkFWgr3aVzxs4EPXiJGGleYkz5EuPOkQCtBZQZrlu3 -U/hM8UhM0C0yDprb1KP9cIQRn4bTF4Bs/HjRk9KDGGG0KAJhv73hqcnDRu62qKcXHymx9JnQDZHN -cQ0XOi42QXDvdaisRlfYPmUtb+XWWfl1atGCLTi9LitcgcvXq9ecDpriNNrILj3Mo+/eE+dvwSyd -+EZXFWaIkstSF4IWkcoKhhSlfERNtVO7APuWTJeNu+ofX7DExsT20/QAWB8oDkIYa5EfRfocndaB -HIKNt+6jr4IMfX2q+1r0c8Uz9SORSlvYUEVJGedIghAR3pt+rQ9lA87IPdxSZVzOksp0jfQgx1FP -mlSQHjDWfUPnLOx/7S74YXtBaWpE7hsxoXPTBzRRRZD/6s5eU5jr2RQMcqco2QvxymmsyOJPyFwM -RJATUor6PmrLnT17iEyRPZDIkB3Hl7qaGXNDJi3FNujg8SkGMeyLwN9nTM0LxvgXePtFgTIK5vSh -vZNbNd2ovvIquIT4fjJIV/ePx6SPmZaQdVhUoa++Yaes3oh/XjZfWOIB0VilJXIQZQfjehPdOS9n -tfPeQXbH16IvyLTbIranIVD34Gj90lwwMY2b56xxTy6q6VlVPqCORCnj+Gl/E2nbMfDUbILKdaPB -LEkA7cY51hUqBIvi3oye0JypjqnAuOcKKv9ydVJnE0voeSW+QXcGd7X2poyYcya39pGL9yDnYt8Q -pWz6R9uW7KvOEfCZbMmOGSIPKp9umBvrW4OkGaeygflyHj/+Sk6Cys3HzG1E+o/ruOzL27RLtRT1 -STsKSwdC1SEDEstPwgFUCoUAFUdG7t5rlGeSVGXbNtzPHB/C+lhghmqaWs7xop14Wuca8v4a1anQ -wYa5FdjEdhbibYB+uoxqxSFmUwJGZAba7bBlG7/KbxKIOdL7yl0gpUY19apcQfAeI2kcrDWpkixE -OdNGADlD5gZZH654Bjeq3/5nKGuhS6TsMo/kM5SfTgLO70qq/XTKUrFeybIE3rVpOcWtwShA0KhT -mh7fZTjFCQRb6MQk6BmZ7wXUQILtrWZy/xxXjnLTZ1+rAvrtrKCenncmoQhx63f3/JPKOOLaQmlz -birrslF7PYSbulgmd9nCOPxjGyX5pPnylcz6Ik8uwvMDUWDqqOsU9ZIMaVMx7fd+DjNm30Ko2vfD -CQref9gNThJLPtVTEULhqqF6lfhxVdV5IcqIRaRHDN2dG7I3N9JvSbZbKzgLelDWOexYg2s6Kr7W -KMuV3nnrut5u/PO2a0+JZfaRuNlWPqg+aEUghGbL30so+FonyY6UGDq8zHfqU9kmCMvqbPD/H4u7 -N92cK+sWn3WTbbKQyNtn5CRnIq/mKNOl4Ko8iC0m5hmNXDxxTqqRWlwioock8hRbL5l7BpscN9eD -a7q20/8FFaJ0OaJ/Wd2PoJheC41djonOoc1CG7n0FYs3C5Eq3osBZvuFCX/0e5AOafxJasi0RW4B -pH8Vl9usvkabualFOATmbzt9mnAr7ez4JSBnF3fbbm5Uyf3vKYdEdjuzAOXDm7IuV7j4Y4PAJCbh -YbPfVBwZE8GzcaI1WAE35wx671f87VJlqZ+KJNYRD+YvHWdIkM4SP9cE9GhkCrejjx7/csyysnvh -ifPSF2S41IkUUbi5rl5nZr5vjGW7Cdvynwa6m8H81KgdSCfguY8lBa0il6UK8JPIKVZs0gQtqsKq -Rapp9Pll1e9hpqPONnirIPdXIuid3JQ6m6y3B08QMVhkcBdSkBj8KbldyHJTvqsvT3SObjaoHb5N -yYlQIUg1pdPd4v8foWwT+5z/SUGi2vT8FSNjZ4f8Y5CTVwwJAafVl0QrCYZIpZG/h3RzR7hBnytd -J1moK6Yu7woAzplWsBvXHA1DrCtKFF+PKvILcY7tnZFBEZRDNJSPxJcwc2atv7IEPWXiGPNst9AM -kHFkQmFgj1Lz8NyrkpmkCHPu9tO38S+fei4efH1JJGw+pZL24RzVQO/MA5U6xbGeFBUO2w1ZsA+9 -oQgGnX7qDlKJ+ryEUfCOmmmEdYTVVbotks0zAvNiiup4HZ/v66OWZG6Sf7uff7cvaM76L6NkHxg7 -ukjaMZY+XqLI7SBAhvhf/wNEsZ/smznZT+3M0rFDwqyB3VmaIhp1kd4XaHzJ2ASgExJka56FARnO -QE7aM1/UbhrV++kIuPk3Qvp179Wkic4awqx1yHFpNr17lRKuuHK+n2/gKyKI2ZzjFQcGk7xIlji6 -KuzVyuIVpt+mruxYiXf6S9tX1BRO/DU4YMIpEvnuCE9MgwujFQFuas9Em3mP+9OdLRyMLv4EyVlw -bFDB1nCoxYUy5nr+0yFPJDWlA6zDxfe236dNW3hIPFooAVY0DYeONzoAwKR6GpbNae/du7VgbxXW -TEv2U2Z2gZYtxZFUjDdvyczWLBAYbaF+0Rav4crgiuD9hzQDDbsw7oUlaVrHxgg1oYw4iSZb4CXv -pKwR8SQhUDVzdyqQ6kMzdZHKV2OFKLKO00quX06LGuK8xL4jflht0wmvr4byzPEsQm64OtrWqUqo -sjjwM63sh851E9nvBGT0mAUocm2hfoUewtE2aY30XsccbPzh6l3za4Ba+PGypOa0wldCl4657m2g -Oj4dNHhhJpHHCWkbnvveC+RvfE+a2uWkZOnA2srUjnHCmXctrMljenx0Y1jkH7iA/JszYgnaluEv -quP8i1/SAlW7Kxc9Qzu+y6A+oNFPJ/wEu3HnbQmmQnWOX+HXms8lEVYvWDRTlRYJAGN5p3JEKIp9 -mhj0K2Gz8kIXN+GjXcl2z0bjKeXsy+RS0ZFvVysS4OqmzqfHUoKG2Mg4PqgtNxkSSzlvrdDHXNZB -mDpv4Xs9Cr68em/nKFd0yrVXzMrdgXzkubzIm6iTkzVj1GwyFi7QGThzAL0qPvlijkW7eBuwoOki -drAM48S2/zQPmNN6WZiMJrPObbvxUkEN1kJuvLCCGwPMapbsb01A5Uui3CU87B23hO8I7vLQE0q/ -jLCZbgawSyY2qPELlDh08G8TyCs5OyRgY5y5xzJ6p5qjP4VHt7lfye45zIz/DnNyUeAEOEEe7QbF -0S+pA47K+i0l2NeMZUqsWG5j8oBMUrwOJArwpAa4eHUYtnskE5FqW6vGe6LXRtVAiGsyrobUjmlG -epBsJ9V1k3TznZW01pGSDP6zb+rTxbPgPBZO7A970Gpz5iYH0WkCtwk+yPiJVhyIJ5AMa9+74uY/ -j6YGZGkwqsAcsmNT48g6yhuqrw8N0jmQJbc9o86tjLaREodo7lDxdFkXE7ffZC8mrOOcfh7x7wRZ -fr/dUlBb/0WzN3B1e2a+LrJw7NBCbrUcjUO3LwxutFKjgdzsx9TNTNqZPCsMhULK1OKom5dwmZK0 -5U2FtZfJzRrX0QHiARPTdnWp/WHsAzo25U7hj+rapKj/qBpnj63u7W1wG9CbQAR6NbXjUL2E1xij -O8kwhAaxb85cDuWzX//G8Lp2xaQhTULegxrndIHlO3dnzKuflXkqTIddHYcFURpZaC7f8Ho6jY4E -BwX9zYvh+XmIuIUK4DAVLvkOR3gFAk7OpIPO9LaSODG/UYVcQKvnv5ML94A7D5Nbone7s19/btfm -2HP6htImxmmFYuy5a73diI4w0Cj/NbbIiGNBWXNZX2r7Kxq9mXQBA0/3SO2XSye7tOm2GjN725pS -5hoF8uaHqfjXEwh8sLJMOFdeduAkm1SiNj+6e0J3onDRYrmVRcVk/CmCWxJMgF60cSA3BT4Jf96S -HaaRPDAwJhvO4JrJrwjfot3tkDQ5CI4jdkTuFSDJkn4Wyv9T40DQ4bY/2xo7e4mf12l1WYAtgXDG -JVAa5FrKKyio28/pAhpnrGVGH2EigtRluQF6GfdeB92os92EiezgZoQmvDL2mG4E/LhcCmcaN4rD -UMGgjyTaUPDDUXm5Ezx8EfVOvv0MXXZwcb/7YBvarbZEqzDenird7iV0uqDq5nuR0ZIa+p52ixvQ -6oQ+ygpfs/TLsfMjCoXLuR24c5aIFiLlaVPNIyhMsbcIAU1awEuZIfSeNKxNY0fIJkHLG8wddCC+ -XyytM1Rk9zg4zncTBVevKpwFy02AqtVBNKJ+B63F+i1Mq3zXbieRjtGl6HJaM3c9MR98kz8M63Hm -Ic8hrzLtxSZuAphKvoHgu14Mu8pB1WtKW3Bxs3MVWX3OkvEUd3XqqTbn91iMDCDK5piu8num/u8k -YhctBusd+EVkmxw8lnCNEJ+T8ycP+9liDVz/SK1fxF6WPzYd9O+dsvwsF8cNL4XOKGdvw6QzFQQX -Qw/aL+iQGcZweMggib5+xRZh4Hti9HLz97NsCgpc4dqySZZi8a2uk6L/izIZnDYrdMeBqrJ2ozmd -xjVWzSeomRRjHi6VFFQB8YD/6UOXX5vUXJHWpLojiHvLCt7+kf6ZQDtJT1wn1LpPYfsVzQ4Off3M -33CX6X4a5gQH17ml5A/FDd1THIDay2csUhgLzsajYaOaqgGiuvLnaprXTX2AdB8nd/fkURoaCrRt -7P/WOtxCpVmHjkv+O0akKqEjdtQnW/JEcLEpsDz8Ew+dIsff8AYacz47sOSEABGBt9LCl1DvT4ld -m+ddasexJsqh+2Arm8IIwItdsc95frhPcGbexG1ABUIGU0QF78ajWrj3TEgF2FI4qceYtmEAKE+i -YyGsUeFcn8mW4+H8Cwch/MXHveLOOctFHuo72I/XBWE9BQPHG4rY5R8RlVC/cjn05xNEwMNGS5tt -mmrXlKMeqZyOOvKEYH7P2RptpVp1UlqgA4J58cdV+Blm7PvoSs1Ud1qXzQZKo+/1lGczhhbpcc7M -+saExh2k9XMaba1aqhxw5PwyGs6Kq/zFKkfc7WDJdj8fncKE5moA0A047bP0++MZrw4cz9Z7EQPl -unQV+khTtDJNLsTa9bRIoDr+nekZlQuUnCzt7vKYD/FRIA6FMVmXPk1TxhqfyVokSRxkTwDXUPRu -9h79DSDAfN47E31219AP28UkUH5+vyUbKPMWbGC8csBjFRWgLftpsv7Ifyqo1tfW/JKLsjQOpCrQ -KIfLACDtAqIqASaAIbeCxYOWBk2prLPAttbVWmeXGZw9vQpZsSWw2+7lDDoBaFxp4fjsLg9DEWOm -gb4PT5bCT4FzrO9QkxUMKHWHsywBhPJL3bNy574Bb9Wyfms2q+Z/f8ycOK66ApWv57mYtRj/Ws3h -4RMWVSD6+tX9FeANsCZd9lpKa3N3N+LfmniuwpULb5pl7TzY7KJFYUeIFYSD99cDKofIF9qlaxDy -bexIW55TcTzW7STACY9xv4SIEb8kW5ib8RLSUHa3Fdb8UxgF8zEwlzSmr4p2KgP5FzVV9A3eWJI1 -EVzfDdaBBJBUJeJ9gOamDomMgUwqcqyMRooJ+wtJOJgRCxB4bH/FMkeA1ibwoi9XMh7ID9xFgTyQ -dnXTxXkhxIX1whOPa8O1o6NgIbk3Auc43fqErSQWPro42xH4GGeTMwJcgZEEI9fhS2REWyMYBv50 -heUQzlzXIrjSce/jTKP3s8Lmy8Wf8owPKQX0r4xpg9aVpMRnMZNg9OTewVmIkNlddlc3HMS1Isa2 -VzrwYnnIJSlvEfBtw5fX7urFVc18WJpbD+ROKmKjhVtH20Pc6HE+08ModT+zdqaHB9MUgzu3FHGY -/56kRH0cFvfUJJJqP3upGijDBQS3Kn3UiSOIlWMz46Iy3wPYx3H6q8k0KZpBV+T8HjaiJuMiiJKZ -Hrti3p0wOtIgzjLCaE/DJNvi5e+3Id3QhaE3jKBCkUZTMv/MXgXGuG/OOhZs124/JvXFB7IG6NzK -IrqB4/67cRMBXUX0fIhdcE3pS5+gGrPN4tS6mDNzjiCEub2Fr7v3quWSwmSiK2S4IqLX4qNbnNl7 -Pc8tp5wecGaxQ4Q1VfltXj8yA5H+zN7AO99+VnH8oc/YvyTHAWh4peVHez6bgdfDtN7mQqSWOSgr -HNxrypj/gVifodpYQ3TsQZm+nL6mF6gYuOhIJrL/ng4EfqRVIqkOBxDtx/f/imY41HBtJYZK8YpW -EDfyDf97VffNMqwJ6BuJoroBuWOp60bhnqghJlIM7yTA28EsQlps72Rd8A5avPSXgXVGD9sppcvN -YugGRSew5hAS69jNHlSX0lox2fQK4m7uEfzBgkqh46+/oMylMyKkJyhpB0iESe9ACkBaN43Rop1p -1RfOdjSknj7ycIV+N3OXKh39fZ/FszgT0ZPyRmlReCoJwjVqqyw2qjcRLoWyUs2fheAvPAu92xOL -gXZoaYg5AJJK51+Z31Rx2/sbqSDiWj9H3x40JdZme7d0gkkYnQ4e68mo0yzmhJ491hVgXUqtVl3f -LV4LY4geJ03Wv3b3UpvQzkEnumLfsjD5xmuEHgPhbsP6S9lghFNM247mLNg32emNQWDWWOC/rz1A -ze5aP3OZWrwyX0yIfiG0ECoMaOWJ2yN+5C+s0jRl+fZZVID6O7pcMf7GO7Kd8Jvvl7MrESrgoZ4r -Bh2WwyXC9ZAgOUPTCk+Ed15lsO0Y3sO5n6uBESZH0FP0TObS5GiSaP6q7Xf28OyQaXlevkxzc1PR -Ce3i6kTjhX73SHnykzUNLX90xcdGaITl9bTLBkJlLTD6zrNyRc0RblF5MCEmIlx+7maazeApFa2s -LFU48VZ+nLWJswjDxJ5cehcTnF7o19GmHttnfcANjV8yOcFs9q2lPbh1zL0Dh+CiKO6pWIT8NIb3 -Q9sfShpUum6qLSoLBDfoABNSFLTIrZaTw//Rhg/ZkeiJu7BwidTcFcjEe3CunjXIrYu5kjasPcmW -z4KqKy0UzWpVRb4XM3q14KWu8OJkn6UkxSYpNB2+5r/i5TKVLIONKFzWbCx6FNNiuBIXMzFM7mUB -QFBT8VKKcvTgE15T/+2G4zH8lmWr8DCkwou4BNNnKcKIjb3cEcL/IUi9sp06O5VVZG1m5cxehS9u -sMB2xVRdyOSG1IblU4A+uvd6KeNiwDFahuKKZmTjbWlf6Y1zSkkw6d/KDrtj2u0O/d/cYI/XOcrC -ru2Qo2Pn9xX8lA++dHfLRvThz0/o1V8nbyghxS2+cUmk+ZRCYhp92/LOp54I+5KlDCMQun+w60sY -y9S3jXlEpAB2ybdp5ayxxf02D0jH433FCI5b6zmtkE5q85HYrqy7v4nLYpJPN+Jws5uHLss7DNRM -yEqK01w5X7fQJZbggpXYKjP1WXypQen0zgJ7svjuxB7vXEOtESTzZTDRVTjLWh8yio4Tuin69nTP -916xmA+7flNRC1od1rLzsVwg1ByI6PijF1VCqeH4AZLoFJRtQofvgvqGfdJf9Qk5IJh/iRGjW+9i -ZmCWEa9P4I9Rht7emvsuAN+hrYVSRL99zY9T56bNykpG61Q1Tx/7mGR5P5DH9bWCK0//1zXMsgv8 -GsvOpUOz3NTKxWILK/JXqb/xkLHUAU23Z5vI6zZzft3HIcO25xPvGvoTPRbMZgGdt6wZzK4M3Cnc -gat6Ha9eWtBxKow2V8DZLTNju99OJCv0maUOQmhARJ9y0idN4JpKfXNdJR8UetCnpK4dtV3w4Yg6 -BM+KaDNtlgkCOFi4r4AIgWYM9GzSxv8DZ6Sj8Ao72lQj2GD5OpeoXA+Wtl0jkHh9NZVQQTURKji7 -GgkLk90X8OjCrQq3sMZhbso2lx3qCygLw5M+XMR0lENjH0LdO2GhSycPUfnEaOmZpZ1KjTnVOj2d -ZTF30nM/Q65rwK9EG2q5HSlOPQtLJq/4BoVza36p56WwT7jcNPxppMS49rWl06Lei2LOOMYt2eyh -EOeOUKbTzDwdx9443AVbUyG3L1sBVsEf/o+Yl5XXzrAAZM7af6ZkrsxbLMmutLJYzQWnaCtb2cI0 -MZAFbJAe1F+kPtZjSXmM+yyEHC90WYqXEFzibNbQA5h+ReV3jb+rusVgYrjL4h4sT398uAV8G3hL -pk4grFh5E0P+BSzk6ok8BmVuT12c7cBRHdtEdHjl9GXHq/bI7xjI8HhXa06A1Z/pJmyGXnOSJ+mj -ix0Ut55ySlY0Lk4eIrRvsVYsQ/xD3p+bRwM0DAqFQkrFod5pls3hlLzgxzSuT6bIyznH2PAseY4W -tGJ4SNMVmsELK+Nx9xEN3SBvq2d49mUXqgjbB4F6WorMMd3NGUcDP5QYgSJL54ymp69rD8Y32UbC -zXVs407gLlrkwQgqG2RN8gaXuPnZ33SOAuLxCsCRZfAfDAv87HkU11CDFIGDdWLElumSLXzjN8md -hxtsOUS1k0dZ9aszHoZNIDSlGzvX2aTywVeUVvZDql2gHcrx/LMFh7zPyAQ8ONjktNwlUs7kxCjS -YNrF3LQo5fjoPmIJFyYBrjNRcATAC9mDyv6fZN1p6eWOfGQT7lv+UBLw9d3+gEUhx7KsaJfxxPNf -EFXzUMpDW/6cx0PqW8/tFMXh8rDH3Pv0nFTsHZnyrUv5e7MqpWDVotI9SglaBgGRv4kB1P+3Tv29 -SR8kuPVM5EBGugQqGYoSBoae3olQQQsd0DgZC9oDrfbxok8g6vvZH5lmXC0cEe8+sQi7tJIrelKb -mOS/MEGUgMAMMyNTFudX5rzn7dT541Nlg5V/hjtHmBhd9y3510FD5h4B+E9blvSuRDzayIg15XRH -ZPcVuutt84eRxdvNKaw1jR3Ok9dl1JAoyy2Gqy6v3yLnYLAxP94Ki41B8Dru9ayPjNqwJ0Ngf4dQ -VPshtM7lyF43mjo3elXo46wIc1RV0AL2MI6YW5WbryRs8ZTCJJy81AUXAM1Op/9gYexxcBUoBQf8 -cmjpzYzJ2hqvwZ0njIu8G0NjOjBerX6IilNgNlalQVDsnavtI8OjwCkeTIZb5RkDbLie/E+3CH4w -4TB63iSIESiuFGJu+2mfUtHVr0eHfEJlYA6itaMuecu4PpqhuFKFO/11B3NKTsvecEBug19liDnw -WjQJXFKYM2tfOK4pfv2H2L5hWi/zcgLM7sKT5D7fMFsF+ohCtUgcIpoy7fFFPeWTZcbn+r6LzYDn -AoXs8njg1+l/tXRqWgr+Mg7S1KwcKNdNMb69aDH3WZs4T+zIJIpFf2HhnBP+P+7Jy0QA3q3KJBpE -sRZvkBtQEoF2LneNu+vcTqbG+4ea4rMh2d6H6Gnn+sU3dN1JBF06gPHyZN1WXyUwBylXfyuqa6eR -Go0mFsasPgrhzaPiQF4VuyyQSeW7R2/J7n6+Khs7xxRBEiYAdzUtP7cRjVDDakf/OMxJa4tGVEXv -PzQ7q9sRNL52qz9uxAr5X2TPP6rbE14gYQxlulafclfq72P6nm89MONEAEPFiRBI8b6xd0iufu/k -rWqOVzdIVrcGMG46OD50WItRuJz9lfdDW2EQMagYdp+ccxZ56CVMSUk2qSvrwnLL7gy0R4a5FCCg -AgbXnbE+PCGhWqkZ6ihKrt71bt2tuAPJyLh3gJa1nhlgdva2S7upvdhPw2IiOVkAc+iAQWb3ZZyy -lRGjMXxHJQx1vCj2PFvDlNiAKcVhXZI/Xb2qXMvT0xvhqdsoEWIybTX0pXwgmD03/nhquvA5qs1R -rCtIdvIlYhP/bDI7D148XBvI5nbZmp3r/fCqyl/awpfLsyUb0KQ3X8m0p/LNeeUMGZhDhVJUzfQw -N9eJf75HYBXjv0K/0i0UhQ/E9szspduDsGvT3eP0oJKLs7UL7o7jRCx640RMa35nHSduJDx4ZhEP -O4TSFaEIeYlhqX6g7hp5NsLGqVt8183P9+obR9JKx56hKQuFUJfjKAzCgot7eu73dD8wJe6G63fd -kBJWW487Giwiu8kkKQCZ+fz0leAqq2zJHVE/92VDtppuVXTsxMPXQC6aCMGQb1rJft99D3ADNeWz -9y94NmCxmcYjX4xIl5uH407VNnNAIsZON5q01frC87+gpX5Xk+j5nExV1Qe0jJ9zo3BIIXPTgOWw -Cs3IijVB9RfG7MHZl86DrrZg+2v2+E/NtT6j2r7Mpy8fnAfrPDtAJwWNiRD5gcAa6AvaXINxlsN8 -bdwChdmWS2rCkxVaFX3WOiY7g3yDSm1dKVRRN4vLztGbXdv+SKhpYkRRLs2Y0EOx89733aLiRXTu -qfmd3JEWwv3GhnyCMxpiIsQr0V+8pN32fQH5zyskDxy+VYUvn1sCbPnyGKvURXDFsc3q7jO2wbN6 -pFhTm4MaDnNxCAhDCzv6F0Z3uHy/66+l/oDGihDw7xUSJdyyW7oI80Jb0iBBZVWBGI22ukVK0+et -G8roSXTAgTS+tNjdzFC9BUtvSbK+gJE02Zv7cyVTJxNbbKlJgUyyDcHUsqMOZTMWUQGy5KB3Vq0w -PIKMECiuy6rB/3FGy4OUDdqipoYBOtUGgbisyXYE07nWCkgAUNj4Jsv0P085290ZADK2dvr7YZNA -VmQIpKtTh0krk98ad96y8nuhAMXg5WVVDLOP2Naz/+vYXZ7MD5GeDSbR/N+4DN+l9nYqvzmgqQjR -bRGAf7tP1ZWWrxBamD9sQF4dpE6bHv6rtvo7qSzVgMBHjIagRIprSOEi5mj3WYN40Wdvc5NEc+kx -wXWxljX+VKYUFGBpEaMSYnb1ZUicvpk5wIPv+25/WitXx2BSkcQgVhs9bx2TO9MU8QEP8aq5q01h -6k4k3R1ILolZxlvLF1vSLHNPkkHGvooXSrS34y0wD24WVuXnTa1spVhNzSA3RDaspPrjWpKp+YX7 -jEVD7gR7M4RcT4DmpP2SHuaBAz73afeZOSIdFXEJf2kDb2+k0hOvzJsCmJdWZKMCeT6QMXBVOz3M -chb4XfsZAMAsLNqNGzDrbhY+MTrrWeZIoiLljc78BBRDKZEPu8vxZdQk28Mrs6fTV6mqsf7m3w/l -Dv74/HjtBjbIEV1o6185tHP4ChUc0xTpCy3qNvqtgU/P+GoTAGHrw7o3S5UgLsSP0atgTRKCrevJ -nZF+xFOVYVijdhCf1H1HswHJQh1oKWALi/TEqDc1F0aF9Jr81OPv4E4DyFvQrMY2v5t6O6a93ujO -YtjEJncyCGQowwS3z+W6/vWipqNyvA5M4vRNtVhgQYrdgXfIlaxEcK5T2KwJwfTO9ivFZx1lo7D9 -ihMrIiS8hK5F48vgf+vauSv3NCJDGLO0LZMcvw8m8ljw84hI8hBiw6qJz+rEsKONYIhnDOnxJ3dB -8+eeNXK3ktUHgVQRc6dU1AkbXBXoq4cWN1NSg95tp6+IVPoyMwz9vCwZY0jsbu/OR3Mw7hxPnLZQ -Bs2IYUpQUUPMk+ihBWF9BLnscmDWkriPg+6IB/fP9d4PxKtH1vD7DJHn6eeF5bT6iiYEtjtYc+ya -Oy64wpDn1BldszfgmENiYLnWVkwUbFDKYCl9Vn5TPleghTBAAViSS546Q7M3H/15FTHcgUtHXRAE -1O0VkidG3nm0gRoFOGHon2AnvRbKoqZZqYXitgRZAwF/T2POyflKwwdvc5oiRd5WU52ZJWYWsWwC -CzkQ2XV/l+RPv/IGMloEN+HJjCXyW4fRSnP9/pFuWOs04XxadDSSo3a3c7VVBIuAPlfxu7V4qByu -bcZvkp+Nz5p08U8UvZlVFSB7wy1CE6gRix7a8Ioz18qXZ/atgqn02k9/akiaqEBavEsqVnR3u0LZ -yxi+Lk6WcCox2xBagn/01C3s+Yj+JfOXL7mvrEirbm6ldm4c30nyAllb0buu9w5Y26dJPUghbCPq -wrd9axTKnRJ2LuD4NHwC5BuuHmx0dCEVYf6oC/Sy0MPRo6YCnB3qqxib4PwFnb+mte1tyyxl6Hq6 -6TJMaURd3EzpsonygIN1Ahi6q15a3abH+K9VXClxyP04tPubHFSqFukqADXGmMYRCh5CudGB+c7p -+dNPszXDG7D4YGvBjbCD2D+HsJUMK3sXq4I5uzLuGvYf8G+ruGby0k07YlGJo+lyT9SeJ2XgdhqQ -r6jN6L6YKP21SCmZ2dApbvVNGeY2WS5k5x8TEB68MwXyadN4z/m6QD+Wpbhh5NHN8YdmB48aAsXt -OHGMKyFYWTYZ65vaCUklNpMWmAT4/4wMJOsroR5UdQT9J02UA7W1gvQP2MyrAvd9n+mzqH9JK4we -SJ54Yvmv6nfSA17Pfs45Cy8f/wL3r60t19C3X1zyeLoGeiY1nONQuv/+PGFZhKlGNMVhvdCThBw8 -DS/iH38J1Ra8IYrD0cpjNvXBezKBVW3tISEX/MJ9KVo9FGTc0eLX0kUeZ3QDBZd9MHVRvi5iTtHe -TE3FSQjE0sniUkzoHspbrtanWkvthtrg9I/m5e9w4e5JKZ5OoS2LGGq6b/DqawFE02cwwl1jjeX2 -hP897T4MAXLpFj3eghsG/VEoVccXxMjMpzTV2Bl27KWpXUUcT9A3ff3q2Or165lSqIR/DNOqaMhw -C0ZdITRScFvIva/Xzh7V2u5uKF17YzhJowTspL0nAXSjAJZtVSx7Dq6RawxHhPP3qZVJkO5/v4N6 -v4ktemprilK90F+WoC4ir2A25JAFQV155PizSj7Hj7WVt6TnVNF8DE7snttSHvB0y61/6Xy7SewH -pT8xFgmYqj6MG8zz0MM6SpRIu8SfT1Gbh2/bCHDLpA8agaMQxUXFlHPSVL9hvg5MN3ICGHBCnBt3 -tG2G9SqaLmlQiFMVp5Zl6FcFdi17R01N9WpJWrjVuPONMRJ+aHBOo/BaJ8hafHX+E+XM0DNhFmPM -kSFEK9MjwQIg8wGd4C4SZwCZGk2X1VSEioeBQedO2tPCerXVkLtGvobN8FKtW9VWrSoH4lBv4PxD -BCTgOhSJ//9UnQCGOZ8P2JxP8aQG9QKSb8POHCPCuweutAKdO1YKn6DdWIIOpuU2m9EM3Y8Q4/EP -zowWbD25iFb12xjupREeZFiw53RtKmJy3vj5gdXgYS9W4+Pe6T0s8wBSFtMGkTHzocFGdvwhkbPM -pigB9CGuVldzklM8AhYrTUd3w+EHjT3qYA/QzQmEdOYiPl09zdAvIhQmb5zIFiOALLjMl1VlPY8L -A+VIeIxcbSmIQD0YbBoWw6C2Wmg4JiT/IEQVsfj/YVhRGC9RlrH7M/Kqpubug0U3SSOfI7qfoPOe -0daY8AFfjij5dhREaPWM7gOoz3zq+FX+acCyIICmk6ZlX1i/P6Gi9h8SaFIlf58olRkG3cB2zj7c -qTMuz+mBs87320mGrPD9oJ4yQivMQRnWwrJJycxpgWM/z2D/FZKE3lmL7acQFgaHDwf1RSCCtE+3 -iJXoGh1TQs+XUw+KjkWBnv+grZTSEqMJacKFd+eyyLYqSlMUY2ZjErqdf03PT3eF+Mo3g1DeoBKp -UB87NxMwxJ1crjSveLeuRTw/MD7ivTjhfD4BsLW7SE+DW37X5PY+Uhxy0VtJNo3Ik9taKMHrHDTj -dNxH9y9i4xUf+SdmxN2UaClZ6lUPPuzbT0+3/163ijycTnl3lyROOCSbDLZ0t0ny3/wR+hBFvP6c -dn7jt3YqsD0l7cKtuTkLSntA1vuVHD7p1dG4GYiA2bIvXp5QvAGa7T5U1BpX2wYz2fGZ+LCajGOR -2MkzNAF9O0zufO9g5QnOj0t0D+xGjtN4e3hJTx+JAisImeQv+2l4qSp6dwMRGCGuI2K9hBKVh6z0 -Ga7arO4TFwaM4pCemfzvV3lVXIdoXqjKBoxSISuEcNANYC/XMuUkNDP70Q16vsY8CC0Pd/99ch80 -NFCSKSCK7tH5PM85bstJ5AG7tPILoS6pHn/13134WOLLNkyLQ+DsmMioeFfWRu9/ffd/TcpB/44g -xdRscvVEldOJO2EMDugV9r73gCLqL8/i27ddU+UBtQKtPT+thP9B3qkPEvxQbQSYnB+NQfw9cvWv -v37lxQllovF4qjE/rHiROGnr3tmh4KVvZ6Z3C4xOIjA6wMavzOTD0VdZdwhOXAyuBGs6qp+FKPYR -pCtxWKpG1lXJ7h7f0/H9lBqcibO05Lb54XtodIkqpW53bg5kqPlkNXhnHykxMGyZ1t+v1TaPgAzm -f6fd/ilrtwCClP3Ozsm5BQvKIOggjD8dPa1Zot+OuEZTRUeMXfRKIOkFYgASmgqlvyhx0K02SwqP -UzKZ6rwtOz2EyOCcOIu7qqTC/6Tr1RwVsTAOei/9YuIaLfU6fsjUzTw+mkcvb0iFpYXg7rDgQedu -VnBHGNlo51gh2GCO4HXvO2k7iDaHCM1CitDY6v8iPaLbBWuAafvEs2I/1SfGouQBLQA8d7tZA/Q3 -iG9x7dtTzwjvpCS1iN0r6GSYch0kxARE2oSOumgPqhdIhyp7a+FPopnriEElYXi3HmEjNbgJL158 -jIklNqyn8OD1z7NrdE10cHf8fiLBOUNVXOXkE721Q1xBknuZKaviUbvYxzn0+MBSEjfe5+mpPmrw -89GSW28WJ//TbAsYnNaPoQxR2KV56COQzuOY1e+xjTEDk9AaOBPwquo9E5adJtMIpmrzy3xKsPRO -ZWVnXT2wSfqU9fAv6xVZUC7Hzxp0KBKcrFY8x9e3i2XCHpun4AAK00JK30VuFqvSrX8J0sk+FQtw -kdCqy4f1EKiArZpAjtR4v2f+nUlNOxStd/lSzzGZLjLUtVXY2/XCzjz8efnhDs55cMd/r5bUG4Vo -uP+EJJlDvzY+XLlnruc8/cmV5HXs8Ksnvu/q46G5JtWZGkKhZfAJwOynAm+gO7rXsgXqKwb0nhLI -jrKphDb2b3hzQd9MDVJX+6VcbG1nv0o/jF+SO3V9aLoWcVsR4pjV2c7624a9+IQZ6jEm/RnfkuJa -lDsQFBxFKXT/IgMHb0Z1nGeU1Wu5nmmF32ugNkuTQLMr7f6XgO7kbtkfJlnl+Bw7VYzAjOY9VSqW -IurmWq9snnBMeiu2tW9HGIxpO2XvSogeTdNS72PhmL78kn/BfdBDmHNRKozw4hJe95Q4HYLxsEHD -hOxj+QSOICpbT9r3sLuCSHGTEhEluC+zuxkZLYBZF8dLcAqv1IbUJG3h3dmkrVuj2IwBmuLX6wNK -OvGEeeuTvGDQ+YeESyiAIjRNqTEHg0UARNQ79dKA3OGd032yrIIQkbAa4+igs3TPY4hNhnlZUlRM -EKu7nt1LA16UX9ZoyiuXNxnHMRvpN/Ktv0QZWzpYOvEFOuSUCFyiLu/pucrbaXMoYJ8fA2MLBWlW -MQyEwdwdZZQgEqKYhwih/ebdfSZnzz7fOdBBFwKVXhyCBtJvvGcuOw5G4Jf/6sPoTf410OB+m5gU -GGeWY02aD0X3AU9J3ZfnYeSmZLejr9Z/y8FWT4z712vGdtfoNRDsqxkXajFrGjDvvAUW4QlvHq+7 -c5pJCNOX8vmoFmtRiNK7CFl2Li2k9lLeRqIA/i2iKAQ74bAz+Hrur0a15VllD+tQg3SXXIBn2C+e -bSF1QryuboJiiheafHLkBSdonsafWxVog4v2h3jsvtG3eftWfzUQKNNsCmaov7QUThXiBdAZO2xf -KHixPiaL3pQexMLBw/natRH9qEMALBWJKpf2XQOTakCNvqXJwzVFmwHJEqi0sSMn16bJDnjaRSW0 -Mkfv5UszNkySDCJbqXKQ/ehJln++8NdAgeKZ/Dg5K1wlzX0GpX07sm4rBE+Naw8MvfZwHNTVxiB+ -/BSEFCKTwbuuaeYP4nI53MlgAn/YAyfp9glmH1GZKjLCImkZfLn4AHlQOXul9xahNBqvgDlUu288 -06JCbo+MKGFyFXtLeATB/WvX3WClFRMXmYWchV1o5QWgxYE53ACON0kTVtjZF3CdXpl185SK0h8P -yEx9na4eSphmlM503dSwunintpjGtzJ3XZQ/G+0nuxTDNp+jmeLiu6VFAAAIgwDO1IiaxRjn6pWp -TYDLvmAtTrkUoVOEx2EZCe5V4m2BWPq96Lq+0r9ajF6sSLE4S6zNFsUeM5Z8M+vRz6xO4r2otM3D -iUEBk5JlbJEw2NYoh0G0MTNAQavnbRqdu+WjL2QNussOzONGixHvfBc2k4pEl5Bhn0sQh+FZW3jQ -1aRUEQk6eNFiB7TZvKmOQ4zkkSZK4ZeJ+loi/AyBAGzfLDQ/eLqa2tO9+cgXOJVnbcAdZQ7uzaMw -ipC4Ua7y8OL0yEBORSDd7NQIFKOUaIM6xLLhtjUNbG2GyHAaihrF49/3zPcXYfXZsUfaXSKU9XR0 -bshJQw9He8D3lXpWSy6RcSsgekxZonGgEXMmJWjGfm674IoJLjvD5U41stIxl3Wufi2gaJb2/+p1 -3UjIOHrkS6dRvkdNLpDAQvAXRfyryKPgTDrDTqGXj4buT4eaT3M+6Dag5EGat7f0GXzIyBRKRgef -bpG7A8xRmUBXxrjvMEWVLaLRetV4f9mYkfpNQLs44zfnGuNKncvBnWUmi5lXWoMu9c/UovonlBpb -wp8qw3FK4cMnHTFy+T7Wb8qQr4OIPSRujBfEI4XvKJkf/7nkyazO0+lwC796tb5csxGqBe8BWcXZ -qRJpmT1Jo8aBF+6L3J+omyZkNYUE5fjVZKDdFo82UD7oD+5I5FOCIsA7Wc7Ck0CJ69kqPJzIK9WZ -DhAXcj/o7yyQR+DYUW1A/Qoabzz5hmw2eeqshIkNSoJWjoFmiYiYts/m2ZpOjM5PIchUqqFyB+/W -BmnQ29YDfmSiyEgGe0SRmO7dSxqcKbAgvijizP1Sta2yg8QNe0t+WA4g+Spm0DwGTbRQKfeSRw71 -jaGmFw77Iu6oxeZbr2AEko2xO79zqOWk+7fUf/RhWLgQhObDeJSp3g0icEg6tyIPXz0TgxI8czk0 -et4OBMwbMfWn1CjZHb/nmNPWVmOlaYQaG0OazHrs0zfHA1S4x0pCn/sAmFPap9gOpBXNDCAUSRQZ -Jm5ZkB67qedKhnGXn6mGzVyOAYvDF1A5oVrNnmQ3e3j9yHJvGCvRKj9GoEDPUE358Q9SRLIGu4lq -jx9oRUJTKgw2k5QKE1cF3Ywyapc/sfs6FfgI/MdJPr05r59cH7nwAU0cTDLFIHsOnWztXV7RQprk -cImMEGc5WIq/5apjmppQUITrTRPSxCADLF1CCecD6ia8Mr2RUZSRoyvFOLLV81ln63KX5DWmzZ5f -haDb0M5Y51RiXs9lOZ1Eabn+2+je7hFUQaaSYaunvrq2Bfi5fVFbSKRyDpiGHc4BpeEkuQCW6I6d -VWi2K5YbarKfkuq5i0mTzX6D5MtkwE3P7reASdgYVRav0aT/Itaj/C+mR5U2+urm11LbnLrTGT1y -p2eRr2fKdJdW1h2ZHwQRilKUwrRTqe0hlEj+VmKaKPYxKIPTYIx/yOvbt6VdDOZa3ooFBIrrPrgp -87DHNTGARj1KSMFPS9G/MGmy1/i3lcz0I2iaMDW0Ow5/s9rvCSqV5U9KrEmOnrozJ9jH0MO1NPy1 -HK6tHRHFzt+p8VY9J9KQI+bdOG/ECZHmZZOjYagBJsuVKuAMxoNPWaKNKIEOGt5BwfoFvXA55aJE -80qCOxS5nfRD7KiNZ/HE+NolA92cCr32DQ8cPMTAUnGzjzAMcvQlnkZZ/vEvumGq+W33hFaXhIHf -aoLB7z6rzBxndWaMMkmGiMH4TKpx7iVvBg3JAyQdNVW/FQ8nH079BO4ZVciOIIlcQGhYYpvjYDv8 -ziESJvfTm9xAh/eJkkjfUI/x9/WFndBC6gCnmHwzlkemXtFZWBskoowJI0uhQA/WPUaxzqG7Nz8E -mJz5wa+4pk2lpK7aBH4hYOnsddzwBFyJ4vWgaZXQKH4KGnmlWm5iG4fAbQfNFFBp/UcCSDgAVBtA -5h9FylKri5S3NDFYb46Hb8ttGjWiaWx6y7WhE50kQjk0/wOoge/l0S/8JjeYaS9e6OBff9pBKT7Z -hmX7eqCCdUYLjGSbaxnkA3r7uR9Y+Gz6AZ4HAo5+IymEIv8YYp1zQUiY3rzJDg1HXEcCzd8CFxTh -Gzb/9VpFwXmKFoQkXwWghk50mJkWovaMEgO8zju4n730BSP8RCayQ803RbtzCRuU4Qng1iGOXNzN -qF/f7P05Uj9LRURgHgwWl903mN7L9oURm0O01l1CTMECw7VA5n5zSok7ikMrzbdxf5F78jdx6LxF -lT1uKGnBax392uyBUU8kGLhuwo+YUae8XA4a8YwGLUOW3WrVzEJVgH7aoBGnyid+eCyQM94DBEIa -Xqs8xW6GQin0eGvc2mnNqsqVu40A7hAc2R6JkS44GJxvFgmyJVdPvWVw4bIh+kJxLWPCCfWLRDXd -usTZluSWFjwprBmE47DcveI7FgqyHsKHt5L7r7dWMxDwPWJHqBpBrYlC3cK+IArx8YDx1DKierN6 -a60WuJzmH2w0Re3CvUVPTH6qscVUbEmkNqEY3OPWf1ywAemnZ8tNtVkoirGp1cMbMLKtGGYNUb2q -qtVEFEUEDfCWHMCYwc23bj3xh5kLfDiMND7VeQHZbd33b8Li6aCBQ8NkXO2/71NF3wAL2LKi81gJ -HtE2P6LxqnqGVoTPBZXkXxWFlwwKYJEuZ0yjfOr7eQQIrA3dZ7cx3BOq5m1BZ49e1m7TZyvG/Jfx -zYRtqGzX6al1hGtTtBsLNcMV26/3MAmBUNbwEd00RUGV5Xs4Q9EP4sWj3e2+xq51ZeVZb8QddYvB -SeiJK8j8Fqz+h2te61GlJRuAoj7VEh2Rr/b4iYuAQNQi0Eai00nC1cSnG5gDSZce7vvvVwxvH93G -06N0Wunzg+hPsmfQIFtlxzkZ4QuHTI9P4O85MOlqmj9xYEo3uPboHn8BUxVCJBMXgC9Y9UUBJz4m -6XpHz3ROyvlz0ZaiiijZrlmeEy1WPeq4zR8ndsVKozGSAFAlde0ao2euV/wB/NcbHvtVlOTX+ItS -gUII5fsD0we9EvleJcQhNS8U1qZVYCcUw/e/Tnk1D3OBWHcoWHvN4JwXiyJHfVWw5PSqgVfmQ05e -uNvGPSENjFkFt/G5Ew5IVAb/ZyAETRLGd9NZ4h92YB/wU+J37yoOSLwCXlgsDcymChZyEJmDGWNA -z+paUuGCXzTg4lhe3LZN2CBnTfeVDeXpTOWqV1ppr/L6ZCv4MA1HnQj2pSxPg1bi268y9WATSXzl -U084a3On4gt7Xt6TXr7Ou1kNUHz0rsu9G27z6UkjjM7ifniGHQD+FNk13SfI7aPjCp0uRmWJoZwb -wdwZ8r6HdnjSmE1u+9XsQt1DdYWcuF2IkWv70hNS/Oo87Jytb9qpuTYcHCUjPwWlyOzfiIy+xUGj -HsmcKomjuyVqf/xTaaGl75BAHgyIsQRL0IrG4KP1gTa+K0q+/VzWy6dg3YpVJ01n3dNJNhBTklto -GHavgQR5QJI1Tvhz7ReitPRvmNDCH5Nata9qPXmCdIIXIAF6jz6IhbQxKthk1B6TSQVi2qEq9Pny -pXZp4FyHw6+UaxB7nspBMsaSEPIwFJul/zqTRVRlPz9dnqIDEW2WQ4GsD/7Crbo2lnPlg2KgLjLH -4pPmRXq6GPE7K+F6wJTkXCySRPILD073EEfEvIICGFKkMW5Bazxc8TxmCHkk7LfyCXSnVCTbfPwQ -ioVnDniZaCLOTZvoSwNw65LlFF09hrSNqoeO6IzlWy6oc3jBNxCWyBz8hi7DJFh/17PKyRNIb9vm -Byf4BnvENqimUgAMytZYHOmram2kgT2YuO9hfZzYheYai+QLa6PlzD3IszuKaAHZRDqTA98z7Jd7 -prw/fTtPrPAo/D0Wy4Y8v/FFtCByXXURfksaR9ypWmSWK4no5v3FM1wzzjLHd4iGM+qwaBoLDmoq -ybWWJYQOt7yYzG8POJvFSgfTLRoubfuOJb5KbixkMUsGCq/A+j38wsEtu74d8Co6thgY5cXvkZWF -8frtrACR6V58hL1ocW0mTFWSDewvBQHuq8QK51wH7+hDkfn4C8kXMOfVByfg65yOunLq+mZSmQJd -gBVrISRlF44aZ9/TOh6JCbHrO3o1/xjJ9uD6JkfelYCaCn2PEERwObGecGo2kyGAGl+ImNwI3BeJ -XB8YXx+iJ50KHOoh4dkRa/Qw16u/ae2om4zRNyznJkV8AkXCfM9n/WMoYrYwr25CcxuV4xaeQs9h -3agoQzg9yAT4ftC81njufL6Ghd3KxjH/+RtBqTnWG9kOoqIM3S3CluIWGCgSQteuIUhqTSimQw6D -/nYvdnQdwdOo+OZC8kJt6IqJHQsdXfI9MwtSjKk8PbtFLW7lX6z1fETbSZpFlDPqA0u5vcqlmuv7 -3MLhtW2faRMPFU87QzBGX6uPGtPdG/290THjlul04VC4nIOrBBqXHY2yhBvajyrXddl5qRuvWTkb -yOWWHFnT2+fhauyU1agXw8nCQA5GKItNXWrQBRG6Un9stneA4aTB+iwOBqWIIyMDru6zlzG2moVm -+lLr15jF1QJd7aEDtFn9Q3SdxQ+GR+TFhW+th7rSC1UKQRxVNf0udq5ehZ5/O/ciiQSJDfyZdXDU -TJKcp9wnGfnrpzoHsexExXwNokkTPAk81do6WMno3wrcrv3sNHVm0sccKRuFlN1wtJiZ+Kqr38CU -c8MO2LAHU4mhjmREeshAV2Sh87JATI1OTb61aqWRvEa4LT2aNtgrrNgzyi8dIpPncApSqM3n6T8b -B+L+yCnAxMpo3TCBPsiBELjT0JzxCxaBeMF5bFiKPRg6orl2sbN/umKYQRhvNb2icPMrvXmfgM9w -LdsohS9G4Ik9Qc3pHwKiGcQG94TXfoJT8DkA+3ALjetXldKOVCPViYfdnPd9Gmb9/ovggjFlk9to -H9TszLCRoWq8SR/6dKI5868o6vW3jja7Ywb7mg5rD8Usy0AyRPfsVz6tGCpDqc+6/7DatrN4liOx -YDaL9rfz1ePC8xF35PSScwBunmeenGQKaLsk+0Ss1mWUn81svass0rbGHFN+Fj+9F30cSeRX6BbA -d7EDJT23TAdOWDfkidznnYA2cw/grzEXZkmJxGmuGy07S1Wr0V7z5c/xmTNlW8U9WhbXYDr/DjKa -+3+F/NKg5xYZQv9vMt7pdkX+P4bmY9LweRVroWcKRAq4sFgQFdZKXxl/u41cXJNlyjXDv9eQTwK4 -UDHMKPOrL9aVa3gNLuIcMKc1umcoem2tjk3I6aJhhNpm+7fnhbBrMzD5hOb56qGZY6qCkqYsfu0Q -War7jh3zSRITQxICXaQ3/S6YHD/MBggq9J+UYmnnAnSNjRJIEjqvOceQLmK1pajvBFAUhUIrZSmn -9sLaiFb4G32i8oed0eUJwd07o1e3cJwDJtTcn21wNmjn7Rd9uca1jAd6p20Ls6Km/11s2EVEg+SW -Xp8AfPWtQUKjncplJst5WDBBDu9QFd/qIDzsrCYhQmmo7bSon6/qJGS02S3bwrKQWE/z5nSPGuhm -KsHExZrIRu2aiN0hNH5BMXfvk65RmDD2j1hwyHtJCxyh2zeyLqnEdMoj7ZfmketSYRY1YOYVBgPN -xt2hmF/q+JIh6vuLErdsBJYx/Xw6+suEQtkYWPTGkzZq/d06BB+eF+kzy20ffSzBlR8XiwdfXBuT -hVmeLqYbf+PFPC3JsBcqoKRE6XLbIt0/cmz5qGywLtRD3/0F7sdx6tcUnHRnnDu9gf7N/SPvqYu9 -ekSoRwbwLgMLwS5hPM0pt9qRD8HUSOeB6DiYc2K6nVeev8nurJBhU1E8yqBKBFXYligMmfG9PXkc -JoPza+ingL68H9KjvHM8jn5P4uVJzFnGfp8GvNwxGX/UXCwAn2qDWH79GAcGJhq18tvaX+1eA9b5 -YOswG5lgoynVS1nQTyqwyej5fT40L34VxipAnaSEgBmFmO73nR13oq1+SuDwOmpY50ha8ryNXR+2 -Xp663Y8MSSh7gu7rmmJ3s9DS4b4YUAv8kq+1q8sTIJTqzzlOhkXUedhK5bM4g1vxuw+oQ7xFLCfi -z+bJbXRYhXUFgZqKJR/oz4DPHWEQmOn9fwcp9zae970480H5fN92SkBP9CgexVLJNyavVwpoeo2z -jAiYXhthlw86oXdvyGRUhstwLRCWNjiED1/osRGC69v+CTxAvfPUuqyN3ynWy5QPiGJ10ye3LMzA -VnT3bhvCyyYfURH4oTwEjfEWeUYwgxzIZH1rIdjkT5iugOa9bciipKTQK+n4FGD+FJcdaqEeliQ8 -qSSlnBeN9PlHgrROTOIf1Y1vnQ6OX4r9OjWgW/QIkuUd+AlJ5TVFHmRN5jp9eQX5uWkCh6a4OgL7 -iJdhdCZjIBmj3//z68SeRDPh1ov89JNAofbw2hR7RT4dnK+V0x7sAdqaeQHA+uoSnRtCl95KJCa6 -GJB6YQWXVGXMTls6jPyZaFhUNsIa5KJX7OtnVGdkzT2BLZDUCE/qDefajCA/+7TYS6YLwyjIWLcc -FY4L+TcV8FOhDFOWDjmBR1XklTC3Dbz14QjX8gkEMfyZntMR2ZIB0v9VbIM3WPZfTErZ7WUJ5Ham -5hnpaGKcxCqOaKd4cgirqCelPjTW+xlP5AjoGCZ9AToNU4qxBhloV5ZMX9ybYn1JhlPYQWW5b+GC -ZKp1t/ptL3sxG1GASUdXb428ReN0fcgPtAza/RU0xMn2YSo+faAQBdG6fdY1tWdfpNsnz8pb7lq+ -uK9SGTrKqaYuL5LlLmZQacsQiAVyN/mvjmIgsaeotkI5dDbZ39AtnVV33YpzbJXc4v9P91DcC9Z9 -mp5pqVvSgRj5NxNouXTEda0mfY53UfxedKd0AbmvnLbVZtlPyyEg+WtWZe1GOIle5JsrtoITsS/u -r8FS6jTyrdrkVViIv1IRfLEOiXezlQUD/8qtS3xX2cUc3r+ryIyIF92IHkSiI0G1q2JtZYlXY7QC -GaKd9dS8gWbtvFv2Y2KVyUuz1BH0zPpXWsT9SFlH4CVy55J6ctP7Ola1zPDtAbXohhOONFKGvQvW -+e+gJKQVaNBp0+eKMIos2ykN1F6kLx2ZDilZELLeCLETsT1TMzUHsoww77gQ/hKF+QW1LvAvWgyV -bHtiFmvu8dQwfcZjnC+Bzhpv60oGOkFd/Sn+KLB4rhfo6PW0UpZ95s5baVyb5HrhDkg1Qh/uNZ6m -A5r2UGyo9Pak4H1BTkhTNvA92cNvH2IlEOm3JwREs7Xq2Jzo8K3qI7ztqixkHfYeqBu//xVbaoXe -JIkONkXvDCexWnKbHGhSI6va6M0gmPLOtYFcU7E1aDeXa4Ei0G+5VKGZHitnrZZJzMk3TP4e0bgq -UID9msdY01D7tIz/nHosa5pGAQymcHzn2erGnRlx9nFDKrTcqh/6ihktShrMIaiMUi0hLNf1EzFN -/5wj0N5QDJNKunKbB94hfyhjSVNULmKJPwg/lbqmYnSQeWz4FkhGLjag9ylXlQnoRFtZIvz/SZt4 -Brks7uUGRWm8nKbcS+kOJT/4l4iPTtLrlXgS39qWDwELbmAza9jzeHnj6Acr5EdTRkjPUcGt0PLl -Uq8YJXClAN6G7YJgGeOBMz+7+9dQmjsca3JWyg5YfG6MdqZPw0M5LeNCLp3K9BIVPJHnX+t9gmmx -T+jdE88rb/qU3vBzqRO+I77qfEfQMjZHory5ps9Mwkgx/rt74vJNXlBcHrzTM/R8o5u4isZEYcin -odJ2lacOw9Ggea+1pRRsSoxhCXvzOQuwf4IPPxo6b3kKyWp9Ks3i6uNIuUNQ9LtN22zoyn+3yZyI -re2hd/q/jMJuNrfuxfkK+UIoHhEKrEW8h8LsSq66095BtJX8a0dv2sKXAj4HyulQIX6WjQxfnrPo -0GOzV29sayadDOxiTHn+gNKpOpe2zJvwnh+FsClJL+Yjd1bvmeM+mA1oTsLiBXHJnbD5XwBvwHgg -kJ7cvfQXSGa0eomG8P1OssYkGPVX7i63ieq/jM3bxiHtFCsBy+Cl2BkE+jYzrHyq80OsrNm5YcCr -Wppy0gb6eeszcWBU0BdolOiuEpcTyqHSwsYIOi6NvoOObj5v2R4fYGdTCW4zLKZOGx7yrCMF0fjA -4MQmPsMEP/rQLbAUtnUimaLmqu0YLscJeLEEZ0wyarBvm3ANB3SgL78uZX2stLNJSBk+yHCap/UU -3YTs97gxngnM+P28MWB066TmfkgIDR2kdbbg01QZJaM4osh9eqRfUCyw6EYlFI0SecM3FXJAqOtV -zAEyK5iZX8i614svRJgYrPfuFGaIg6kjCwPoM1EjzEs1t1mvd3PuXKclN9zQ98Sf1IKWlxe3CzcM -89En8Xz3OrA5tEuj6k3PJ+mZpbfroLnqfZwah9kcFqTm36KI9PdXM+CejUmjDCYxf0vCI+wr0rSe -NzVDCbdZtc3pe8+jC0KP0V33OFfI1SeKMfXovT9Bz4OEbiwPmX63h8/0ltEzXDkmzMSXEz1J0j5w -A7KCvlAI2KRZGcds/Gk5INay7og4nNKiPChaMr0FLnW1XYmVS3amSqWzxy7CIX4cBQC+tuz+5hou -TDy2mUvACWalpYzx7z/9xV0HN/hw2cuQQX8DnbNQ5GgqAoANcstU97pCxax9/FTbsEWOht+XuRDY -4gM/cNc/WL9xkQrHpJsFiy9dUakQbhCkLMSvxrvvQjK4sUsQxHN9tEPlMtyEXDzPkhcZdubtRijB -c0QyR+riu6rYL2kpZeMd6zTjGjuOw49pPGz3RQuI1rjbo9s7wgkmZ/8ZaMTpvlpb0+nL1+O37xdN -oDDuXU4XBj7pzoYpC/3BV0rnJZW/mLHM3d2MtXgWXtIhrsqJkmj1ZB3MMQAHDL5sOTdtwn7tf3QC -/spaT/qKrbKiLDKitj40Z+483UU+aOjH7Av8mPJc4heBH3jyPasHVyCFmH6mIlsEMt30J5UEgZap -+tHevPPPTBTScxaFbj/7JAdxIuwCXAfaA4blvsuwsreTxNnHuBzlUe4mLmcHwrsmoKttiLMnYAq4 -lPOfJoz+lUdSQ1PO4NrT9M6gaL4a2u3JI2w+zCFk9zAVHZACTIkwoGcfaXt4lryvd0fge5thncAO -MxclnCjRfQZrgISlfiJgsUrOnymJYHM6RYMBxK6660hdK/j/YxSM9AYMxJHwzuDibG7w0yfKnP+m -VnP3RGad9LxbZl2O7HzDOZBSwzJ+fcwYxu9GOAdf3R9c/5tjeLMJ/pQiAO5SVbQSxXlt55KHcfBV -f1JPUmPZ1OgUCmAuHEMTemwYP8VXhM7n6rahp9y/I8bhpg88l0JnnwSw6XL+HOG8/WTEQ5xLFHXl -FkS6AujNcg1INbpG1m2UbAhd5OX+2hWnNW15b4zr7TkS9xIYtDddxGtVvHzawgSutlNUhRQ4QDfZ -a+sGhSdJ3hetdyX+Rzt43wsEIbbQNlHs0ibM9w2llYSt7dSFipB/8MwYLzfCe6F3kyCFPtMePF7p -Qcrb/1Z//xMGqUPMc6BhP5431f6YLNm5EvA5P27puT7UfNxOs5iPHfS9qYRyGKC/CU9N2mgOTS/h -BcQgB695hc7zZoSw3aWk1vZfXNVayNn+1+GRc8cmynLsVM0x7f/EPwFaPL8PEShyXuoDLhHBYo71 -9zKRsaBXY6XU2X1VwMngVZGU7qv0FOs9eNL/xGQqatHma6QRYU8yPy8v3CBJTZGqAyxvGwoz+nSm -a88dk/OSEdwHKQNhli+iAuP2Ffy0moSHneFLgrHiT6XWQxuQRfnEdcApT1DQL8nNjM91/UVANEO9 -KYGiFajpo9ptHIIG6ERvV8kHzA0kFRa/VkF1XnzG1mXpo7G9V7gRAbmPq2F8tumHSJWAaqlSH+ZI -SWeLxtbtkBJxP+BGmX5nFKeNPuLRjF1HHY41o0BE2lk+VuNnI5H0Yg+lyTUDcMo+63GnJEW+lyAg -lJW14d1p1wD0k8R896luv7TJ/b8HYVbZtmyiPQVPDpzLvB4NMPP+buLNJYrEAs+iEq9EHwreW/zv -YNe/sztsEFhGigK+e2iTfzj7/xZzGEVkDCnQS23WxoKNJVB9W/lWNKuN8Zy8bRtTFWsQlbAUhExK -hXVf6C7oqzwbvAxFKaS4DwAswbSpwyPv/7b4+q8RPhHdoa+/PXaqbZzsLJZwez03tt3xfhsSLznG -cvPOoD3VghZ/YRZ72VzwsBygKmxudvp8cRY7htY0Xetd4/j/dqRvOdbkSCY9fx8/l7oEiaWVeFvP -Lg8oL5QiPucajj72UDclt3ayn9FltmfD2gpcCJocgzdFxfyuYLLapZtkzFWaXlMwabu0V2t0DVKY -Z00KQLF109f0lPGNyg8B7Ai7PEhmCb0tatd5bEUQVnJ++28xj4Rvv+AzvgzjydW1wIhVRwKWgrt1 -+bL5nnTkkswjj3E6N8Vq7dJwPnWhpkzfXr3Cn9gBjzY4Rf/7GbAus+f0FJTzYz+iuEGWLDFiTot8 -/0LcXIwzRmKsMuii9xNr+i4wkfyqey3zfHjAAYbkBSZPcIvsOCpVjvCdhDDoWBn82dVNtYpYtDFa -KgAL9Oj0fBl7Nz+RiDnYVpexnOVseluLkHQouMGWDpKPNEDgNkvJg8J1VNH/G36pfK9DpmaqZI+U -8EOz753oJXPnf/MoIepll+vyG3X4+5LHscvkXPmiiGnM5tRS128ydFoSmathod06cjmC9Fkg+kOr -PBxaL3PJFw9sccJ80oLVwPM8Pz0fViJ1Paitbmoah92cvJEjQ9XBdBMa4ZP1oX8DHYwUcFRp7VlC -KH/7aXgQ+cG5kBX6KvoRTzTNwPjBKeS7s43s8dJH+d4kM7muBEeu0skuRsKe9n6gtOZeXtBes13Y -rHB8UadQ0gXPWWCvyqszjQeuRoFiY5xubhtHAiAVYqQ6rtiZA+9DWl8TE0ixOMhMqTaEwMvfg1By -fantbygAUh2NPwe64qbFmMvMNQLWqYgPyOAwgi/7jHNg6nhtQVJD7h+Cu+8+HrRa/7NnK3vL2Q5T -1DFvKPXpJf7S/rd/0szWDj141k5krrz7GT1MnQNSNp3AQ6NgjFHOiW060L4TpyuzGRudB7yjZ2cv -yfQ1LADze8RdLy3zMmeqT+YnSHcoW2UKBuoKWsxAm533iG35hpGt1tQ8zBTHNfstGaYkwBO40PD2 -m+PoMGT73svv4SY8jUddgyihZq9hGl29iIrBi4UFb9wEFx2asSnndccZyJY0W7jh+k16udZIMTxQ -uIOu+FoSPPQSTW6WF5Z7EBd4zWCRlaW+Wjoui+7tNMuEHuXn2kKLi1iF1TQjUZO+fb+gWUTQVEIv -PBVkqb7UA0h0lvTD4RSUrNANiwJav96BEPSfUOD8vXjv3BFE+KInIRxrtQIxk8vwglYg+8vIP4ou -U776fCYp1w6cvcVT+d46KR+qwfVlnape7VtQJoA3UC673jpQmiGcMiZGTNKAErZ3DvNTa9AXr2bx -H3lQigOCdvLsizwFNx2QlOKOqhoZ6D6qZUBwTNpHJ+69bccQ0DNOhDoXU9kIgCsuszVnxS6Vi9Hj -VKSR8VA/R9adejdzlnlNqtSuowENmioUbl0mro3/X2C9EUcnUO0UK3cB+X0GgIA9dXK4rzk3peBv -hkZF/6aEDu1TkRiOpxldC4Wr9Ig/tgoz2ysFT5yMw4IVhXmgC87fgM2lPkDWY1B01fIPnd/8C7+W -tiEjn2BQwYHu6XNaepZZpBwg5QAbANJbxofuJz1ADKDslAsC0T1OUZ9Q7ftRY4ko1wcGCgXoM37b -ThPSbwPwqJ0wf3aqSv4d+llqaMFlvB9goAdXV8pq49tKdDzmgBd4upOY1g9o570XgaV+fZ0Gg38j -xCRIdcFIa253apk2tqxWBHLksLRlVEGtNuhQuLHXMhbCZ7AkiySRs0kQip+eBEFeiTeClw4jijNl -f5rk/SMY5BXW2cIFOgbFYJXglHZ638qhYaWpqROqp8IIiC0wxIgwOaiUDO2z/2z3dDW9CsXKK1C+ -b29vR6k4qcJdq9j/TKQPt/eXY5bGCsdEy8t9YWc5PLfVSL7uV3kg5BiGwm6PntpjhP7bQoZvm92F -XCl0xvR3Fp8V54pCjFpMFDaYGitDPT2CAUggJv8+kzpDoPyLkLyMDbp9ELLI0zMZftjsYOpgkOp8 -7/04RdFgYrY5lJglpvnR1UH4/SIheOwQy9XFsYXLIwyGbP4q0EEAcWVTDKqJFdHeS959bsboje67 -X91mn/t9FuZVs+Rc1Zl5U5Zbe7XIlLS9uF2W0Ce5wT1mpXhUXYVBoJ2jqrqEBw8zOGA4iOzhkhdv -j0xFLc1dLHQtyAbV2StFqqzdYkCmEg7bxq8wyNzE2aDjegqpr4si9mRvJ1wfai6pL7s5s2nrQgTI -znFv9LlT4Gdm2j3iahVF2Xb2j3NvWH0T+r6M0BiIAnThuvdqabyN9WGwz/NEGdV8Gk4b6VVhV9JO -3Z48dZ7rBt+CN8GsPROlueVFX/xVa+WHRgm8Mn/b9D9p9lGxS/rvqnQdDaQ8qZDljqKp0OV5/d8/ -MfaAaTc9GslEnhgWHBXhuiyJHcCYcIqPrM4tKBJePkRb8L6P2EKVur47pFxKXkphrnmESKuUc1C+ -bNhuiRGFSDnPyc2Ug/6n2/48MmXtcGpB0uyrW6khBgREAKwh5cEEofPjmJqFQBN/BkKPElrAU7+2 -sWzfgnDr6exaxig+LV5XntInWC3on5SDaDEQQDlrjMveIPYCmX+ulV06hNcLq5Lse14KGkT4DmLb -Rq9e76ITXqVQj9lmcagOgXkPCwx5JBPG6b5Hs0W2jiRu+Z+t2PUuqfMSFDSAUrYC92Pyy/3d1sYw -O2UCGTul6G58ydt8BS5rO7cVfhwbOgREd1fBPpMN0Kua684EOHbBtfzYp+eS1b8hN8OHuvaxowoY -T0ccMz2R8OzMsY6eMWGStS3quffP173+ER7wIASB+oF+OtZ/WUvYfyqF6QwmGIoD7jK9OtGY4oPV -M2B2vmi7brEZQv7kZdCpyg1Xo/u/vrIFVoQZ/a4sd+Eb2yP/wKVFtZaW1CIFc+rwUWwOVDL0lV3X -+Q+FDI1xdvnoSC+KK6+xVrzkEA74Wv62ARufIRW4mkdYQw41XRnbksxDt6Aoa7DCR4CmnEh9bNao -Lfx4VW4XcCanTs3tgdbjnyzxkXiVRBTX0KlKryI4tHHAO+CfYcNF4ZHvTwcKVBA04k7YOZztxcFf -W/EXOd0IjTYVNw1X4P8PZluFlNZQCkso+MiHjFn59WdhL9hoDcCK2XuPLdC4fxGic7RD0Ort9h83 -Yk0nv8O9zmXf7G7D6QuLXwa0PmQpcQhaGixpWwmAPzi3mB3QEZ4JGVXGHomOoKANEr1c8/FEX6z/ -AvdCi+uv5Rf0ITitO9u3vT+pASw09IRUAvUgGPVeJBkMijpF8NikYHT0i0Rh354uA3Bc8TBk4QWX -+9pBRLNBSPRI7EuhFFy3XI0FjhuN2C8Z7wd1rvRB5/wwZLXtV7EfMGphS9zWHZ3a9TM0smupuLhJ -EHOrHEVsaaj8dsjwOPNt9rXIAOBg4hFumpGMj8VxBrr/lAcYS5PLlnxHiOtaW42UzLfMwGXbDcfO -lIW4SEF1DZxpM7iM40/frRYtIu2mHflazEv24cIJ4CwpQAClq/yUIdE2gC4xWbLmBfgr+PBuTFcn -mR5Xf2A6g1bEHkrg+FJXvp+HlvPorLip7J5aw9pedDbFB3LyCPMfkSD1py92stMQGOPT+JX52Per -Dfp0PQ99V0L3rAjhMHXpToTSHtyWqI0hD5hQEkIrruZuITVDrnI1mqMPa+q9xqcWVAwh+nXR2zyN -kgnow9iASa447xgCvFnALjZRZ4Li2umCQCcfH8n8alIQqJ1oDUjMBHgCOHzA2VEYjXWpl47cKQhR -d1mf4Ktu4DeB7up0T8ys3ZbmV5yvuPK3kQjQf3cn43mfLXbbbGkdVOWLhGgOuyjz0qL5xKVo0IKF -O9b63Iq9uq6lhlgztrIEPmrb5OEHoZNhvO/wTCwUfPDV227h11IChhtie75QL/pC/6hduaTyMHTx -4cGrSiSkz63b4k02AEcWG573EsTOH+CD+AhZxp7pDnviNSmfNJiRyyWYyCK2GT3szrXoilvPOYwu -2KEm+kKY/PzYU4E4PpuOhiW+AWvts8dZYJE/tWIrk4472hCmUtxgUXNtfORdf2U/sjawoi4Ftx0f -64expD38nOSgTuEU+7U4C3MXzfsN8S3WXB1Vf1dKLcIE4FaaUeoz8ma/9IB9J+punOKLqnS4r6Yr -lrKJpMgirxnn4EENdQy6c4m1AWZ/ahGU3jWdBzjttE7vFTd1usErL5SYgcnhlewpZWkynWqoc1qE -qa64gX1Dc5MBJxeHGczXZ0n81nW/viydNnQjCfN4J4sJk0ZS/kgPGdni4xEmeC+NxD2HL+Ost4y/ -upLc4svRJwh/g9Gh82BoT64gss4Unkzftp2De3Kui2tj2M4EYRO/XIsnWgKhe2SmvEx+Tcr2oEbG -RX4uPGMs7Xt0gUkUX0NDmdEM1HBXN+AOrbA6SlS405/mSXUoDUgqDsFfTeE4/KVOT7AA6kEz0Y9e -74T/xrm6MnWkAMDrLAgjKjCBlqrpz04nT0KqSJNM8WsaCw+tIPSqO72hpZDNrKCNlUbNWw3CQTnC -obZzbfVJ45KBc72O8dJkvIIFN86L3oFL/npP0TweT9WblFdKfX8b2BJdzP/85QDOufnJhEK4Q6SM -WbLZh/XYw0QYm/4tV3KmiHc0wJQ3g2AQcji+ykul10SgGueisbwJdQiwfnnPK85YX08H8LlFy+hu -dJwm7G3ShRkMG3gNivdcXKG4esZCBZbf8YXYOzZVADV2RfDk+ozHcn7JXTw47NNqwNPE/YnA8DlX -J7+ARalFW+aLeVkjiCY4yATF6a3eOj6muHpYdJdgL/8cN0Ku0DrW1Fs1FaDwmvl6kheinqmcjJYy -kGPmDXSMCshDJEqkXpePt5lv8wqNj5/L8bz6KA+I1QabOg0lb+bzTT/V7HAb+WlSFRmUYA7zcwVk -bATni2xV8SaKD20IsO8tdleLH2wDC0LNCyXDhIYq4YhUtQ0j26D6cgJQ4cx9rbCSgMpcuJtNqToT -LNshmbWIdhNYlp9pu8p4VohdnesfjLGWo1K8fsD/DqdiwSe3aTGHpO5eQu+gyT8ul0xGJNLatwyu -gP5mQNOm+z5cIgKSrmzFelaoG70SC3xy+nZke6ia0GTxGWWMvMmvYMXSetAxM4kUTqdOKXPc8tg4 -BaFZUVZtpuDEVyjdWhKV7jvTn98kqaYO1yOJ6+DdxtU8JOmgHmN6Dw5kqNHNQeuxbmBQ8moQDZqh -LDKkDQPFSZ9GKvJtVIiAtuVX99Eq1YGJp1ZWOaW01eDOmKu84Ks2LJiqNe6NxdknsqJxDoCz+Xnk -xnT+z9np8VlRH1/6glrXlMdNlt/gqB3Cv4ovYpNSfOcY4T02K2ldneM2YKA5CBz3wVqcK3tDzyNn -hKseRfpbeYRKOdq92tlKdtotuOfahC4u+zPRkI4JMue3xiJiEldnf9hjJjKdHAN4VlGYocXeWY09 -wEVBjsq4jNhcPSBNqpWn8Iqoy2xGrIFqEcqOUiHRRPO3bbbyYHz0OKvX90B0UhoiBboqXes53G/0 -V5artw3deJt7h93PD8Gu1G5sKWXUZz0+MOLK8LUqjmmfUnkxOxnyVAsrrgKoZ3ZMhjaZpYCNiPWE -G2x5TivO+VJwEk6o+ql23ZBkdFyXQ8w62+YLbRp1BBn8mbCtCZF0XU+MFKRdBhdjl63MkuTcliHK -zpp47TkC8e17G8SuK6uFcUT4SCcMCuBS/YL2e5/XNlvDpUWPt//xjRrC2z+AlI1v05bTS59MT5WC -c9RHm+dYw/jgY7oBg5pKTOzgHTtYyUFbPS7jDyKpwxb3+mlGSRDJdFs0PP2xbjl9jIER6XMW0Fy+ -u3KFquIj0YCmM0lSPmkcMA5Z9d1pEw12LyN90LD9zUEQm128WK9EpZNuxvaoIaU67Fsp0GRHLfp8 -Vw8b9deTjxKjYlff33tbDanWgBCTssWMXskt03+h2fWJi8tz0oR+noQ4LzIdHvgxPlJU/MiTSL0E -78vBtKw5Ue1Aqzt6s1oqjujzV72LfHfAnxv1HoiCcA8+TmmK/HZFRF+y3llsyXGnPgJsZskFvGol -NFuIipHGzvRiOLt8q+2/ne3Wnffn+rR2FgESBgUKyBrUvY7tTi9JELOneOFK76HNNHuwcXmhuYi8 -mxln0bA6FyHF976422y0hRauT97cZTk6Uis4TL/8JQ0i/URut6Mec0Nu1XeOYvGo/aIEc23QWo+Y -YAvd1+TB48CsrTr5c16bxmM+NOh304Vp8L3uQ1HbfBkTHH44riHe0TgNVXG3ppuutt/yOr7awFJz -PqvQnAm1Wf/mzOBM5BB3zTr0sQ+sHQq/sK4HkIKYi4d+UXtIWdQGV0NZFCvENPOd7bMn4mq1fRe4 -Ru4senSxqsuq99me9S7W2LHOdT1j4Izn149TNOb34JK+89/VgWj3VDRNO493oFjtFwsA20pBYiEk -obS22mG4RXk8dDlXg1NlWGPLrYiUCb/F52DqlGuJ51XZcwfecR0RKdi9NlqV+1hl3tFnFugZUB1T -SqpBlN+jBVNHr7vrylo49erA3KW2uJSOEic32MOp+jaKk9fdMCPWNFDwAC/nvcOF6AwudPiNxc7K -qcYeK+Toj1K6ey6/0SlWb7JuOB+hqDqCi7bfmAUmAO3LmfvY+1B6lvChbvVi47dcREp/FKtjy7rQ -PtW/Qsrmhf3gLnL0Igagb7LD6bMEVh/p81bj+bT38jaTl/v2OqqERsgoSSVVxPXaZ0Zm+XhMflBu -ri0gir9/wgd8RAAawoLgbF5rwLEjh7gQBaq8dh9cCTVG7IwymaNl89xvZYPHvQ2i3Rt7eM0KoL8E -twuHSmFidvrzQycw487fAbVnaUZcdoSAnV1xIzl59IdwYtzHWGvtjj0JPrC78E+IwEcpY2JH/VZ2 -R8icNMPxFJqe9/zlfXB2ZgUjagWvTYWOcmRsZwBCjuERkEmcztmLb47uzHqf3GOKCDDxj7x5djp6 -JTZdFmNbWJ0BWOycY+NjGbw1KWTh7Y5ccBZ4OfgrXHfx75p/UXakl9kNWHH1SjvUOGY9fO8W3k2s -5KoPfZNixgxBQWhcu1nyRV6r2nS8Jfz9LzC7dWSLhata5YHNzdnqDVkNTS+Nt4pweXx3xXTrI61i -TZ0tsrH9wlxicw+w8YjbdTggJFpEAf8gHVEducwYGV0hIpJItrVshHTTUzxzkQ2WMMwBXLmajAnA -I4U5PsoO9+580Bn7ANefr1VNX3xahNgCreq/kxHtYFEZbiijK7+/SoacrGXwgSvIrgrw99+T27+G -5k0umawgB/lI7UnVsCdVnnrSo3rDw+uxZ4+SLf/RmgJ4WlkaaFruGpKjbP+IJiNtY2i5fPo4TTZ8 -BUR1yZIHMigunBurhQDdVl3ugeKXTTdVWicWWK2WbUM2iY5l+DTaVTRi8DnjEw1H81FI+y7c/QbO -D9KqunESjBXwnKm2DwIhhKicVx1xFf9c/s/tkjc1zATTAjcWDOfLx+hYssEsZ9XWox2aNva6a5Ss -J1PS3Sgdu2XJCfTvzAyHn7TnnFnqTOMg8yrwcharW4xXwzDDerW1KGGEDcp0vpcn72NPEcIr2yLW -p3EMG5ruUrfZ1qGtkulf1Q3JqR3Q9s5hpont8QCX1ITBPzGOhxbFryriq9O6zUVEP+EySj+GTDtt -OijOakHkxtJ1l76ueD/90v5gvTESD5tTbOxslWWZZ/h6t2RVVpaSFi/JunWs83T2+V2x3AIue//z -EOvBBCwZ3b/pqDdpkDikK49ONRiANWWBH2StknBWw+xkhNCnH/+aAEwzzQiyDPXQ4pnyhVjMZ+/0 -JB5atZV1bUlkvWJEdicfTvWhmeay/hUhhUoS+Wxkh8Ywh3lZU5U72hyqiFjlsWYoG0ryuiR3gbDg -LZn4kCdSQ3VX1emDBsP6keCZ9GlyO7xT2ItQsaKxsFoYkkPaecojXpsClrBH8gPljc87nbyWdz10 -/jcA513ycmmXee+Jd28moK2nppdGmkghQeBfTvmwR4acVHhTCeWulLFOfkx2it3cmBL5uIlm0Df0 -SO3GbjD7ujIupZ6hNeVz4M+/vVT0XKsW4dRMQFBqZyeY5ZY3Yk6z0JKTHNoDSsnIP2AFcSWt4QMT -+up7kLxjAUs15Lkb2J6xCZuzxZxF99lR+SzBqBhczInIluYYAuLtPvDRBgSZVyCMeeztDWoZpspD -9/k2W5wzTS9ziGGb8uhx986qm5gwppbzUoe4sO3rFvek6W+02kHGDnuu6oWTdEFzgMb5WmUjfoM1 -icG/dXxtxjwmGlnLYsJ+SzWsvhnXNFLqqyVvmPwAuhKFdWPiL5ia9vcZPcWsgrdW8ULY58KfrYu/ -acwksIerfCfh7Bk+7PCJNIoI20YuRu3vdtbDb/J2R58Au4pvRkuosJYLkyruOF9hcw76WxzlHOUL -9CNnc0NB5ez2rEEQm9KpHFi2PCW3ZbMB6rQa2iMEIrQUSpHG+QcLfgQrANKXxGvVzu7Q9nlHIaw9 -a+F2D8TnkCJqRnMITgKVEKFS9sajdaK+rnNB1BtzCT9y6Y3DtTZpXQaBHUYx+BJ0tpdJTQAjMKRj -AXLjtVg8wXzrRbiHvv5FcSBYNUJNPTA6wCP32Lj3T7F198tJ/UUgTrjXavVT4bZ7GkQok6I8rOQ6 -eo/jGb1zv/9BFiRSpxYoEBnrGZHXGCxUDhelZofRaFBx1ZEgIAiNZje4KHL/dyoDssqcWSPrAhmp -sNLzHgzVrLUrUZOHHk9DLmeg+8MuueLG5a0hfmiDRGsZUu3a0zaD3jckemIK/UzDpxAPoL/2VJwB -8+ua9wyDyI/Leivmo+1hCTjtBVRiRRbktLA5t0aPWxA4PlQKTXiaWVV8xsoh8KMyeKrLnW/+fuwF -sz1jQoC2UZ0vDKkge9Gp60bi8/4weY7qUhT0wzrUXFr39MioX0qi18YFcN1dKbS7yTRX80xuAe97 -zjAbgLRxv7wGHHCvq/0yxfHDnr914jUNoTG+eXw45w3iUOVJkgWE9yKqinSbm7s1MztgrL5xq8rR -O+ca+6CZp/MpN9d6J5U2mrljQ0YCgr3ej/FqH3j6DZn2TbbGnoYMf499WE0CxwVogX5v4tD/p+iA -BQhfTcHREibSArPrVLA5KPZgfMfYDTp/szMyAvIRzbMVY5A1WPATYsOKc5nLcL/fotrDEF6Q19C6 -P4vSBHHgdtRu34pDtKl91HXw1/AWq9Qr6hWcWIJgSUQtFATdcyyulyW9ItjcTMdDVf8RNkooRoor -f+faEflFN+jGkrAPwjHFnOMBIVdGdGW6N05MAjlevxkkDjYjeQKOtr55q24llECJUNiE05Jwdnip -EsMYrS9yRu3FY2uRXwCAXTtb3nuZ1nNNjRdsId4jy9wooHJQzfEQGbui9HQTn5qUDlq7jYI+7AOl -VTtRRj/JtW2v7DCjyAbRWRRscI+6FMpCMaa683+NeT1y226UnKrvn454kY7Uj6Y/2gsEsEMHIER3 -nT2DIJuO+KpfNxmo6HBaEvBLUte+AKHu+gOpFZ1SRHMP8n7tsZDGAP0WVBl2Un6Lo6nKzNr13S33 -/LvMmpEPrMR/f9UsSDtEOxHdk8QDtkVWaFS0IZ/HSugodnXo4W9qWqllC5GcYVFOt3wrTbCYwpbX -iqBeYybRXUx8rU1qf+oyKReYEtyMWHVkjLIRnGxHzPcT5KEzygOeqKyJQMgu9YTQU4WXNhd/vkJd -lqfmPKxJCOzyvBX4fsgt+qsaeHTfLzrXMeiyo5bqHPTZ3TnLhpdD3CLfPwVGNHDNgs7icQbcLDMa -LDJrIim8KjUEa528NTcV0CVEBgvfRgvtfskZZ2WPpmcM0uwsKbqLH0uKwRH6EPEPiesv3E4yRxMx -5L18qzLS3MmHaKOo6C36AeqJSU/bizBm+QqCvlCZ5Bp4NC/Gg/VAP3s3euZ4CEXKTUDCwe2E3LzT -kFuFgALgGy+6Y11Gupb0go8ujpEYQZuBhvLaIdSOqtCGaOvSbT55jccsF8u4/mao/tsFhBkWALvx -UOVYhfOqMdX5Nmvo71V1E5n/Vvw3SsEbbrM5jCp4r2LeX2F8rnaC93T5xToWKcpzDrxkvFSMDtLB -Tm/3WX+wzWe2Kzf2+q/t0mKHIW31YZ06wze9qohPXldnrodzVwVYEZzeRy7+XwB0UAhz9mEPx9ez -4ufGKF2NOTpfAt9Qf6P/zlgRhUdD1owaACEfpXWQ/lcnwUXhwR/w158SL1hVYelt53Px9SyNw0ft -GIHOrWr6I7I1zAgXbr+oLh5vUDB56vM+v3XXFLeOZqkZaBZ2pb9xUeHctiWg2PIkGK1J2dMiVKph -5YYdjPEC1F4OKw9gRUD/7o4ZGjIXmRWSHq/1fc6troPCTbVU+9pKngMUEH9ELJCYSv2olNItUg3c -3Qz+1098KbX/iJLXpDxPTSbGSOsLw49oIhZrzxpy2YLK5Yt+GXyiF5081DaXxam+MfPK4mjPE46q -e8Q7rwQFZTbnU2EA5a8W1qfAQXTeh6Pm4MAk214y/crR+IMm5RbzSJumBiRKv7/0Bh6GRM3Qtamc -BsCkNHz2/c2uCwBOux3rxKiGSf2e5B53someJoc4IrhzjqyTQpjMcC9mpVqdzvFRtFkybzrv53E+ -/zxH0un1d9Mq4xquAjTEkRZCWGpfbcyJjv6BsBR9DxxA+LFQAXkI7MbEqtd2lw5Ww7R/3r0BclNr -U7WF2VAzn3rZTQgfH27GIaeki3Wh6fDWRjuD7mSgp4h0Y58dTilSZHb6bKGHVJZ66yEYEUKHS+I9 -I0lF7veD439PShoqE75xivPInPvpJA4wgqp83yOyCZVEmfJfvY1Z5BEiFEsh6XI/JYnTr0Ggoov2 -0qQN30goHbT11ToSFmJ5tCJfSnBYkA4f5zbkBKt7t1Qf+n0/Du3uWmy+Mdk3yqzUSmeG2i+KkStY -G1XNaRpNO1uyl38ZUiX8rV8Gw9i6XYOIEhQ50bOh5mIt9MnuONq3aE2L5et6Rcm5+VhpurX9WceN -0HSHf0hGelmOUV4783xZt/3ROIL5/cx51STbnhXmJwCD3FTjbnLle6XfwJwAs6HVD6i8XrtQGzv0 -GcqlZq+mUBLMEhMsQXIPOtvn56IZf5ZAiVA/yg0v5U82KHu30RqlrioZGTTQlzwCKRIosbar+Fd0 -Zhe4/pwPa8iGtkMN3vRSDfdAsAxpe46Ti3toqf96BjLWvQ3siProlviU50xFy2VWfy4WoLIBCF7I -xl2i5y533KayXfFOgs9a4+l5o91v6FYpDPaB5sObAl9LvrWWRiDpy0++5DKRmMq3q8OHdg6bxcBI -BbfNbxK7bnQNnv9wlV1ZtuiZ4/6844WjubD5hPEKGj3xoHpdqxmFtrPSQMbLl7z9Gqf5ZTlaavEp -1CNemOpIyNOpu1ioPXg11VfrrblnOzrV16Y5xKWbF2hSZ1BgcIA/x4RZSiTMKfWN87m8lidyQwv9 -FyTYpqH+/3kLfX0zMwX3Vin8smII6Qo3hKHipvmQfytjAmv/QV6DWQcTa0A9nn9jSFNcg5otR+wV -dTXXttQfX5WvBD7sX79cqPmdjFaPmN+He3ToT5lnuUS13kR5dMFlEnunLyzz8fC6zpf+6xxlVcW0 -V5o34sZ1nBnALFMSef2iRtMQ+SMdivj/tBrMvAGvavY1DYTq4+lB0NipvqCYimdWBv3GqUSRmoJD -EOwF0lJQ+Z3/GzpmbahVefrddWnc/yoBB2406J9qIxnJ9QPU+H8LyFS9x5Kxh0QEDaiFU028Fwy6 -e+IMSUhhiet0WL4yHp11oJo5ubV4Ryw7R4ww8XfnVeVnBl11xueXbTePl+DeEiVxCjaXBo53ANX4 -2qqmbDWEMJGnLZZCdRbRJjxNobCogpn5rYfv41ui6tlJviRljkM/ux24Du/JuNGfAVjt4GdMCiAa -gxs7lv7rsXAmiT1r4z2aPtFUYKHP7K/ise5SCVE9y8/PW+VuMLqMkx8jWwRY1jDGGU+2GTxLlHfm -U/3soR2CgeennkfNOL4CoP6OTZT+9KR5g8tqn7ifwYF1VRonLM3BiiuZC7TMKyws3O2sASXn5JFR -8Tys4mPjyynGD9Ck+b8fOae08+UAXJ35Him16DPw3h4jgQwbZziU6sT4t2xHEnioslsSq9hyH+Zw -N7CqFcz40gxSJldaetDMe3Mj2iVmDeQFuhKbJF9FpJghIRAr1G2rnqa9A0Q/vOkvAdz2BBO/tqz+ -W+g6GL9dydvfnMsKboLlp7rnLLPrPsYccc3e8FQXHY267+xJeX68FMtB0cIpr0kOn9sQcCTWYKVc -8ATQfIRKuK91H3SHsS7Gqn+QzbxKYlMJpfj6Gp3Tj9cTPWBtcm2ZTuzmvncFpwQS39QEb/9CbwY1 -HO8KLdy61FfXRUD91GImwKXqEV0benxM7QwRGahm0IlZwgYu3n7U3Uak6ANTxqIfEF6nVAv1MGPp -NgzkBupH4sldAe8QjSShnzG7PkC7i2xQfeagjTMpeO2sZ099CsXjrUAJMfqCQlVGFWvF1O9NOymQ -/iAXVYnJiBoF2eHJKSn65TizL78658mE985ip5WFv8zsxODArSoUCFJTuhUBK1V6LHfeG7fNNDI4 -9H3uwLTvuXUr9is5LSsj9o4m+vye1Xvr0m/Gnr0ipAe6zQZfZtuNX1d9mJQEVOAZtOiDtB5zzjvB -4DALaJ+zd5B2XyU1kE3ghGkzBQIuA7GBnxRrnntXLxPEot8fhVzc+Cv7JjzH5WnHCWVFHZLzyBis -TVYJ3GS0C94kpxXYzTAibPAHZyU5t/OIUdn5mbheuSKyWyrerl2iM4wvLg4QdodG/fXTTCM+DM8f -r66M4jjlxaSnnr2MqahazP9ly6fsy6Ui4GrItTVWQcAq4qyLAG4x262cn7kMdbnOm9I/99dgTlmx -HNfvhsev+IppPnsKbndlsvgz+O0zXmetmct+SKZ4o7u5Ay3qRx02bzBhXFQcXtDxNFtxpdueQJoE -ooDt43/htjmkYWaFOZpIKrPgua3318dGoLMLwi9hH8JQsQpcCsrqv1biwzHAQt66zuGthH7Ttuqr -50m2sQvDMZrvlmbiuoXdGPn+ClkwjeekAZcB5mAtPQ8JhJwU35PNwPXbihoc+7hHhJamxPpFTEx6 -kNs9lW8II0fOYKBHAJJFfktziDiCP2KjmffIijzQ4plhLhMSfJj3cw8RM/U23PPaouF5y2zX5RUL -nrBAuixfwPH1R8mrmd5u06G55vN/HpSK5Dc8ei1u5kfYYAcKLfJVVU1Db9MYPt+yHO116Wh3aw2e -M1uyWOiIheaac+yZ2zrwkEBxm7LYM4oCE69Fx7hijFsLyY9fSOaovzdakn4u8g1tZ4GyqH9njzy7 -UD1sRifLnAXmkbt0ox1V5SC0CumrgQDMHN63lOutQTaeXP7kMKCL0S4d+8Enr0ThSoBLCzCCDzFm -xkfuGRXvotYIRpy70XdIk5IJPeh0wNxT9Bz508HUCKJS14ZtBMskmLzgszZUQi4lu2O1PTFs8cim -vZizS8trFNdITU+21AbkFYKQKrFZ1y/HSjhRufUXpH8BsBDgEwnMCMjJ19YGg1Yd4vJiIbIZAYP+ -jcT2sDTRtU74SF4WP/4eE8ZP2BlP3ILsPMjkCnC36Ofqor7VgIAieE3QiR+UisyNE8dKV0gSHaq8 -ZnUGZW+/vMld2Q0+8ZOXYHicGCoLgCd8Xa1fIlCc1o0MtEPhPu02UgHunpT+Z6OwJXt8EmjTmN73 -EQCzo28tACIx8NumgbWvFHY8KJ5PNPTxBNU3zdkxhUcrRnaO0IPCMuLMu4+tYm6GJYxQcL2xgf46 -h6jbS+kpCs5XXQ32lDUmntQYRG2DHpxLAwzLy1jg+ItjGDEGaFggShWLuMtfhvl4sCK5gzM1tX0v -XeY5lVKmHkb0FS8alsV2OwgNh+tBomckzK9C+JDVK9MEzRIUmzXkqoFOqD8D+F6NHo/RlLj8sXZd -j55B474c3kwM4/hfTe3k7HKN+LLMAyNNanumUJ5OOwCEHpnxmtXF7DEu5vctOvhwon4jmD+6/bpM -nh5btxT05635xTQhjKGwD8MFVtzyOEtvHMaRcdNHuVaV8GA6nehOuih3eMhK+HgJrIpQQQAah6Kg -pDVGq6eMYh77oQ9Jay1Jq8iX0rJb4He1Y3+xroRh6kxS3K5u0IBI5o+lrTi8DHBjkrsIGMTJQV5i -JXEjL5h+HU1WnnE8MrNt5Xjuu//xz8ks92a+qjQzeRoHt3nckcxWbQCo9mDMf/TX3Dvx/BO99Swj -arVyLM6Zb7dRCeus7gUjab8gF9YAP1OkqgU2ErP4pV93bplw+JtClJ926yVvcA1G4AgFF+N+DEV3 -Ux2sYHK9DugXZ1qgCAnBDpYdSyEK80qzZlCmmRQ4V1PhD94GCOyZPeiQZUO7GmyUKZOQORl3EJVD -LgjvqkQau2+0maAf8Lk/Kfj9OefPaI+3UAe023FvykRzENz04diOeEBHcB6QUP6JNQiYhYGk1qb6 -NVOz3YO+NPT97a3S56higRTjFrBZVk6/QI1K7+GVikHx4yFzfrmm9hLwN2VqAB1KCwoBO5duRDSI -t+/Gfgl3Bx5bo8BxMHoSsJlCQW3zh67A3MDjrIz+a9zZZB9yShLyDVWxeGVufTxCc20OY1aUX9tg -YvC/TwZxgsolzp3JZd/NEX4z1Cp5uJcXViL38D9AkFtLUvZGwYirMWQKJHCK79T4qLssgRzEKT7x -di8SmxWtIcTNP7uWsEhmXFBZQCm1XcFNkwlliijVN94Vw5KZdhzYUCKQD1qA/eTczGvvBF7P6LOM -H3LvonD/dF0coh3rOmF63eCBCPED1yvtV2kpwU0XleqT8xJv4HEjYKEmUR7xa3lVqGfwbZXn+VoL -DfaIRLvWrDpiKDb97zbFeatG74JOb3WCKf+CI7y0AnCCRI+472e43goBHAgA1rTl7NyjnJXzhjx4 -/SQu/BwhNUI9CdZsH2OYwSTVuoPU8vMe7G9djmenb31gHTY6STbqXsWqCmAANCQBw3oYUL92atOn -UJzDR6BZAXnz2kmou5fu9nCRAaDAVRUt0q+wMM5e6dbrlAYw8Vt2V/7mlmiZl1OQ41UC3doqgAyT -LazaSO3X0WiBez/91bufNBynTwzFzYakxoAz3/i58D9vQKD/KFt8HsKxjaahQjUJ9DoW98Y00I1n -T/HqqIUXRkRWQl9bM6tkrMh1rZ5FJXXK0hn/9KWTMQagdmSHL1pSG0gdoRUPUJVshvQJV9HKjgQI -s4wTGJgPU9c7Akq37Mi58qHZdU3Fs9qrdjT2veteNxneB6f44dPDD5P2Jxxpmmqk32GMZWcq7aPK -q1rEUwG8KfUleBSzbFmyCD0dMf7XT6V7W77FOAUoYMpFRkJCVXp1DVlSvM5w/HrZV5r+1i1clIel -1mAjTApSUki2B3BryALmv+6qMJ4fdV9VKkkLgqZO+HNIRvDuT4CK9l9ZM74F80NAUpIHu0mObmdG -tg51kz9ww/rwUyNVXeQde2LhWeHhpJROWUhK+K3agNYvrh72aqlJB0THAqZXxMqhDu6zf8dgQxhq -sJWxI2H+THWl85Elp2iw0BHOTZyLB08ppjvz37pJ0JxhHHLUESIpoRYNDIHzHRXqAB9zJv18/ZLX -5G5hhWdPbsWjcwoBfbzvp2oPUiMw55FmJWcuMOnoYNXr71VbjbbxM+6ULsFPN3mQ4rJER+Rq0A1p -qfV6ZdSSGX7Ad7iwKum/JqcsOE+MQ1zrdPPu+GqS9rPcP8dLf65VjLWBHnqIAVEEOnjy51N+v7PR -4Ws5tLGLiQIK/YbKTdlAD023WylVGVuDGztvRfNFmTgy3NtZoWULcjX42caCMYTOMA4QKU/sR4K0 -6pzkUZErggXrQdAZRYFFLFF0LKjzfgI46JSkGecrJMjsjeM6IJmcFAKU9e283OqGZeihoAy3U1Z4 -L5K/5vYZym0QcKJ1W+LECnkH51UxeFBnf5PScpYmlTCUL+ihWvG7rmmoRMM5E98ne2OpbVLhfd7l -2XHBmOuunrO1V1KxfpxC0gUEb/ggInr5RHVSEIwTQ2FIrWmoB2vF6Ui59K8prH+j4hCwS1PPQ7ur -DvyWm65W6kuWFqgKpJ+SH2Inp+LDTYuiLkNb/r73/Ux2/31n8zVAwdL6l978z+x9IySwc/W5Pdzt -75ijfqu30sCFP+T+eJKAHdHE4j3WDUYI4Tg+9BbHv8nTwLDV7f5LBhl/LZeYYugTaJTWHuL2qRVW -EMjdRH6gppVcvMhrwfnYUxYtMX/dcpgHZw4/DAW7VCmClZgZ6f96CtoXUr/Co7MQgJb8g9GdDE63 -RZbRFJBqG58XhJso3X6myUlKl2jUopUjtdXR2GHl5QszuJRTjQI7wF+DXu4W6Kh7ecwaeHfZzFah -L4OTHSgVLRI2TBUvi+S0yZqP2V9E0Aruisl1UR2TBL/J3DMm2G9eB8tT1eqpx3coOxiM5QXCIpEn -tIt0kEAaMcUYrzdpRwwFJDumh9L/s60sXMRU3gse9GLhMEPg+D+Wrs/twWZ7uN2+uXHUM8rmg3Hk -dkgUuqMHbFMm/6CL8Osdx3U/UjVyDcDffLfCPaVu6VXiwzQduaQq1yO39c0geOwTnyBrgIkO8oDa -FN6K+Z3Pn1P1arjkA3jsVC+ePk82Gwp8xVlzfyOPYroWqNU/3PsKCavj0iE3NAs6WQKe0mfH4aTu -Nh85H4gxNeGDZ5UYKqSMdC+8EZSQqTGK8ON5g0O8g4Ux3M6s6R1+NBFilzdMCkx00/YPSw5TYc+e -gY6ZgBDOCWE9UWAjYaD5jT4TD4Na08qBxaB1t+yNRef6DfAj7uAfOuzMiObOqiOFgBOvz6ANfeQ3 -sFbvOvtIdEW00rBpUybkX9fUh/f3e2ZX65U8Ll3x1UE/lDcFYpCM8dIBZhEYvGH4WIVhGKSQZT+R -qd8amoh37nza3tRBrDv2NwU7O0PvCuqfSncpRpzRUynip3rR5MpzuBE3Q1b92HEzuGZcqXR4nQ1Q -WkMrwNiat0JiFA5KZNnvPOKTO7r5WkKV7v3HBMylU93OsVBPzB0Q4jTFLUW67Uik/mxZqD7aEete -xDcr3DzDxW3wMsNitj9wURQo6z9FK99Nn2KfqbclVtxzHM8TQVHFagWetQqHQBUYKI+C+KiiCPr+ -3Sv4mliAiGLIsFSMQoLkH5hBYe0PvOabqFi7PWnoZgx0uFCVLt8blrEaOpB5A7GXrsEX8rVn6Qbo -O1PuCCuCnFa4g23TcK/ohWhhLoeYln3y1zjlc9D33cWfNmW0kX+u6Pkgr/ZZRN8j96doggJplqGV -1vDV68BCzblEy2nJW+blLHBdfMdsAwdpDwLAyykgO5N1pFqZhOl5rOqTFjbc7jesl9t9/xt6yLs4 -SjCBEEx7sBcBWyjjAkcDVs5HI9E9MBX4TVvVXQtfGNwKspDuwImHDpFEpEUqOtrAPgFRfzNeXh51 -SVM0anKIJhNtysT1EeNAmltFUBHGKxKuf5k1Cr05ZHMKCaSw/VzirY7nOi+Y7UG/2XzHaXdKNafn -MUJDPVgsGZezxlw2g0jqK0PomdzXOdOiZhorx/UD5SmkxLTBKuNqcK00yc3/8o3dXEOaLFxFEEAP -FgNGmcWbzAPc4yDsPoqkUH1l7BJ9WfodyNPKbwVM2qtwRMBMTpsJRHpyiWY65p6VE6H5VuB366ZA -tM9rNaZhkBuk6iwaUYvkcPOlQ1LPw+RL6OQp+DYWQ9J/OXu2wIZOfiVvfWNp7hJGwu3u0C16A3rM -+/4OJ9474iJ6aDZf9OD+RMBB7UbjioBmVYQug7oelRx3FjJKx6O8YdNoggiPd/CMMatxDfAbAc9N -mcU3Eu4SJBlUudOI/vVskUjimBOOGKxBZEVg+pOzuARoRD8U2oRiOHbwvzPOFFnFkFicd3UK+5IS -T09dlNkVluW6asPTQfXL70eieFG86fdxdowHyxrn0XfTvUTDnpuAYh4Cve4hrdOgQ+RmPC5LkUZh -UTj+k+j2s/hF+XA492nTzX5ewmgGM9JTnbbFKnlCjh4tt3EnGyRtCyPQvN8K7F0BLHi+OZrx8jvA -6tIVwQdTlDQtDXgvqGH5vqY4Al3sJVY+csEwF9AvDUBGFgO92Wlm1zv11JgimfzCN36mKQZTK8xc -/3WY439s+wjwxQgEYXO0wzGDAVplSbaJuv/YBH24hDY0e/YilL43tm76qPTXuCTH4Hvc6v8r806/ -ydsyK0LVD/TZQNV9TAJPIDgeCAdR+GCsFJqa7lky3saHygqWY8XTsYEZypcy0mbvWqddQtiKmevb -rQoP1FVmNNGcSBSKdA9j8AsyELQGiiET1tZppc5VIsiZGwBnEgiScWEJPk5mNOmqTIClXT9/Wk1L -fyFbe54YPbyo5Bqnyu4xrO1ZzTxLphU89imERXsdM4R0eQl4WB7hvDNP4a9XK8U17/KR6cxy/1Xn -mDpcCsSkhbA3MRHryaLN1io4lvBsQxsJty1/JpXPc0Z2awH+OUWOiHd12Sb+K3nnd4pj0UZ9n7FF -qGBQrt6SdVhacF8mO0UqyEol8iPKf6OdmXS6clVWk9mxrgrqb39MkL/JDZay9ucjK59YUlO+pJpu -evrg52dOzll/L8cbns0ZxQiIGS9b7qNf+D8EnpVqlM0XPfLuvZkfBmY29eSGJERpLkBJhk5nie4M -O64aqLCuA82WTeHpqZaSoDmw86Z0ehhrTPH/igh0G0BNsgvhWNVUaSAJaqLD95xJgTPmY8ZE+Pcl -tWaNoHiBNqA4tLEJ6YD7WA8AxlDqz7pPGSGJRJQ8PLJNupFBheflZGgjZmxogc5szjAZITIohDXQ -3Uc14X5somdyhlHKwNvCnWAGev/eHslYogHHMVscCURREb+fTxJ9W4b4gjFUtKrvdJhwzaUyvBTN -tNfqTZLZkoUjl+kSHlgHgkZa0DKmatE9HblR+/Jla3ZZU84jYdGTU/ts6DrfBHAxGVx5cuFD5MgJ -tjVx8CZLmVJpW8wPp21Yx46dR5vUmZuum0DNzyclEkJfVuZ0JbXtGuTtNUMRQUs15DI/2RkyVNK/ -cFzIw/cfSH0D9VBPP4TFwt54XPxSqFfXAGqZzrGxRRt4joCk76R5jBWwjYA0V9CubhaC74+GsQ6A -BZFMG46AggZBeuX7MgH8MeMZ9k8+xRlFp4Feo1vTX8Aj55QzXQS8dMxlOSg/oVtio53yz8nWMwK8 -ky6DeCR2QUKkHiQlmfG5Yk2CORMhGynqwNxIHNSYdIrybhO8Odn7MhyvrIW5GWTQhTi8WdnSdXTm -IwXXPY2e1TBryJZcOJtAadZutL2csr8REPE/9wBfO8Izzn7Dk/5bAPYJf/uu6q2fuEQ03Cpcfzy9 -AgeGvKST6R/tqyjPbzyd0wbq6AJNrMkc6stiIScBSx/uWYe605s5hodp7UkVLrNyJK5uykMRrYF9 -Z2lLB2x/muOik4HT2MLbpjhWxeWHH1OVREhFHK84qIR3FKnWjbXM5IDsd3Crs99UB5HgOKSZLdRz -eoGYedHjwYghEh1mPvPGTAHp+240aB6VsFrKRuX/UooN3dOrtzvUnTVZHIYPJO7Do1eUDHQ2hNJZ -lPmmkk+RPkc22Ujr965aI9EjfMTnhg+HM49O35HF9qH937C1LgJcQvIRVNuG+Bl/z2VaNDjcPUuS -N6ZRydk8UD4Jao038WYZ9UHmgF+n51w5PIzHBqd50WaPSyaF7hx8jGkoR4DX4ZFjq62VAVCxnfk7 -OxR9c14L1DasRPX9s9lH8a5n+y7jymqqMrpNuYZugfmzwtyJk93vHlENOVoM+98UsRMxqkPdQgWB -hw+L7jsYwyQjsYb6ZdUwRUm/b59pvL56C3SmWy7FtJPXptvm0N6uU5jrVL+9IYReCzQxuIIpd37k -XH5OkF4X007veAQnLRcJuWWjDSWqNneFXdaBQalutUYxyX4w54dy+nRMit9tiftcYL17rawURt6d -6zln4YX7AvIBYC2JQ/yZOkjh9C6oY7edzaotWuOwztmb1Qis/Oql66Ban5YdGmynj/Q3FNA8Slbh -hZ3eW5/2fZ9Gjp7dpCGc1Uwk/bthLw+Fe0HLpzrYaRaYVB+zNwVxM6Ba+V9fMpCw5U2Y9XijKdw9 -cagWKmMcTXNCr7FzceIhdXoGJqkD+8aiBi8wLXmoFILdqsMM5r4Iqb0ZvK3652cKUVj+PiOPOk29 -pHgZf44xWeN821HoeTbi8Z6R4xwWrXW5UL8HEuhO52MulPrP1suY9YjOlX6JT31j+SWy46aissX6 -mdtCJHzmb26KmDLneaVtZjLDa2SoJ65XeQI8qHp6Q0pKeHwQAEXsiHyXszk+X9JFzsFYxRzZA5D5 -FMsq74nihjUx6beFOHQ/xhmTUYAXLnmUZDY6HZRoYKjkelZ1/3DRSpodl6o171QiJT1xjnKqpr62 -Wiotp0DEKeHrnpw/m+vVv99DJrPff3VzpnXnQ3VkECus44MCQBQeaapcRc0ZhyfCy0LM4xS4iV5K -V/1QCCnPeH+AAQpKPgFv4Y4A9ewagDzo11c6+RA109KxMACuvpJd9udHzl7ZlxqlOSXzsMv9xp1P -og6ScLeAt7q090Uw/twYim7pVXd9grk/Qeo8yCfMIGMyxdgOHn/1myNvZKKfUwJ9VbqX60f7c5Id -Gsh+Jy1ZQjazb3/a4ZTLzXaL5/E9SRsZz4IYh6U0T/L/9fMSAupZi220t0KFDSJr7eKAzbighMfE -Eh6CWnysJfYGnQS0ZL9zvuPAaxpBiYGBKu7Bv0LSMd4tyodqxKC6+t+WEzNdY8DSv3a9X2Fu7MPG -TCL4p5LA7eBQ5n1p+JAY9Am6YkKhB/EuxVzSzC/aGJT1skuLOzHGpuPkPQvhuTZUCRTwXukPClqE -fyEiKfc3uYb1YRIQKYMfMpJD6g+lW82tNTMqRF2S7hAoXMWWNYBLt27fUARsJXVthGUVtLIiLmCE -F9NcIdRIsDrxcR1Uq3dp2vnAHFCwF3pFqzXPFumd7nBQRVS4ca5D2NIRdQgYRQa2ipghqfM4UaXd -watel/p5XyxkNCaPeVGhnbaBvFaUA2tD01NetMugKBXCuy4wKziBoPLdUbRMXG+uoZ8nKGA1y+Ef -MkR4KUbk/y0WFju6HQvgdWxE6ICchxCJQaS/GyVsCkdhU5amJukqiRRUJl5vcJyKgeoyYRXB0VJ0 -WRI+GIvW5aAo+Ny6J6PGlCwF6j4wN8im3pfuC2tA9wL7A0q358QIt8SVKNAoJsIoHVaJnw2CmQcH -pJ+NGvhTTJxdrElTDYUrNOpISgrky8hSGYV9GvaPH2QB0nrG3srUMVCooLe+cMMXfEO03IQk7uA+ -92gtFn4m5UvKPKc5yaEI9AhVJpy0jnZvWw7R1QavxcN+wU+JOiNMU7Q+GuBRpuGYRvAh1n10Ia+Z -dj/aJoXaJALhZpLAYYWYEXLSGWe68dsZ3n5W9L6sOksDODHRZsc4ZY39iw6qYogc4hBlTHBrx/ec -7jAinYeGMibWvyGwflILGed1VcbZaL7C7akFQp3RKrwZOJMtVBE2hdaGiYn3L4wP33QZGt/KBTBR -AE5QKfLQFur99395/G+c3twMfPDpCpwLxQo4KY+s0YI4E9oNjDkCGPqv+mDRYWRGbnQ7hW3GlkDy -V7JyiWgJ/XmwfTyQl0h0nk5jl64xCsOQoNqIXfBwV2xPH/cryqKefaoRqz3lfOiwrW2jYHjrikq/ -tMoY7qd/T4Z6j5dRZCEb1S0Nrpi5Z0BK1IWWHvDwaJNPJaRLND41+OHoaxJr9AT+CXT5evp8kQ4G -gcXIOOnz2Y2/RA9CEHaGaiyGmiY7sfe/RenZ89FAc87Px7/8y3GbVRVPHHEZ+CBT74fWtQYGPtaJ -PLVfOKKBlVgCPe17V8PkB8/RiZ/61vBY7zPQtw2T95Uvbna8BgYkfQl6vqiFmrkPTXkg6Q/Kf+M8 -zNUJ7/iSaAR93I0CdPvMJ8ZIftC6GAFWGLx68+Tugov1oH4S8nFYu1mcxlORFNfH3+7HUO9CK6eV -iRgArg4a+LVQT527jIDPxSCCcRb80z3w9zrVcE9fikcvRcZ78E7KdkR9yo/UYsm9otooDL/m76bc -Cpw+WJF69njRFtt9UoiPMlqtk7u1wSE9W0+GzVYlw4i2upPl4pymX9glhObYY93TdXUn3snan3F+ -ECTfC+JfOtTJT5yprNcb1kNE3+g0xYfJk/+l16CUErPb7dQmpjDlK6ULvYp3SDU7bUzwN+0jbSJW -2KFSaaEbweAKBi5UUAxpd2UVvVi1WEqensUa41pzCkhc2glUh85ccjU3/IsJtozRTDtH9j0s2GGd -wmRD57TYbeC4NrHOhIgZcD5icSnTyppBWviYaUzt5h6IJNIZz4Nr99RGlZ1l+FIQMNuH+pMIgfQP -WcvuNj63iey5/KpucpcuJvtSkXg2uHgzyonSXLtpgRa40Q3Sg6PXA4hMSA9m7S8zSkPglg4bUXfa -Wa3am55OlVDyZnULVHEJe0dqar20JrUgG8V5qtkCBugEmqNoI65vo4eBO1y5bwcpUspAQ5nHM3lm -A8k+KQ1SFZmdx5OUaNrrmSUnb5ddHceF1UfVg+oS4SIqim9iHqYhGFmj00afTaI8ye0tcBqIJzvt -tQxlT9VEHD1bY+7o6osIplTBmY19OKOpkmzmLD0Jzj685Oc5GvePDxuxcn5ysBSqhNPtE0dQuc7t -5+3T4mnDkggi2Kg9zJDepuJ4knpAB4p7nhqDhcqRluYSp34fJ4MFTiZXwGNBgu14IYEreijJALwE -xS6hkqNYK1TrXV3crmR2jH7XLmGO8DLKN6OF60rve4C3NE7S98mOA/kFpu2ceDfqNsXYDLg7+akP -clQgypUk+3DIdLSRRNo7IXb+uPtsMX5p6d/B0PLBJ9iEok9EoE5ltFvHEoN3hYMBQgcGLNy+s/M4 -yK3e3tb0jYri7/j+Lzs1KhLKabYOc/2G0FehT3KIBu48tkWxb0hMlbBxwXpoAM6rKpzNo5gfRFZj -2KiLcNwc+5mVU4DwejjLFE4Q9EAFU6OxRM+pNgldc6/D2Gv2e4oCBowSFIBaYki7H4SfDIVew1DK -JQgdHfrfweP/LckhFLFFB3+lnMGy5dyPqnrm5DqSFR+vpmKi/I1qjVfRDM+RFtVPahy712QkkMU5 -7Ckq+TRfUTbgzPSftq5zOLVhBNWyvJYwO6qOzS6vDUDlHrtP2zVBRjlfjzUMyk+aVkZCPDkaHIAY -aAy2tafj0bVtvbonV6AoG9Dy+4TxTobQOTYxiCju6U69UIFr1/vgWqb6sWT4osMyUYsFGbSCeH0V -ZJ1gPThEVvTAfGIMgG9vlseI72GJP17HGwZPteh3r2Ra3Iit7WHXIFDuX2B9gnXa5hMrkX1MunTd -rUWz7jKci56VWjwMOn/U51NDuNiCTSj+HBI8BgJXFpONLnObyDcDP3Eo4CUjRKlMfThefajGKVVz -MdELHBK4w0dZOQrYHdALaNhGV8nMoJVUR8VtAmnIui+us3VLI9vmgYhhPhegccQh1rHD98qXP4f5 -CiHG5oeYYtH1RlHNsHjuuhJmH8uhtnG1vFIvcZYbknnJlDYejNBmGfrYA//Tp76T4r0PcsnvKH/p -M4NuIjj2hj74pPRlRV+n+A+MoHngML7kAH3wiMBeba/QuzKWBG3ZIyEF5jDZbC4AQNhGS73VZbgb -LIySytP9IzI3xLkX3/5z077O5tSrMpLJJfivs48CDuqVtz+JRIrZr0EalrWTezKW3byRa8QqzIeh -834EHm6VTe8KYEOvM43VAqUbeDZ0LNx71YNrxRfgG1B1EWrm2C36QLJOl+k3vasu3PtT+9ZPHMbN -ikCy1/rAGo9MGHCMA9P0JzUoORQYvJGPwhF+HUHVxduJZ4rJ49P7O1Ba4UxCmaOWtRspJ+j8laqQ -mu+JazAiuAgKZ4SAjaR2C1Ui3jo+buwjkWJ/tsU0PF1cUhENcrqRdnZZ8KdAHEzGw5tbKHZq0vpZ -HMaDk98OSrXVvswKJqUiA87d9/w8XbBpXVHLlGQL5YPAmB625NCSOg1enbXKWfssTg3Gp42H4x8k -T9gYjio+1z30hQxvQs2HxTKq+0RZcH4B88yjjHmAb5sT7JvrO8bwtplmkAJJ84Yrms2rh84Y1j4n -VennVr6HGpq1EYYllU1yjiYyXcSy+tPV1GqpAA6INqAJrER1s7+iXFUEjOHmaPIsQalXXrQm+y6M -ns1AksTlaLGl4h7aF/loNiT62bM5rBeAhW2cWkrsLw+vN1ZJSyjCkm3LMVKd+3q2lsFcy6pmIvH3 -eJ+kHEJFMM5dYZmMMI1WP6o76SSjEwz/WizjxcmHVRsx+kXEaKIg7vmViwExaHQDm4Y1cpzabTPA -zJDnC8N5oYn3wGqPOtrm/6mEUbprwjbH7CUCY1NK+bm+W5Mo5XyHVlUOD/TJrdpNVHhCbpD0KBzi -wJmmi2ZcDzGqjMpxPE9DLw1MvzknLeCe3qdqpVWEcuRCPSX6IYlIGV/bPpmTTQBduG85x5AlHNRH -43XPiu0SKViPX1mrtcluGHy09PmtXsmjUs2svTtaRSI8dD6/SqRPIiLkCS4T6Uz/HYyKTegXCm9+ -C4yRCn/EiT3ZMsIjld6k2gOj+dNtoNjwmiZP1yhuRcK9dzx+OZeWpsjot3/nHUxJoYlg8knMnmwl -FL0Y+iCS4e1zlb9rSymsiySP/Nd8TqChO+iuZIn3vs/NMb+PFCv6fal+Sf8AqYVeJKu6LUpCSwPo -sANAt3RjWl3QN567O9f1InqBCE3xf2FslbU7btGSO0jfCcfq8QwzJr82nzBt61vusNsKO9pzUhIJ -9YCy+PgfCJegsG8GywP/yRzu43V8KfC/GAW9wC6twymPqw30F+0JCOG7DzV4CYeleTdf/bd/YG5E -alJj24ViDoCz4WwPxDoQU/DgYilSZhHao/AbRCM4m6M5KN6tY95VjdutkjZOFbjJP0p4QdpAJAdO -Bev/8pu32nsTVU6NoFK+EMg9MctYTnBaSZCx0ns7jHt80cW4Nc9Q3x8S8WordvQNrvBWgmjJKxHS -FAXdO9vfHPQUNbe51re+/eAEQ/2fHhYPEYQawaWXGkaALlyrqFHWUuc1O/dOfeYzFMkXhAw2uBwx -/vYUfbRZhRmyJtnAYLOd4DCDn3lK6LYAMrZYk4l4XQv72zjMr4Vkv/IcieMRyJQj2l/5+W2RH0Vz -nG3QAGy3FaaEaWeV13FDyCrZwvNts+AgVpUjR0y2OZR4vTwQpmhg74wmcIPAfQr8AegX9MDLtkY8 -aHGtIkicDIdnVEUHcxT5BngHDDs9ciRkzsXptiMqlACCZEaXdl/1sUd0sHD10Li7JGX8pHmWUKrF -UJrRHQnnTIHM/ojxtlhCKtqlIiIt8OrKCjx29NCD642xCRPQnRLpfCcB9FGa/s3Lm5c7zPxkBpdL -4qFWuBcRSluFdwhCxzj9rgJiXLoIzUj3M/h0gkwKJteGYVmEX+PVZg/kY+BrwB/CqqAb4hwxtQy9 -DkEiSv757TE9KHZ2mJtaOs6Elm++fapIpfkcUAEFDyV9BW1raTK8OKUsbI2USn9Xqna1h6+r70QF -y/HfShvEMNtEIU+DKDBvUzb5NRYn7E49nSUOLc9EahuxGyfD5pz6JngzyGnA2+oOeFloi33kXZZs -E9saNr0hUWemjhd6TBRKW/kk7RoXO5ahhCAK7Fe8Fb/ukxAoL/7dk//QgyHUubZuRL7wUkBzWwOu -Fsf6RRx8Kr3TcVFYgHoZGXqtWenY6ve+OfjMxqhg00An5JeqztG0pBFVqul5jAv5F+pv/qPoffiN -YkV/dHW8vZP6zgpeVBoCWYvK6LkodnRgFdghfMmXP8bh1sq15qixWZKqImkFYd1YrJ1fPMvHr2Tx -mUgZ/utU53yyewy0zwlwGa4F++Grz/rQIHMnTn53m9C5xm8Pp7thsBwgxbLAYDsk7Go9sxS+Nr1A -2OVBoXCiOlF4+yNwWgJYXkI8NQTkwP7Ud3FEKJD0KXCr+7mWw+OgBiCYKLjkjSDGPdjgdWXFg7kd -uEyzC4sCPV8gmYpmV4j9YlCTuHw3Zzp2aRPPDnIGoNhFzn4NnGDWT0Y0zocglD1RVuunryz37Uf8 -TEmtYnhg6PNABWFNQFInGGUcyYx54wPi/lLLqJa6aOx9kNjgj9eoWGNz8/bLPBadIyV3D7azXDj4 -cXIYkvDxkPi5vf7YXOI85rJxvtDdx3mZGxIewUSUccvad5Ecry+PP/OWGAkPPgEz5l8M2Cch82sK -/aZbjdeWmcZhw4aSck5zde2pfzC6XHsHYLPN1WPZkjaz0ajm9cSHyI0C+9uBsMq9bAGPqLEsa5DX -2NgoNSy+Wms+Q8aoNUSDOlwmClwndm7jUX7X2KBWK1X9g/+Z7wWKV+SLtUOT0DH8hll2z5+BgOia -un7tOSzeVUpP6v3ZLWqGvmhQcOgRqxYAK4YlAzGGKAuvXRtIr9A90BQ/9Lhg6rgf5RFF98NpQvvI -kOOTAngOEd2JsnemtOL/FEHpfEhepopPuf/TEfqdjTjVYuguuP5i4eCP9CVqHKm0AJVLWB33L68j -6Uu0hRAANaOrGobNLljzW633Bu7mskKHFb+gzIer7r7mA2Yxhfo+yq/zj7pR0pwSBVatLgHNzVd5 -EsObh772NidfJ5oKpc+YnZ6dTw75lmtIiUQ7+4ygny1i/fz4FIyMfX39p5GRoUM8l1wnFixvcRT/ -fqgG8mYrbJu7eEhsXeqnWpYZu/xdrnBFBLdziyfA2yt9mllruztfVvh47i92kLM8c35NEIuUC74j -rOKYe4MiEJz5B62ufBd4HTdflf3tZepOq3UDrxeU7xdPWcr4Aniy06fiolvF4Ls8evhi1DbUZPKz -YpsM5Nk64e0Joy1FpMLCpj64T4e2lasYhH8do9plIKt3Ws0YKQSQghclxguLHfzWs7dEkH43coiM -O+FCaRb2OBWWXGVWTbZvTN4cWJ48dTWjaFOPdEWsDVrgCUh787MQSbAmfsoS4aGCKkrqvWt33iiR -NT6HUUbyJ5uCmE1qWCWAjHRZOYnftIymq6OpFoC/wk6cDI83Pg0vkDVTBWdUAxVQQLpbMK1IeXdY -YQwrAD5h8yRKqAF+sIsbP2iUL+adEokMUs1B/Iczg3swex3UD3z9/q2LqoBDqoDNMIntWWW81qQq -il+uFnbmKD4Bbv+MrXio3AvQK8dlY4rr5oOHjSXs/LJpXPlYQvb7UCezU5EhCXWBZj9uFiQch5mo -6u/NcoXVMGaWVdJyt1LlDnAbIOZ17Ft4nixJ4SGk6i1UvYmVpzoi5M2XL+PVDgKFc0r3VrR3jEBN -Xa1tPn5nzcD8NpNGZKLjX0UGl7qFaoNwkj/Dx91LfccoA3rWUhB6Qm2cfdUx0FcAe0FAWfP6Hp3q -QTKszOx7gEYowFP+z5Htr/uTrMj07eq3pGg02WTT98Qv2Aff1OSveXCWql75Rc1LC+979g+svJDj -4SRg4uCjUcAEkSroS18IoWLPkSdS8rr1aijjrioO02Z6aVvvfkVlNf87RU93cLHYOry0aBiBiWHH -Tb3ld8fAsjg+/w46o7BrsgKUv5LQ/1aLKZ7sVlQFA6Slt6nG4gWl0xWe8REMtDHsUKCmDHjA09IZ -IRTFIbB/ofTYZbxbkkhetqa5G2woiN+OGMgeGMdsuF34IwYXspFqvqHn0wkVnETMWkOPmnkX0qqP -NqWmQQmSnvpyl0xwN4imf4V5UyWQRWXva6V5cuUrPApJI+46gaIxSv3768lEmAGtXuruUh8n7t53 -ceTwIHYSNE08w/rbGC7UGJRaSpeZnfUJ0RSZRuF3PsoEaEHiuF/7s1k0EYACnuVpgsbi96D+3+0U -bDEorRqn+/Oo9ihhWw7va4H+mpyVvia2idbDDOoOMXrTZ9/zdvjmIXgBZv2ypge/Bn1QuNe/xNNw -L+YVq4Er38MNBdbPLG/n9Vlh1/x7n9Mfka+0GklYq4iRWc/34lF5K0cdOg3eo3qnpnXvkxWlsF5f -xuhTPCavykf6esgBCFzUB+dUg5/J97SENjowtOfZ2lPS/si1ig1o+g3XJyNMlhI/0nO12SbtD8f3 -pd6jiccII1zU4ep/qbBCCrjwHzjKUhmoWoxkVC6it/0R8W5rQpmcCa55jLJZLRAwgyRvH1CfCX5+ -Yv/quwYxi7zZNbygCQCse+58b76GC9a19usMxV3i4NsVztK3KItI3osw3FxgwD9TXI3iIIpS5NXf -ombc3lJfR1HV1E23KQl08RPxnVfKgwKAT8sRpUPnxKMR3Uj0RD5JeV2aKnnPHiAyaqeBAys/bLw9 -u8Vyiq8LxTyAHdoQ5kYUEr9b5ZR5NloE14Dziun10Kar2rP943tIRCSZF0v7sN0tOZyx6EWZW49Q -lfOP0tax6XTv1aK1En2rokOnCAzDGsqHUDU3NaWvMgeFCnYGwK8Y5qIDuJNX8VfSTWUr3xGPHYu2 -239SwnalhDXuEEd/SSbgl3GYuLFiRBhdLkMS7Z+DbCalfuWdBfhBImHuJmTwT3HZh3dpqcYexih0 -FHhG/PumxccpG2+o9bpK1kQ4fTQIXBynqP5exPjJIDxIBfET3Ptp+EaDhwDO6SBkX1d+rF54V+mR -Z4baXKujtpJrMGbukOoIjQ/o7lpmoAjkGbpn2qysnC6tpnx9Os4nhpXsdFjLUkVP8PJVuJcS0QeS -xdN5Al55phxGnFvKjP/aQ6dS+Zx4dmC6GPWaR9/N6jqTGnEi+t/2PblQlgal4MiPefqlKn7V4tL0 -cDQZjW38+JEVzkeO4dxR/Dxdhg1fBWylK57MrCdCxsD7WQ/+sIhzhlxblN7jDfhYjpkATf2F/OyB -WLZ4yTLuSE+3PVhdZr9GTLcUp5D5MjFX68cUx3/0p/DQqbIIEx8ZC6foxNv84dcpAI2DnQ0QiQVJ -V/NM8fdzEP9GaJYPrQM8Jx/CTtXkCqIKUvqah0xcGuVvAl9WYTrLOBYEnEzSl6LHrLmV0MkB9F5h -htMz6vyWHNRD6GiRofC9gxtKIRvbBBglKg8kthq97iMMBNwSA5LS47j43ra0Oe6Fgso88O+aLSpa -eGfUgPtPeZ8QDZYzqiwAiJPgPXklSBDx0xkFPrIaU+Hut5aKDxmXeaG5bZeD3nnMXxdlHeBTzVQb -y9RLSPGMbGmE2Nj6L0sD9s7HU0u3MdrqgQ8l7h1rC7jpfUC/57l2Z4IWGDpFcxLahKCVlMtFplSK -fPR75pvSIXopxI/I+PyUwIPiDsf/lKUYdW7B8le88Rk47UdelqapK1SZERlLfm4LtKWnszt/+5cy -XyeGh26ei6CVp1UK4WRYUIPyfAXL+0aeQboYY0BeRnEZNsTZ1zkamF3peOIkd52aYYBkS5WKZau8 -SKPD+ZT04vKLyJ5zSa4Pz+f9DN7aeUQb6JlhoXyiTanGdzzZ9npk/q0uXCfsEryc/Kocl3yVbDq3 -K8efF0/tjQksv7q9mtzOe7rn7gjQLL+dMhsTUivafqLm4Apm2Fz4dR+qw+B39hJkKVVb8l8ZRl4K -hp4PTpLLrScwIzDoKlquafEcUoZ1BaVSYVBDpzRFD/dufMiBwma1vycJP/r304PS5cIXGbM/W8Lf -f/A7yi9ceDaUhx2NwbsSrYn5HA2lUOIkiD8JcP68JwyCGdxp0bN/mgwzJDKzp3uF/k7BO8Ag9f38 -yTDlE+vOHaBMWjvDIY8jsozGGWPcVwGkwC8HsqMNyJwfPIsOik3OSDX2ZtsUmr2gmjossjV2tm4N -oC+7doYE1XQQ/uI3ANndhCGcKALWDXGHcKR/Pe1hLqlg1Pg4MqafW48p4CE9YUnRME0PE4tap9AB -7uaX1feWFxHSnQbD9h5PrGNmhNQlSUf5m5vGMgnQzjEmT6NiL8e1OcTapivTuu+ZUbi1yXd1rViG -2uB/6PDMDzossxsNrDezreq4f6y0LLCtYsOC8ImiGobGa43MUPqIO5cGsOPTuOh0eftkejKrJxf2 -7UH08LYQQsqpIQBg4u1+ZaH+Bix7jLnSByPG/qUra6t/v9i4FIHzoZx3wQ+mqzDSXHvtq4cu+FkP -5/Ey3pJ5j1hmGeTvj6WI8CBIAopicp1Axp8cRQAMao0lLWtwZqSPmjxAI9drMpWwzjSILPjeZvJG -DxY3xclSm2/e6o8RoBrkJUD/ubwhPp6OulIynqgcyfvNvkoFPtNvmwjyvC7AdZSGCrNSc/a04odn -GzAMGL7moN12PNpu7p+Tu7E88Q3Bm2CxN61/6QXdKx7YNa9J6dXGBqxFmV9+dxw/+EYB+jOLzqaP -4zbJ1DqJbN3o3BCtT1SPTUyfEqDI9gAqroZOcgvpmqgtE3Qd4uAt9X9I+SgoPiSSvKeVJ4bvSFKN -pcTQwlbbVwoxtRPyd4wHGfbL5eelbkEw3zipfKrL6mCe/C/KIe5nywLxr5MMW92b/zrQ5Omc729G -/owx0gdpZgpk+3mmc+GlK3G+3TSMqwYzNNlUQ7y8YbshpX/c72v+plGd1dLLlj4Ijqr8pFukCeoA -Zt4kF6N677X2FY0dQC8AnaOdYks0nbLk+VlL7Spp7pn7+Watr1NcewPERdBN7QFLk8dJQvXXxR4o -i/tdR62Sun+5yCvd+myPzpJBuYnq/iT5iluvbeDqOJOZ7XXF5JySywmman5kiZ6zMIlWIyfJVefI -7tIS810zoI42lTuNz71XoGUWJLjYkxFE2xe4FITDz3hf0QrFvyNA2JoyI5kqT9R87Sqi/vigUi2C -yDUyXR1CI+b7/OYxzJVu9IcTad/OLJNNZWyloqmb7tmmWzP7sLwLGoQe57nAlJHHJ/mpGSXAdmJV -6kHgTt3N+jKeTqEdiCfxwZxFkx9VkEhWOLMknSw4qkYDWYavJ68COzitXCP+T/kFjlCiCExk3wSQ -Vk9t8PsLRXHQZhaj58u9efWkCwr4p7oZfQIzrMevLM+LJocfpLIKU5bAujB2ysARamu8ZKI5qla/ -PzBPw8uySc6p96kPvVlB5JQ+JfRqlQyyboCXWRER86ODJkJvCY+IUjun6u88MM6uM/jQyHd1qsF3 -nNzzoKP4vS5Yy3AObwy8h3MyTEtDPlbASRYlGTN5RmxK/cuPYSBPvtPeKV9Tgv6QEOkAq7SPYx0f -g/IKjm8GptlAgLsxtAP+L3+VWiyY2KJ+irVdIdueJGZtSJmCTChOV7t4xp7kDmSi4AHNEA4aNCS6 -x80Jwa8/wUA62k5rqp0OyILHSekUvusMJYncXava8jrFmEifiF5Gia7gBOEExLh/2hp5RNVyU5Fq -X3pqAXnEMpm7cxlaz2yboq2iX++N8BjkH4sAYyih3XIph8Myqkhsggn6lcawHfZ7Brw+O1/r84DD -RAMiPcXH4qqjlgop1z+xdJ3kyyeT2tDbwzQbPjg+FymyqBOEw6D4/Fsv/ysPDuDbC2UbjVEKwcR/ -QTMU4eogIUohxJq4DAub+4bCZCZiLGTSY4sWFA2yjnotfv+g++oUFx5/GkQ6jtgDBT4Lvh332sqs -ig3FWX+FOjeF1DVt/jGtglEuDk3hTVQ0a5bqCW4OPWL1wCZHweF1Uy2dWFWr0+xeE0HAbBE/qMIV -2heDFVIPC2k8nkna6uZfkAmh9B/R6ApR/yDxa+3u0dfNVxJieP6Viw9g4STra/P6jkQjf5KMl9pw -nXX2ND1m4/sllJkjaIhG4BC1OLp69jCUCWlmTC09SrzHIdjavlEzKQi7vUkXJvcnzh0Z5H8jjJc3 -j9Eo63RJLrP8Fck8I8vQM1w1IysjJre1To//ylGD1y+gUwNyHAmnD2IU/ZfwQBip45Dk5ra6/Jb0 -GIVqJTfgFVdT+lCiEhESzu7MEalvBVuGweZCJwTyJ6F8XfIdwQYpg+JBwpay4QjdBh9Sx6zHsB/Q -CSPWwgr4PdI6bYdccqMGDvls784U7IdqJFqMdD17TXcmJDh/1uL1RRcHXKjNkJx+Yjohf6h3refm -kU0KteYQt93DoMo0BEcawRned8pQWqsfsQPggqeK0ELSK0g/jHtf5HoyMlyRXCXO5AExoSMOz99U -Ef4XYn2QvkZqmuM1s2GCk6S9Gkm6qbWLO/1YCpDUN8lA0KIrumMvw4lqFRDzMafpCcyRAA3QDr7S -Wk8EkzYE8UFNrp5OGoAMEJPuqFEdV9JLodhe5AV+ZS3wgsVPRnDFo29D7wiJVN7Zd4SvqEbc2Qop -9yMecVt34LCCl5JW3crRwcIL4Ed3oFsKmnC5XMI9F6HfV09dhlnMJtDubZIChm/8w0jZfeIKhKNr -EVCW+YzJ3BRDyCqVE9OGaqYezNygu0767A7NbwC0un+csmtd2gLNOPKdRC18L5xTjS/PygNrV2N3 -WWeh8m2kn1nRsDNrPyUkrGw/TrAnpb1DUv4z9F4SUM2PCoZtvGsazEprsxM7IKBkBQOTAZEQfVEB -gKtWg2KOA+WhjWMn5pZDCoZaBnatriYKBxyOmETVFuLP5yzNUubFe5zdcPtItT5Q4cl2tf4qRDHT -zubZIEMiNie8bsnWk1NYQGWNXt2UURUwVlg3WRvNFA+2na4R/vDZsJSkHTSopgxM4292rIMZRM7y -cnOJGp7+iRd1QwV7+z9bNS4+XcaEhDkglbklyHMr4ZgM1GC7XhjcEPDNU6iQNNgCA0ft5AghwKmO -83yoDu7L8j9GiZloBYWuwvHE6Va9ZU7FLmByBSRs3TEqnLDrBW70BhRqY6as11iBjw96J4EjMenr -zF/T0KrYLVxtNqUUan8PfIaD8U0zJH+JiAiCJoVV0n8eWyPfANP4NkBHmmUfDHR9oE/z8mYxiLYd -HHPpGPzaaa74mLfVSNTuh57OWQPxEQR/HVXI7MBmY1C8IJON9Qn2IVo5Vxqk4RTb9Ygjcti2yZUe -p9y3st1KuBN2vQr+mL6deD49n0+zxJ2Dj1grWqMfAMMi/XTAKXYnsl2ADO8MUWSG3koIONfJtfdH -MmclzSS+tofXXZSOD6idOKo7a+Z4upoyS5L1mUbVqjpO+kGBWC0fKwb/2me00Y7I61to38PBH4M/ -OAH5F1kuLpCQg7xKtLqzdwnASS1Kgkv8oqpojOpY/MNFGjcDKWr5sMvHsPbCFALC8QA91Ng0QKsb -CX4UwU2EdlcnDGsxk/g6ZEM+9voS4l5UV+EmJsGLzD6RRetx2RESar1MUqXCzABWwUzDnFqRni6u -NkZyKrLPBZnHd6orS7/nPICbCFmWlXlraSe1fX6QDQWM97JnNoGXFojHxbgdSI4X7WRiy/vnhOP8 -JLO+hf7VjwGR+EixgoAhkqQxI2akXQUy51bCGpYNUuJtbYVDhropOchXSopQFnGn8BviNKoUCWeN -ObBd72FOmNU0g82FkMx7FZKNaNpfTWikj1MxmZS9NqYPVd+R/ItAUXYyXl2kbQtCaW7iTzEA7Q25 -Tf5xQR16C8H/griuYD6Yz22thw0LEruaLZktS8Gtiz/kwzFHaTJVHhXdDUU1KcgORQi0wRPHQwr0 -J1qO24Wmq2K2i/oagV0Uj+ZxFPMuTnjSN/TbBimoC7rdWL0yr/vFaQCXye2g6eb2LL76S4d1+prs -7TqO/Ov32RGNRPZzTedbIXBJXjTpDYhMhCgxfu2xUQNRwJC9kujwiRkK3g4mmeSShzAeSf7lKaee -JtaNPg7zBDmK4ZGG8WLco2UU4YGLxjQesKW4pTZi9s3D1+QXX8BWdR96MaMye2Ymp9jE9UdrdmTa -X6d2gbJnzMUNkkpIoDi29EoitSkTqTl118PapEJzhrBm+vKeEoc0nPsS2r9v4v6RhiYtpqF8gvkf -cU/meWlKDBNYIulQYM4sPy+3IXQvHrdt5+9m04Lgeo6OAO110ZdfYpUbf/HoEhXBId2AHakvS3XR -RJY2J9NkdrOaZoM7+A8Je3dUddPXgel8A7jm81zyHT4irhJXACFVad0dVj6uUoxMnaiHM4Vtg4d3 -eT5Ajx1YrQ/AimhFyUIA6GKDsfpfrE3QZ6Hk2pkOgmnj/R812kGhHsNZ9EGFWqn7nj7soyR7YTsF -rffEeYA/K6WQDy8bSVz1kCIU8qVIQhWYA6xECF3+FPZBNKOoX28zUep06P//B+N3yG7TSl7d6/Rd -u3tDoEl+6mib1BnAdc6dcsrqyGel68Pxxl+jLY0YwjDIWCxIlHcgh6W8uP9veW2jqFH8uiJsvfIP -ru/+c7w524cPF55QQY4rDzeV2V2/bVPbqiqhX2FMX4mEqGMHhl6L0yybliK2EV1jk7SVrKEAjLEc -//cdErm8v0zJ2MMK4dqeUOh5kY+5nfhiAI6GxnLevssQlq3Ck+QBI/23vcD52MUKd+GD1F8Xy3bz -J6nQ7YI6AO+F/Ynhrs6VIEsMyBnmIJmyIThNzfaCKNZkTAOSzwCXyZO/lmzW4kkI1RKmVYIfJJnD -KQnNZ1a15eoKYAkVRX1YOMAqUomXj0ty3XDpNyDIrADsGPCBUVHvhVfLwOeY/mQZtZfrcCGS2nqK -zUng3cR2mkbw8xEvynjstkcl5ZMs1JrF/sVr64KOrCRVuq5orkV6mOvq3uzsSjwfIfGMZlSIjYgX -h9iMwQw0cSafu8H1nJ5iw4Y5tztBDAQTq3TjYFgVdSIpqyLFqOjV/IgAUWp0cpfTEjr/BBo5cKCK -Q8/S+GnVHzs3s54h1Jy252tY6b0eef/Ytb2+syjrACUI0O2pkHan9RssyEj8gQoz3j1jSgaQ3sXE -rF23tdJpAf8wET+J+yJDrE4/i+ZNEuV7cJflo/Py1gUlJSPj5xlM3ksfLw7ga7iPLd6yWaJan2qI -fZVORZ8igMHNeEGtihKwKoX3n7QsEdndtQRrifw3jRT5DNcFLMLeilo8kXRWsNYY43ZVYUG2pQ5l -UhmUlrVgtdOTd1yYrwFvBvVuVYwNIm8Z8Q/pHcdPh5E9EMlkxyNKOYcSXLZtIEseV/t2ygbUlTgg -XTrrGGfNSagtl3daqQgQO1DmZQieEMdQpS4B7dNdSR1BF35/WL744ZNsAiQdQhYeVWwOgbA588eN -jN05Z2RW74FVg6K3QjSaOufApUDSuVYgb4quBaFfFjwhxCgsX+imv9stp41qnf5kknnS5nPjC1Dq -eMk3oWBDxyWc/ZgY+kNSS7AMqYam6XUcVfIEVszp+W1RNsgSl6D9c/kKdsC15cFxj008gOySrIxJ -5bc5v8yDQH6/q2TFzoBXlBdbHgUUrYk1tsAp1I/PQmefHSbTg22JsG1ynaaVNOObIfXaoulB6NfB -n0FO804V4J6Y4xqqtX1egF1wsw7u+9IrRhjFWtvBHKTDKABUUdK3jkwJ+iNLayxBjXMKHNpu47wI -qmICWrMbmeJprPvVilxS4ZxnOSf28uGYquEGT4LzPkQ7QVWTfMxbAwVv5x0es8z8fubW/kThmKZ5 -7BdOlhtXtHIrNdiXtb3whixBCfpfd2hn7fkQJ7OvMZXFE1nblirm+StgI3+PENwzWSGpJKa5l/ts -7CZ0yj02qJrM4zj00glr6YqP41Kl15uzb6AMRh1gy8mk95M6aSHHIIpHiyYNeozgndNhSR06YUM0 -PGxLFWGalNiCXEK/n2sgiIiJsQvHLMzM/MnGP4R1pPYaRHWJIGXuEc29IuGPXzuOtyogAuz/NDdu -z+uqrtOpR5ZY5IF38DPbRHBvr3xKZ5h0RsBiqZLVUhY+dnZIvMstOnkS71iUeZtT886Zkcdgxcbh -uFnYU5CTAOXdoD/sHJnlBD0Ja6zIqq3Bd1hWe8oCR3iznXhWiJ257qjo4RPhW9BSPU+2yMNBe20T -CkSkY3SBDDNkQgL0sEUx/M4JfPEGset3Js0wAxwtas374bxiKmZcUDo7PDgYmaq8HxYU6WfzHsci -oMUk13P9jLBG8AVK24gPsygH+G7EGA06GeqKPCHF50MsCou3tHgndrBoiF3gAVTew84V6YokmpB1 -M1O4PiwwRZJOTNot9phc8UMcF9z+thrFV+bdxfCjvCTDlsU7NFrvQfOUS8+CK9Bxgsw6NXjs3XU/ -6BIo9FClvnA+3NYCuMuvvmzKFPqI4gTID5XAJKg6cbWzAcvy2edZqWQ7vllN8fS1kbRy6GKzF1qh -3EkYGcXCKejy35rkD+BQtPdk2hHWzjLS5sbmo9vzxYhnD9K8dc/GXGyBQMa4GLL5vG5ot4cIZqD7 -wt3NIMXmyCHUTtonQclwkR89htmpwtSQM37uujRp1iKIznNIXwuJToL0hHML+CdlwgVQicYVEdo2 -tGujpXz4RslDw0Nxlij609Qw+mweVeurkWcWmPyXeNF/PpkscagHkvaVEjGlSeePlw+MhXGfARh3 -pDqdvnk9wvkIIhOClM2Y1gl7p9HOgCRx8SYS/sWEQF+/IS9IAcJ/b8H50iBWUgJjPo3ElMhR709g -Tn20hilUTi3z41nYTX9d4UIwov2ENRQEND5iIpnZ0zRXq4q7YmfB88SZ4Legj8JxjqPxGVDnCCol -HIP5XUNmc/tZ/EM2MMJUP3GvhVheMoY5sWmQFuew8a1KvA6+QtXPqdiLMp3Ybk3jiLVldjgbPs6U -hBRX8+hS9wTnb/JanrDRwg+B1tF7NSM/vcLnJIXlpaTBThl3P8kA0NdKG+TqfYwKNNg4FpqDEtW8 -ZtSGvlf2SGjx0VkCYtYhwfb9qRoCTnGAoKAo71wTSN2/fm73quJRIy0aTES4l5D6idiSxFLj+bQX -lXyfTVd/zjIfQ9yiqijrmQI+By3Tgw5OrY1vkiUsOIZfN7dO5L2WugKBj9NUrbukBlufrqoemnLz -ptMykbwO/i8PfRmyAsDASWSZBe0TBbW5teKzr5zNAfQKxZd7XU0GOKsUzjL/638/6Y/NyLwCFBKa -4uCevVh0hHMUdM7tpHfH2fea97JMOUD6HZRZ/gMQwwIHt6PD1Nkw/GRzHcQo8VK1TxzT6KaOb39U -cvLsApb3nWKcR1hF8wjCmpTI2YLuDf5Nql7ZMdVj6S0835/wSBC5eBp/FmAhvgwi9/daqW6tnbFP -eF8ZoZYtz+kk4hkMKE+j7Hsu52B+CDK6IVPsG6z6FvYhh0QMyoZNQd8GKIYWIwC9ED4yLkQzoLy+ -7wRSfokyES07nkxDqmqN5hbErq8CxHUaNoakReM1ar8Axh1BQWe/9RSchizzkcX3vIyuuPkPMyeR -pJ9ALDKYbFE2ErScHeefPqeKtde8kOzs7Kv1hQuCpBMJicQXem5wiktaOdmow6X2FY9MckJ640Xu -67h7ThsGoCVKN97q4siPOoOwgP/D6CSrjHMMl7dRtHC+KA+7y95n5FzNwB3MW7CVqaa0Vjrq9FsB -JAqaguqJCYE7j41hh+fqYs6j7xSswTUpCk4fbCljIE+6fblWsTZeinq9/XnF0XWSia7R+y6GBiQW -H5C/5mqjppKsxesGOdaVH01F0eG8/MOYm9ZmJAkOFp3zv+YKCn8YSFJkcGek/fOKUdY7Hyl6ik2S -vKbPXX4IuFTgLJIBqlnis/eQ4LjIo37jUrDMRzcK7/WAVnq1o9itvb0dYBD4AER5R000nMNOK4GL -WN78zTLU0+Mldb0uy2idTnvTlygOFWhGnFDXwxC1fLywsHWiYbzMGD7RTMKCRvYHJKc70Q1cUDax -SG1wK9GR35H4UCxMA78tcdwqpFaz7ImzTyZo4VNhN/vVxNiTbGoCxn6vC2pDd+syipSw1epoWX23 -3H1iu8wWmKUlIA/eunV06rv82Y2M+5DkRb4wds+bf1GQ6L3m4XFy934CadMZkr51e15sWdVE12z8 -SgYYNS29CLsQYAlafGW4AkmGdcvpP+ey4fKlJei5s2MKEFZypyxDV996JXrarwhiByLItBMlbS/A -yopsP4PmHDceqjc9zZeY4LOyLxupShpdOZyw3aTDuWV7IYaMtUBNzjJZKOFBLJ64c9vUIHAoHZAV -YRCkQRr+E8i3AuR3Lx5oRY+AaZBPLAU5ZXvPSeVhTdQSCAlvtgShP8f5wjpSh4EHwfjRb+YYRyL5 -PAiKMQjpd2xiyhSVjtuZyXUvyyZnxqZUZfZ19IWCBvoO96hPe/OufzXnpryG9HxHa/OiRidds8G2 -ulG8jg0UAgZpOhkzLE99B8VmaPndARLk+sPyG88eheUWexXCFF2SPB0CvAm1qHjSifEEMDKH8pdR -LzWy4fikkb+RsFz5N0aFgLygegwnYCgrIwqkbpao4jHpOxYMeqcVJ9fP12hLc9PIMTq46Q8j1Mz5 -ivZkHl31Gy3sPSpjQKJ+F7RjQ35mfwj2ceXA7N9RAEc685nwRctcdh/+S+OuS5H7ZpcIT3DlRuFW -WgUbGwRvRNNwWwlxKG/ukx4PMkxJt00XjdUKjZmt0KwWi7bmqiZX/4HM28Nu8YsTIkLf45JHVK1J -ztOwmABjy46ktSsu/LwHi+zjCUAqDljHGVqJDT6ISi7Y5/GxYxYTcV+CtjuYw2sLJ1dnA/bfOgxS -y+P5TzLE7Exo1i/24fXRjTojGx6VrVjYujCQvTvmiSLapRisZGRDYQcq7BY5U+85pp8yI0kUSNDJ -eL0wIwB1giR3HhoYPNkj4knEzugY0ppmEJpco+pAMT26AI/PQLDnlE/XNSbAF9etd4lKsoN07cbz -F7Zb3izX4fyNRnyOM5xnKR4iHtQFlWCoGFEuL2PCCCyv+oG4mhm7prcNFmLPTVmFuJc5F8h9tDym -IHL2st9v3yLjVrZ7JUV+CY+oY3dqQWddM9xMAJTJz1NIelx+M5205CmVQ9Oa0zqofovWqNXnoVGa -1UUkpSLAUG+/15GX/3MwaZ9r7JllWD2NcpLrTI6J6SEHUUGHYjD9Rqjcstts3Rg5RXc76p0CS9E2 -Cb75ORnm9GnMpoHpYyiQ2KXdId21RW7l6IxisZWN+lCTfd6uh0wSjuLRcBDvqa2u9yexKnYq/BWS -2NHTarcGBO7BcD/alCwa2MBvYfXE38gaGwfyMWd9/jgG4mvr9sA39Zzv6ZMX1NRqJrEcIvuo9cIR -3NF6SuWvAEOhtDKJvhZgxNfFZnd1wVUASe3xGnswetaj0dkEjLL2rgWHWVmHEIp8pOXFJUJ8NCOj -CzMfNz/YNnXU8GGi1jHyG3gW/gg1AQ5UWrX+9qffnByxErVGg7brRRYLqwog8ygCV0kbYOWhPqZM -I48aQ8yVDpn0CilD3O4a0+prIl7QXHWyTyhEvxjV/obGMxGGM8eUADA5xlkvSOiFl0zhIfxmKvx2 -Xj9qpX6PFs3mWmopzPrjtBh6fNBAjtAsIdp88tlI1yBMQxLFiMoKsI4D6VR8FvSMCtPHrrYYbop1 -4UGAbxfPgZ+LLX5TeXOUmhqy1462wIImVMA6hcS4/P/F0NVUNrjU2a3dPmy/FWCkgjzp4In78hR1 -/xPcJH9obeLj+AlYsKOkU2gRw5UqIWzby362e5ZlvbezZOXQDrGf2PhP5OpCIUp2Qm+aJ+WXUZqV -KwF2DM4+l2H7uqHHl39Y0XvbcXvkz61UVmIC3dRJFal/gX4u4mUebUUsTKUeoBklzmGzY7Ctg8kf -u06ihzCeAg2BiXpQmxpAEW4vVgSn1M7b0iOBG0b4aCgcgXFL/aUY4YdopM4AC5n4OQNPT02WshGk -rJ92UL9jBMRfzODa+Fyka6ysfC6EbjGQfdajlxmuGwzJI5HOStdKsPo60SOKJ0Yn/Y00M0tCg2sO -MKoVa3u8V5ryXCCuVKECA5gPajuuGBLRVynqCPjbHcjCJq88eeN3fpuPU7Q0gXzWZM4FdIJCmq6B -4mI7Goyci9b8VpQH3KhEByoPjgunjH0zOTGxy3DuufEE+LyXwXQLhxTb7OAZUjDk0Rr4aynCNL1G -hzkbMu/UZM38x5ON4shOgUGgY5KklLYnje/w0ij4n8szRFlkCI7DYXec54cwNV2jpmZD5t7y8hiT -/NhCPh61NdF2hRSObmzo8ozWOBksjDQ7Boq5QUjjRJq2jyLUOr2IoxFIps3qqtiGtjzjXheXd++1 -UctdZ7mK5yy7S870Vx7hbK5MeFYuZ5S8hQh4vlgwdQFPXwI/vnZi369KRbsDOPZZtwa7YQcTEQDN -6aVo3UB72shWGHEbuBf/cp7EVCCfOKRtnUMV3XPtxGDSEA7Dd2cH8uiESvtdC/yUG8JHipl9b7hv -+W8I456m+K6BlVmoATClLlESNisswZk82qRI2KgkSKMBBvyMpDWH+iM+HQAzwp3h9Sl5VhtTF0vh -bNhCP1gzkosF2Lb0cRVGTwV08uhzub3sOXRrJ6St/qE1W5tTFeSybrXMfWBU6DB/FQON0eejUd6p -N0ZPt41W4H+vJ564HE1caJarLUXDnsWKsPf5Y+eWvHlVfnzzuveSIUb53D3863v6cPXyYdXX1ZMR -M3cImwU9GQhZ7Tw6iaeI7MfbJiUEU4kFPGehYRqOsW3gT5CCwqy7eQaZHazHVleFu+wbQ7LpZqBa -r5K3LLMVhzhUq41BZCYEhNclJ8mQ7uGSnfeIFjooWakMTiqincBZZaIl+3uNliVmSol1AWn30jrP -LE3nDZDst0CNEiS0/L+wgFy6GbT46DJGdfc4XKfF9e7CB0xHd8K1W71tUPyPAAmKzToPcTEXviN/ -pKECfqTNFSIb9/XDrNNgBMg3vPnu7uKXo3hGT0vDpU8DDOCmLkSD3u0BZ3SVvGJ1PI5PsCjsiV2v -CVRypptvhsmfSG13Rxdvt3XGTfF+Xw4yWLoeqjhC/0UiFnk9QCisl+CJdgUjNVvQGSiiCJmYj48b -sXHFfPg3E7c78XLlMJEPOMvDgu8L4Xp6+YIoSycRWHYu+FxWBbXYDZg2zHhi8XPLUu3uWEFgHfSE -vyxjs5Gjo0efwBXu4i7elQq51o14kBlid/Lri+kLwuv4eucqbpCU6i9GZ4A7NrKIc9YGOYwQDcAR -iC7rFfrCqvHUNwYl2mIbsdWfDD/22GF2kORKrMhUfZkNdNu4mISGKuGyzDBovJEIEy547ZQrtjbx -SBPolqQE8uS+0Ibw5m7FP+g/2Gm1oqc484PdIrtHjI9/jeSUFmiLB738dv0ZEtmVJMTEitZNXaY8 -B0n0ur55s2GbZffSRg44iTMzVgccnnb72GT9ymSN+zXn1FFDCSWDbzGekoiiXt3y9pf78pF3Qsvl -sMYyvTVLJhsiYeTnMOoMF3aUuIqgaB0+WhsTzuxZ8qRn+BZqYu1Gf6KN5nkKEyrS0tnwdXXx8IG6 -VW1dVFwRi90FYQZQscwBBt+Mx6Uoc0jWne/u+52TjhAwAj4mdUXq3N35iHDn5c48vxp4p3RcEBcl -ofqcOqEtN+Ut8B3iGan4Q6kfZj/bPAqJvBiGOGCrlneATReQXY7QJWOTex5VllgyImhyWCJQe5cE -btidMzRELTD4EAAI67OMTJe0G4JbjLEa9MJ9fZ/QlE46UZmj2wLq7pFg4lyXQtsVqh5cc1x7xS3R -DALcZ+Gd5XjfAQKTGByMc2UlIAUionkvKvF5bF54B1bfsjdnvWjSGoY9QcZPGEySX5RkLZnz5pYe -ZfbHtQUgm3nh7iCkE337HPBBwR+n+FSxm7OA/dkl8AoOwvPgRAKdPaP0jUZIxyuKujkrQN8O+NRX -cZvQNb8xtMrPsP6yI8oDzJWYeeFOJg2ar2kwfBGtzzOrSC/40PHgGsha/M25Ld7RBDQqeNKtCGUj -PBZ6ayb4J0H/fyycToHY/t2pVRlTrZ6Q1bdmFrgIbEAXFvQZ4S6GMZgatzY/1jI1aS6+Jv800jGO -6CK0aCml1CuspPusOm7//TPzWFB463Vjyn2Dk3ZX3nDkz4pKR+qkHtRazIkJK8beMoQUdYuvVibd -beSMqhZDGozLMG3rIKdxNzEQyjfdjKyXILwzg1IjjVSHhYkfmMnWdzYNF+0vp/BRoQjQmR1AhQXH -mogoC2OLpZVguuP6LbPJpAlVWfpTig2d2xwFKb2hi02NiXAZIYO5wn0wp6cUwoP3ith1K+u1FzTF -sPbDKyBWPhKnTzr4QQDJibxB9+w164ZfKizPD2tQADUov4UJyngjvin+pVy8CZJDn6qDgn766Gv1 -impV4aKDnc3s2BzlpRdUICbbd4tL45yL8OwVmN5+cI79q2jhf9UOeVdU9ijSkD9o0xQRtD+kaZ98 -weE63BrkDO0TE3GhmyhosJq3E6Zk9fG9OXVNR1sEhjxhFsaFekA/6Wt0fU6gFbTmZgG3O19UNL2t -MCDjWnKBuaPW5NyB7I1EyxMlFwNVpBkpeRFhUzWHHdRHoSVTCM1gwXhZbmUe7XCzOCmP0uZ2wFVO -RqWbuGVA2LjuR+sXukpjRqD6oLJrsiq8hQSPMVfAm3pKlpQmqLlCWb0DXy16li85RSuChmZOVoU4 -OY6CVnHV4jrHISbhc/pExQt2XB+haQCBzpAPeM9cdGjZfLWtx8Rrnj5HKSZzxVR72782laREqp2N -BNIBC1baSEm7GMKVjofpQSIiKbst2XvLs2njSQoadxorQaFA62QgwZ5c1mZqbZv4jZXva67A/7YM -GqncutsldE55fFZrkEfXOL9JYwluNWk3rr3/Ds0dpgDtAU3yOoQF/0TFr4eT2fx3iO5VEwEzeyfz -t3BTRsWF3g8cX2Gd7bS2IkQxX2AtwpBvamyB7YVRHHUwghvbznGo07y0libWr/sS8hnPfkS3cCPq -49n71W81WmQY9mwKb1VtRM0JzZDrABaSydKMcXkBImpFioCdLSjwzn0F7lRzLCBp8s8Y9j8waMvK -T0FZsXn3UmkFm6+991InP/WkgdY5NBDb3spj07rB3sdVGRFRU2n1WqMCamPw8qbbloDqybAu1sTH -D30u7QRy6nHbkyivVOHnKQeqF6KRmbgCz+x2HMwBONPtnMBuw1HMwfLE4Lp+g3UIlhvwpBwe9UNB -VfNkgnQRnZEk9GMrxB3M24eTP4EauQLLeOxi4XZbwatI2MtIrvHAGtGWJYNJcixTsgoO5SubZX2I -LyoBhL8lhLe+UDyTr2HByT9DnxlgGqQVi6yK2KUVbiDAxBbbpkOEmL4aO0/Q1OQQ0IxH7vkWt2T/ -pb3bOBe7PKr6zkXqDT1DIr+fh9PKHDnu0gOP/csBdZVQuOvtsrOdwYg02ML5PfArOLpN9PNz7ztT -jUynMofnI0LGfAhFBpnhL+txr/MOXzU8mpEMeCHjsWeCabLwrlL8qq/nzylTR8xtgNwq7Te8y6E9 -q5bOIMOdIdX3zuX+BJRCwFEuu4FyEMizn836EUvTuuAThMnbHGXDmu7FJ45BvBvFbnkZDW+UjahD -IQCMOxhkFP5R0tbJrCZT8vzIJWkUC5rY6SwKSaj+/6Otp9gRom2FS9cawsv6jkPjpO2kVC7+zqTA -66y0fOhVsAPjCRfzWjWU9ezj7jRowrfynedoKibZnBnYZDL/nIXJow/ldnHUOe3CwySNQKuhxxLQ -I5Ye4dqwtA5ftTuqZ++0Rm9wQ9+/y712fafaGskvZrV9jHHQ4MTKg5zQiyjoe+S0AYYthyl4N0G4 -IQTsXZxcrwae8xM/MHUXuUI71p1Zps7y7+d7IB3w+iDRoJdRj1SaOVazsXE81ZfE/wykkou/v6tc -N//L4VycT5Aa4YitHgpdfmOFXGmEFFHNV8gzgaqHnRVk8v6mbcmphPQppkhZtKZtCyPjKPqV68Ea -FtZj74tNzQdaBnQD3sMU9JW6Ra4s3VAwMCJlWNx3SCOSLBGfjmg8gFVeMMoiBLE3xDwvKZFEXLbH -6l2P1vvWOrEGfWzlWhvtZPsMvKOVOCNnsVPAuVQ5BIQgtXrEKpPyqmQBMCchTn7p32+42GoiAmZS -92CoqhLp6wJsxEmnnUAcwkVSlPEFize6bX8aaTI0/TG2CX/Ezs3LiPQbuAUJah9lXoRacOoUMAE0 -kqqdbrrwMLkhSlQJN2/PBKpf49m3kG+7ec2e9UhCAgaADPiqXNVKYPAD5YZIaXilCvPsvCmubn53 -e92my+u/LKhgcqZNXj6AyizlRNMEUfl+1Dvodcbzor/8+pf+TqQ2BEXE3FsafWLYwCYQmMfm7PmM -vrEq3Lxn1yEGfSDwRiuc0qTLn6os2H9gkkRw3sGuK7NK/hAb++scWFzCRG9CcwbokuN28KAkUH1E -aB6H7uWH6cdBTwYeiZrjsgK3oS3oaA5ZKiaJY0HPQ7rQriA5VuiPUXW7XYfZZVrBghh976oRPGTI -wxdr7Al07UZaWVzf8u4NskC6lTvvigKBnJexDIf86q6hH15DQG7uY+Ly/bOPSv3Wmg4cHiHz/Z6A -8FJT3mfNpzCTgl8vUQwP3xTim5Jrp7CZ+w1EI9zsRN4SiBIAChd8gfty+rztTUC25kmsWrAQldHH -LFSc7+A6ir3NcOWWxokyiShEX7/Ncoeh+HIcsY6IpFsAIXH7x9uzghcIhBzaj0DgP5RrI7Tb2FjR -A5i+Admmd4aLl7YFF/divtB6hBS9gP2TINlgym170tgwSLxJmmBOyYIfw9ctJ33+9VivTMNk2jXZ -/YLJ0RISQGGuOU8ho8TQi1xGaPeS2D5PmVtOtUCGDHXdrVSevAspWtdL/VNcpFoX6FdcB6lA0IZ4 -1vTtUqG4nK6vqDbt5vUBUK5nlUO81MVKsPGsCmHdgKNaBv9lWjFzrybBf4qwo1n/CamUoW4Xci8/ -54YVz1BdLKKQIn+i4eLVSwFGJ7oaIofpwkyiepJuBiPUMcGey6BMxZyXng+eiwjYUKF/avDqWRuL -zrLMB+HUfaHMiQ6U7YDeViEPbhbKPwKANMa6+2SzsgjsxGpQJIMP9tXYRUB+/dz8Dur/Gi8z1ZRS -HJJtgdGXIIpo93aGTq4rLvbyE1MoclHBvLX4su9Mjj/VvasND54Gy3UH2NrWk5bae6tvQ82cO9hC -/QotT9pi9TZbyVDLeBQar6VNbrPe7wbeMNeb4xGiNffLGucyeDYsWIZe8/xX++eExWJfLpliHuub -aH6J0iSnqepcPYJBZfkACEVH7HaOk5sCC2z8r6HiG1mBb6Wq7dO5S3Njrn/E0I486HMVk6VEgPZ+ -zrfJDuOftSMp9rTPNM7q+UmH/93NbC95PDWyTJQZe1nXfuQkSViId1DSDMCFHqfsD93fkihc5xl7 -utJOTIbUBemQbTqR6+Mrc/L6+PNhs3KRbzQkwcDAchwsMFCjwMRG+gVdNHV1BoBJrGqAgqT2ETJz -lAsXTQs8FQV+z8096RjXuApcxwEKJoQGOs0ORP5hJEajZ7inzAG7bZ/cLK+t0RNdrlKRvlAC/Gzs -pbEsCIGRJKOM1HNX5c2NfZqc/jkJjb8IR4MM30kBL+qmSdBBgpAIibdwGupUbPUUgYJ1B3k0Z384 -VwxVFm9EJSyG6RzvJmPbil3EW7VfHwzir/T8kzHqQ/InlFwB06ECCfvrwKKQmlg8hFOCyT8oRh9F -JFxAX+Me0qkt2N718IdDkLv7FARYa1S76+SStb4Wt66DR6JolmfdsXj92zI9EuFb7l02TuMxRg4M -1hS8MEoll+JgO51ld+GJAU8zLMHG3zEUuaPGJ6MfCkrd7k+E1r7JOCUkDm2pXrfPiaGYPHh6K0yz -9hhjEyFDosDDjw4/3B1ReugG2+SZmdZAYHHlzj8k7KG9mh7YbUoyckEPUlnhsr2i7Tfvpxb2y4qv -3nhb9sQjOIAiU7M+q0yR5dbOJtVmqyXjqdfwPQSTZLZaBHHyl++bDmL8O+VWNUS/oGsXVpZx+Lpb -aRr2HtJ/FSnaKP9uQ0+UvR9YtMHTLIoc1DwiSf5XEqEKoqTMrXXrqVUC4v0Zcr+5EdMIQr+YL87B -1wqv29/O94gs8oR/fig6G7/RLZfbr33GwwTPMIpFi1pLJ7Y6z7r/PLCBHeT2YUPHxbo1cnacw6yr -HYgz3B4I64MxMjGYOponctucAIiN8i4zThOEPWrSuMR07yeZK0olj3ESB/+mz0Q3OdQGmjxuqUKL -T0JbDkgjGyM56rfg5PFwIPDRBPsRcxprufX4h0orW09c7LsICbaHHWfGTyw6XFMP/CONLE/+JWzx -zLFvrOEDl4Fo1ihz9O8PjNIdsjKjI8wPzcn0ykiESs+KLDUGZb8Ub3QAv+0Ep8bqrWe5I5oCMsoL -WPVLWC9wftCYLAcZhwXSv1mGdUH4gpOfCWZXqOcV5J77KhDt6fUpWwL9LuJdwnP0NKeMciNAd15a -ZtGiRTCRibk9G8DxLwDvSkDRL6/5KxMxjy07ihptDBSKIaFildVUh9UZWvJzjcS9H0jkbuy6G6kX -fAv+mqH3k/qTzA06ZCqiWRH4oH1Hj/AxTRzQFuTlnGeU8mGMqvGyVC8x0DaioyDFSOnHDk8Hjqdh -9BBI2fDerp/WTYHuzkw8E0kukVm9B/n/NjGyCGSRKOSITsZWCHr83ORyo137wxMFz3PhaTd0iCXl -LmtJ+R6pR98AN+JcGTjpYBwlGajztHiV2+7TVVetxAMcGaILY1qhduHxP0o+TI34XN8a+mOPCFoX -pWHkPLbJjIoXbYjsN/TCB5JhYOmCGEJTf4Rh9UXnSO4Cl5tC5F7RNpR6bvN7b/4FpT3WNLvzzzgE -OfYrVGeRm3ERy2k+n2A2UT+9Pn3L/F2eU8uKQd6afVepE/j5Eb8sao2bYgePL6YEZRGWYexVveDp -wpPCxoKcxtWGjEfjvmw9J9rIedLLTmtcIV6hXW2bb+AZXFxW6xLoekYIcHTBeUx97dVDVhEhHpiq -dCmR0AcwOvoqNsn9T34V1d2XrqsHUM74pr/CLdFy6ZuNHr3up0eVidmKe22vmOVvEV/tJ50cTA9n -1Wlo42f4Xq6Au4mHJxVNGc4oT4IBHuOE4hEPwL7dAzFz+y4r4fJ9tH5gND7U3Z0Le8LzNN6unrGh -T8zYU+ZC8qxnXYM8eRLZ5E54RsTW505V5lNij+7q2NLGYicEP+uH+XuOodAGmvqRWHJN1uc2/Fq7 -YjvN64aFkw+runCFVs3vYW5NndpV/cp9slR0aB80jbx5yGxqj1YKZckbwY5y5dvK0T8EYN5FflNR -eJdc/kGdR6lIFqDAP45ybdV0lTLaBv2NVmsCvhrWDLvSBB1bV/VhI//rWS37mcHgT15I+4ObeyBm -/11ovuwBWLBGO8Tau+vV9di2yFwIHGxkHBoqwGaFcFHMObHJ+qBQ69070kohqMMoa01fzqLCFXF6 -KLExZPZ1QLw86QfvG/Yae31byqg9d1VUbxR1M2QwggpdEFyp6IF4m0Eos6JfnS75lFQGpnuBk87e -Ve3xsvEL83d1+xXFhIV86y08thkmVDUAJMrS1lNMmfvEMFOKorzgXtW+pBTUNgq2ymt/MOi9yH36 -YTOqKq9trI0Qm1JFF4ADhVzBEmLmx64dX08enOAWBn79O4SfG/15Nrq6sSfpaRIpjZhV0QxYdYFz -dXldbfaFpg/zFFujxUg/Wl3Gx8W5Ermb46O9LUPV8DFetUQTASs725gUKXlagJHjWieBQ6YCrVKf -ebZMRlyk+oT+WpsNqA/ajkhNBAG4CVulAhjIwotrVjU8bKsyrddwQIaoRYkket82AgvEo2+OYyB2 -wNfJ2UdePnmsO6inAvBW6kuqHlD65P3IVMrSHxyEG8nKgu+YVveFZbSn+lYD7OBmMMjOW28jzhwN -SQiX2ynpujSorYEpLysfrEko2cl6py7I/XRER6BQMo6V2NpHrdzReDDmbhP+KH4HXLYK5a77Ryno -lExtE0XpGaaTKo3dD8ad2XChilrV8uc9jMA+DU1K/8iYDTYIv0eqgupD6gtZP5sBacfu8Jkmcurl -qjxHgjDjdF0sl6L4d/QMd1+WO3nCclYehFN9YHftyyO4D0PrBW8DZyd3F/eNVlDXoPgEDSuJBBrD -2PohMbmSDsA6ytDE0Gbgh3BXN4jmHXjda2k7HH34fw38V87dhbuU9Vu2p6P6/Z4+AbzutJLffn21 -ieuJ0ygXn7jnuTI76ZnGr9rfsdI5BZUP4eeESvTiIVfTXR4RdxXJ+PAiabVQSyNHW/o0poIpKJbi -qWZtUOLJObo6QX6dKqISuXlYr6fVHbzObD7IQRyIYuLPEIwDXQHknQ4xFjAUfyS1qev9iBVYYuhi -bMfJZfpTHQb2RHsmQCCQePrlvbn9tgpfQqwVprf49pv4vxOfhyw17ydDSZL04Pk+OU7AP7FU71JA -YvqV+LUxhM0z37VT04qefLvPRniSWJatwRPTdVwp7UD8sLBN8npY+sNke+AlaZZS391vYGgAFydM -lxGFCcLUPlJL876pIlaiNAQGc53bO4OjFudQtZNp2nhBfJnQSuxrHcM/KZQ0215w5CoSrg+0om+6 -QYcuAJkC1gVYSVxOriltsKRs/Sj7OXjFqjj38xe/PVOxydYf/QckYvBBp9ExhIkK9uW94PiaA7Go -KyQFlrNecXHDYWdMEAJZ5jesLx2c3sVyaKOxjb9ZyJifFL2864LQNzv7okpf+zUCYbmBRd4TtcW4 -DK0YQLbiYGG0mMdXVqy0yS+WblvetZa6E7n7On46uP8OXwE8mbd3OTZfl2+QAOI8df6nw3IGzXEn -xpJ4/tVvoi5CcZDqdBB8Liu667YT5hN52ZA8Su9hQgVRD1h1MhzmyFqU9Ka4eXq4akeH5k3uEeXa -vLtkWKqpvRzI8NFw7+HAVtyazvUY3rmV8MR8rg1hZA9BDEMoQQaTleUBQ/Mp93fLuBDPUH0ONNRJ -CH5eIRjgnB/qwsH+JfZREH7CeonljzKgvgu/e3BjJqaIxfC68vCFHqsGrNUzWwfVeYH/UCtzYuSp -az+YgfueQuKyaUltDfTP1P6Up16T9t4qgi/9rlzPoUDbY9HiSvSApDV/+Jj+2la9u3CUW0WVPRma -cYFgzYysWvyInm7KeWs7glAyEONTvaAzdaA1XpNcNBswL5ptdqKkuZVjA6YdtP8QuEPMjicPih9f -qw8pvdvN71YOK6BcdXEtvqHtwZDr068JP6pi6RB+bbfO5lZ6ME3ApiKvSofmn3Q9BiObbS+OzNu5 -3CiKZQP2bYaQ/V44bxTdTmz1ccthQgDzv7Fe5tQbl1pNDO2tRITPncosbydvyaYDBb4rwak1OSU7 -MYAUnw7n4ObG6UubKLX7ZAZFGxwOKuEYpIK5mjo0X2wzyKsyWQIPa3dBvzeYpIEpsDX7qP67J0iP -+67txqoY4A1ZkeMs1e9FOpoILEkw6hwGrUCkF1FWEJJZO4+OECZ/GgjBboss25Jl0QYHUm3xQ9ON -aj4ePnjncMeCZHx8+Ai5D4+5w3XsNQDm+dHvGKnhA2J+ZLusZP5Fvmt+LLiDpKiWXTAG7ZbdrdJ9 -P9cP8tZ+fDxNMnBkFvJ4eQXxIV1tGnikHikq4M/dGcLJ/nzhDI+a2bH76a/U5T6Y1JVTiAOm67U5 -/McHefKs8QkLOBBkmZRDBrvgwbsVs0TgNG3LBo6Um5GMK3OAoTbO+xvI5XuQ79DhkQzZtzPxQYv7 -SOiCYITO6U+p9I5pW88wL1wlFdq/6LvWIKKIF7090Ev322LJpHIthG6RJG1R+zM2YxduFav0o9mL -FX4DnMKWdNY/KQoOBLLv4feJZegknNk6i+/trC9Rgt5vIuYOWRfCmMpVB9MmEYOt7ZRmrr46/bBE -nS65sbVqAsXNvSeEGVr5kIpE0ILTpXrIGtuiI0lCJoi/GeqPJvxgLBnHDHx+mf/kG90LNDAnJHPj -9l4CgKI/rXUKtq6HisLdFBx4ez3bNpEhZAESM2ByJA8NYiMv8bBOAVJ5xUe9kIxzD5O2t/yMWzKf -eQlahJRgu1fUi0tHcj/sl1Nes8F9WivrU8Jgxsf4lURkkoowiLWa9eHATxILs4f2jwg9x+hRW5Fq -UKQDV4kqLsWBj2+B1Z/A+skB30vHkoKn9rqkuOKUd1790BVq28y89wiAEG34QfSs6ePvAkXguEwb -VoTmhX9KZu00Km2epgPQ0NE6UIx9NM6D/aqlU1oRGz3ZnXTpeCTZKWXlEuuuz7JU/Ox+FgxvvAwe -g+uTKruIYgNmCVZ6Q0twWXacYIJCKfdORJ3mo8nenTUZB1UhtGZN8XlhRcyVPML4e/0pMJCF+7Tx -E3yerRlsWk4Sj2+gFYLrNoILiTlzZAmEwEQxzdl28lLSWazo8tDCpttgfcfw61ZJcfDLM6ShXyhg -QB1k+E/vwdQMH4ViODY6bKX4YmX05itcC/iljVYRoUkqt5z04/a91dl1TxLEJ5R2TKyQqEB5WqHd -0yxygECWclRUecCba6vdPO2cwtM+nKTQnY7Funhg57jNiZABSHqoigWsiohzOYky0OYV/e5PWhis -yFp4SwyqItIML7NTbNqFoEqKFJNFj6IP1l0ZPTEsLlAYL6iJsaalEKXGuYDeaQAsTbTgbNHlZCmK -bpoWpnxGtfhaYqgBRL09NnXXRNR0hqOdVGdIoZFz+6o7IRqd0raTwcs0bHk/TQ1mjkrAjMlhE+f3 -dyAmChbzQ0JKFbwAErWt+t2OIC11sP4VD6seWHIUzY5ZBpTF7LD2MW4mVH/uoazMLpbgN6gpdY43 -wr62FZwnzitsZr2mr7HuPIsHtHZ9en6FmxpvCXJ+5QvNdHnJUnqML17aiqnHgmbtri4fZtgxAFPK -FuMpc8J1aYi3IiWDM5oPf3GM9AgYP0SdHMHyhq0b5nke1GKBvF27UAO3dRLMMOb46z0jlwz103QY -2Qx2dUNGVUEib+zEB26jF4+Mwk0OEFc+rUdk4bARG/YeflIgPHEdEGt1c7UQ0p7lewLbAcS02jAg -j5/Y3GGcindnVy2hlOIbqcFALFtjiNgLySecZI5kJ7eu88TEPDp2XQQdOE0S7dB/Isgu4/jAYp1b -b+IZcUY7mf1U1cgMnVOp35UO1w9rX1f1QTZPxOeRU0tPNCbF+XIoDIAAcU2YoPH9JLXFL1CR6KZl -pUJSNQ1m6JaVsDXtAaE+9OamLiYqg5BLxepL1o+UEID7CgWnW8oLivxcmxQd5AS5baMZLcsNtXsH -I3MXNsacNqnn0x+2i7hIybtapQnWQbUSadNYTPbN5fxHiWOp3qPjOud8StIqWc0+VaBvPS9lYlk0 -FAQUmXwyFwK0C2UCYbyi3CMRxU85d/fBH6LAtiKwDGOsiRzTX4mdUGqOYidThaBMVJqaCxq86UlU -ZamtcJLBiYMFKotEhWiaZwdu3h65zIq1VGp3JT87JBOstDTu0nZ7ej4hPycJFI1h2ElQlWHgFoLO -OWC4ugO1o0XE4PWu530tW8fRGw8W+fDj9On1IOADU+wT0uimfcSt73LyZMjapP/lzuaDzIH8hHS1 -boxuQkL0bvR+VANqZix+vEjtTCyytFG54g/IF2Zw1GTQSXcQH+kCZkalb+TqCoz3S70kSWCKr+FA -8k9Js5JMpeFaTlK8OC0lhXkxPS5AEkXM+qtdtPzIMd27luQz8oQ/9qa6r1+IFJenWDBfAOwxMSSC -W7RtKn7vIESsXbCGeF1gFY4DVoplF6qfk/Sr6KF56lQ58fuZfhXpXO2l/dmpSPTs8MMW8i4D0GMv -7aIx8OrdHqGBqiHFCUwXynYB5YXIRaywnvgFHu+8KgB1BCPimAK6NOa4BzAy9nmxZEk5JxNLlzl2 -yLgiS7QMaISZagxJpmt0tkK7oWmRBxoZvx1AW1boFNRIKYFOXKpsQ/rpu6/4ZMwuEeQGCct8NT/F -tQrQpEuItc5FqR95rZ33rzblP/+9LgoMNUv+QM9ldJjYUGgi/U1Szn1+dGT2y0e6HMTaxswLPLmx -kQJzmLX0JHEUFnEF0ttAErRmguQfb5S9dhVTk/aZ5pBo8hC0RXpWc91PpiqvFZdhSDvs8YYG9jHR -S0H//lAactjxRU5AoLC2hzIzQWByhE5WJC+m5ALR341OlsbBuhqq+nBCsLf5LBnEAN3mSqOjZWVj -er2jOYmWy8KR6Lz7pG0ijx4QDtTYX/CoZxxohEcKXSHazrXjfogkIslVE7r0vS82C0ZzyiKhwL5t -cv/DajhfUcxw2pmWV3yLPEgQ0W52LPsMghDCCTLECXoS+MU1iNWry+pMJS72ZEjdv++T5wdrs93z -d9e+I18FbAphv+egRKNRmfhBYsVrX8/Gkxe7nm0T8OHAcoYGPtPCeDmIMrY1uF2co5varmIdpNvb -j+24Yy1ALnryFt/A1+4RQMwI96Dk8umUCWl01zlD8f+v3saAQ0I8Qax9fz71locg0o40KY5ysSBv -Oby78RGNwYsgjteqrhO881tW6xvFhMUmvzrXsuYOfgCsfdqC+Ns1cc0AVW2CICWX4fhB1t+47FdM -AdZgmpG2PwPxhs+lTmlS2d97o0NPCXP2W6897jjtk7bbvzlRk+sxdhb+MSOEfwTL8WdmPPyE0CkJ -u6UBYPZP1bl186p19E6b9GyM2SAkR4vTl/tncqhY/mJjrHoQDSuQJhbRpz7ZieBL2djaaYfsC8r6 -xGbEePoJaqcct/oY2jHtj2Rx6BJ5x7Vne6Nsu0WTDfdRNDzZmzY2pk3vIn8+XScAXZx90ConTi1+ -MuLSJeojcDHAaJzSQNCUplUOlN+ok0i+Mk8xUWv4N+ogTVXyTf0ewklbGxSEnI6blycPPOohIXCX -kmnV/VGEHasncAvabUyJ42w9WMxUgtoKXK2SIfSHSzpL8Zbze9BfR+FRK8+tJvaT+0Y7wQxKKXMr -ST8yiPdzspstOAHRzJYCFf6edA0BGt8P/AcNADXEtt1xz4FboVWrsfotcemMuGYi2Ean8d4RTJD6 -rr80qIjpyjEdLFCu4IukkRPtQmxWYxItofG4BrtHfxrOg1+nuFm9vrDMJXDe22Fp0lIZUfruA1S9 -cbN8gRX+gAliCZYjSTZdb2kQmHxBokT4kAss2SEfWprR5FyvH52DbfreXGsw6Ois6AE27Y3mp/Nd -A/071n6c4OLSPnHCDlfvwujvg/M7vjBVE4ct0CyU5LpS0LMP6ALhluAqwom9uAitBDKTro5pPWwu -hntCapRGShWSzQs05QkC5RvPptb66ePLz/txjpO5SbRbUbQY2Z9Yf32a+wn9SPXsvyQL6BCXi1Vm -U/ty0YL10Eq5ZaC9K+1M60BTBWaKwPKOBMI48A7CtoBc1nFlUmEP673bf8SNt9PbFgvg3AmYpquM -mzyPZ3x1XTRf6UGl7lxKwbyE00SXEgOsZNz6OSBLfBjx32TiIoS6ETYxlZKz4xkFcmfyzn0RfyoU -hXsKkb0PT2sDRVHl0IkVXj6RdTRK6dR2ZtndxdjIuOVUsPsbyasQcQ1uADuhO8dkFlEBaaKpgiA6 -Q0syHiCODewhGGAssQgQ0fpygYp1Ra54b1+9WDR86GOmhQYC91WnGNAc8djbR3crZsdoQipZL/Xs -b2ySk+cu/E6YvCLs4C446msM2BNkgPynxzn2AOVktPtUEx8tdz/NKzg7dMs9yX9kba+gWoyn1TVR -icEadjeOmn8zSW3aXtcg8Ssed1iQlIBb6ojO/mp7hU5Nn/VcYho5nDPWNjh5r5w08JYOTqnMqfBI -YgieS5DX0sE5Uvvsf1QsfvQ/4knVVigHzCRz3+4V2nBEJY3aWsbSRVo+1o3dw1yzjjfreF2YMp5f -Z0zm/9tWwdE8boqfMv1j5mxfMjKU7FUT/ptQn+Fw6t7AXSVqSG8CPxUcCdlbjmLVl4WDV0ANqU2M -luZRS8Ae4kmWLogrYwDTSJ+XEXJZPSTHiPsqeS9Ng9L45Kj/aQYUsaDsiSW6ZgJc0gWFJxiPVIEq -P2ofFNEAzGdKLUwFEKUYDX24TnAyt91r7hvmRIq879AfWsxcziOV7YqY1qOS5dbZedodKtVkiIje -RLj83P3VcnBbs68khnR+HevQOV6VkSExsHKZ7iIGPI4ZyKD2TaLlap2YWCraPMF+icuTD9jnluRZ -qE7bNNZ5F9GK1GTxp+iAKngm4NphuBiw4K7lj3ASbb+5rR85WiUVG4ToiYgNr4QNNMeDkCZjB3m7 -C0HWvWab6i6Vx9mCcgPds42NjfMtdoGydT7YKvOoRQdFUcxBnrzxTns2omiddE9IKXP6JxOuw0OE -rN3sCwKWyvMvQtlaOEVBikmqWRIC+VPrAIByukKmiXoVb/zd6siPg1ecR2k5l3eLdO2OZUiL1Wpe -TL6+/mGz6zzi8at09A+zSh3j62GfgVEVivsXgPZpLvdIkf+1DnMnkZF7y2fuvGfBFkpretFUkYOG -oDPRKAMAmtmqWrLHYLFR8C7k3oussFkOxmmOAKrLw+fFeAPkB4v11ibqvJjz6+ERRR+vWwniJGwX -MVMfu4QwXXXGJConXgfEcAt5uSw/qiSXbNXIlCUAa4GHTF0B8vDXkiHEEdaNFQOBEzw/gtdR+mel -nClR5YwmpY+q65aFWIpxmOEMy7Jl0bJ3gdo41OlKC9J2LPMOaQuTZVnn6p8sO+OxsJ3N9GwFq2J2 -/dNgmxFXq4mjoeaZCJpbzoBpl/fkzgZRsofk1r6arNwECUlzgNg8QPtYmzsgRspABY7fmCi3DzNP -a4aYqjJhhTCrZcaFEQ8VtEmiogI52lAGt/5E26yB0QY0GcMwKuseX4DiGe+lKOyJvs5MdeIk93Np -Y3iH9IBP2drqVAtZeyFx1aviKN3yVCLceCRPyEiSO9RZEWgXeOBS97pZ5QfQ5I0X+Pt93X8xG50d -kSyyhIsbYpEfTn86fuaM/ZbZxIUtnSVeov7Up5L4mlxw+2hvis29hU8iGDhwCjdeIX1jj52WmSqZ -SpzjW/L/dMB7VOcnhD/VkFRWRvFTHvP4HGfubvSkgvR7O9EkVIFnk0E8uhbn81ZLF7x+V2uiWfPV -Va4mDZRJPdaUMV7wl0WyDoBKsViVtUU8aDaHjCD3LBhoStsTfrsZpqhk27nCeN1PFYlEqG+Y5qC1 -qph55ZqWTR/6HkGvFwxcUYX7xuYBmchjAOV5q5uTsdbfCcMmfonYD7OuL3Zqk2MereIt0ahgQmg1 -xezm9IAidwBq1yP3Rb46pMKpuurdrXP2gPhbRaGYEvyK+WDdPS/YjEMDIH99N3HPcpmb+l+P2ARp -FqwYNsftxqqlmQyqmChw3oIixZhOoAiHJ6FfKsj5FaooESB3H1In5YUd+jKXG6YCb/XF1araMXn1 -q8fHpbNv3GdZF2+hLHoA8jcz5LjtPm9NronGmUYmNtoglwYKo+xH6ZUAGCtbW8Njlj9kvKboqRav -p8hZDYfkVoE8lAv0n37kES87Vhcl1u3qdHdRZo96cQjd5+KMOS2psCtGJMmo2VFytPTATtkFStBJ -iQxnYFcCNUpxdEJZdw2/vY1ahRVDqNQg5uy0ZHIi2z0RFCzUPoM0o/EEF8A0sPFzET0ltupIsJ5a -7IKA83pILMQjGHnjl49Wok2Kqzkd8rKrOPI6Osi05WmyIWyKRtaDN5Fkk6qZ8EbtSB3M1C+aT60P -2N8Fz39t6GXxXgrJK2J3n7Ba1eDr7kZ+tFG0saAaU/oOYqigUsYoC4Sts+PQxInKbSkd5Yxx6BVF -HKRex9jWes0KZCJ9uwZZQs4e1aXT2WFo5fz4fr1sJqPp7NF9krp4XfdPGGtzpd7lkAVls4NxnMr0 -EkgAVhvF0t+oJs1FAuALA4QkFXR4Ytu5yb527BNB5IRYZCdbzN6LprDAvfHCH2U7pxnnWoeXu45T -OqgE0x3DkpvRdszoz26DXW+zbkIDuVzVAPUqTk2IXB4CnUOamnshZM7aehOZ1H8fxJWe1oCFdoGz -sJO2bJHB7ehJLi0VUlyO2STcm08NbKSr7OwXWyXrqgpHeDGJupuVN1ycc6O2cQeZWfUMO4e5LUyg -Z5QGVzU+j6yJdkO6a3wEIs/ZPe5wQKZDRZDG1+b52v4BUGu2rCZveqSrsmc7TKPePv+KjNnc1OOy -go/ZhF6Fk3c7ktggrDEzYwv0SlU21+WzNTr4xJuGeBfklzUiCaOVeTwNOAmw/IuKr+uftI2qOx12 -EnVSN+cJl8mQSiFLjr7A45SEjL17xkXObHkuoa54RiLF0Y+0wryulVlUqko8AvAabIdy4HpO8VsW -wJ1fr3To20GaUZN+Ua/yB5OjkvtaOVDK9LCLejafmQubztDaZN6VIrI9vC00msOkK1YXLrvjEVpI -4czVKWWXNFP9iXBE1DozEcetEL1ABSaLDSHPsR6g/8DQRN0eR2o/GvCcNzX3xHWBHjVqTMG9XgOX -ZcgT9lK1KriaKODh2Vu5o8uZjwUIv8CiKiukOxN1q598MX10K84NjaQrQtXbbAZCx6D7s58VhATX -zAGGdqhCU7e4i5msTw89qAwPNGG9HQyjm6ejkovOJ2uJwiA3hC32XaFl2Mi+NSwJht8cIrh8vZJk -MKz2QFTmK6za0yLtQV1UthWoxj6My+uRhPLN2pVTYQeNdbipV+pXRZh1uWvTJfwXGpvPSgZFAkCd -YI20EU8knE6r9Vg1NqVJpsOA39lki7nMuzMZXawTWO3sohxqwr6nYquaZCIXVowLcqabj6rYGf9L -kkSFVBPVkLoaXAVBehhNFdaPir1GGe+etiByOX3aMCuSzbLN6k35D6v5JFm9gLo181wwI5FiBI37 -GMa2I7NicvlSuD0sCbbqXno6PJs1eThQKxSlTRHo3aFdhMNtOHoQFqpa3PlwC3skbDicZ5KD8/JP -luAEV6BenvOiOFGacFmWYGTXTJz/+RExbiYJ7hvlD20yXuP9BftKRUHFDfYT8dTHnYE+joaZf1ff -sRMfcAL49BDz+foNkyy/U1deP2tjlqNE5CPNMZTPmb5Bm/TW3KUot7P0cvFgmhWRP6Deae8+/hdV -o/KcMaSJMn8/k2hM+5Xxil1qjGtmi51AFNb8vwE5G3P0/dRpLH7Ue0SoizIjdkIz6ddZKCqDQa21 -c5BPnDBbyXitbTRVO6o01IvIUzjfQhToMFPG1rfpni4FDljwZ52PtbEinJYJl4zYNAFSN2G1r0IT -c8XHGoPESuxXpvJrv6/ol52UZkVY5dKaADKutGxP7/O1UPXyBQZdElzOlpHF6wMgqdGvMTHzDMNd -pRMSf+mZiEcbs/2+ZuFd5Ei9duH5PAmU7Bx5uWbX+AmZeDrLStCK0VfyjFu1Pxl5RSuVXT3zWvge -nFJwcaQI5f/PstOgzRoCmyVP/g94jZ8O7oTP+1lPZfCs7kNDQNqsYcSa0SALBPgAbsmQRIvJsNnh -SVyxbYgS8hij2/bjK2qh9npoOOOBqt+8A3tG6xZMSzWLBl9y2HJp9thYx1G10O7ZZevvgpcDP8ND -61+mAEt5kIKAIiKCSHwQS54LZXblNAZK19sgw/DoLtpG0BEi2Csnwptatp37QNP+7yCdgwbZsBvT -jLxwtznVkwem4Q3/TdmAjTQDm/tk8nul9QOKIL4QMLRA2y2/H1pIuO5FpsUgSFAgIp3luvfXM6BF -XGxKglmxY0UHJx5ghA5XXikNkoIoy2SFatkGyMkjoSHe/RbQpw/6+tFpX9SZIKWQewu28QG7zTlq -vG0mOo5oYsF163tyiR4iQBn/sLq+PPjXJ7WJZa2MF9tFe7M/bnp5NwH+C6FXyRP1CtSPYfHAPaXB -GuR0iT9EOmzhbC1IRR+0lBtXxX+XeJn5ralVo3huHh+N4pEVz0XgEQmiV8/dyuU2PwoZT2nppOdz -x8x7afbmVKt1Sq6qT5AJg1HGc+Py4Ubu1wn5Iz2arJkT09VsNg/yDQZ18RiFaFDRimhZy39QX5Ex -dptMWRDZT6lnnL/Io4+L5iPThGD/HtpsHj2fROY3QkK/XURvSCKX6bx7sP9c86aoDilGNkd3bgGd -rORDK3YWEyGcSFaLl3H/ziQ3OSwMtsbX9c/fMwHn+Umkp5BCvEzalvSt1LPfriSCqqUolOccq+N7 -+Ga0YQwjm2whs8qIzsJotNvdvZX1uCcpB1/iEO4SH/frO+9LMLQmd9YEdnxrRul/7wKjmmce9Tuu -cueDq5OPV9Gm/Ej1+9eGmk4bixJhp3omOvSoeuDLGlH2yZiEud5rV+JkTK8j9C2Cd35AQuF02yQ0 -42dZ0XSGnLsQwefcxM3s9JG51si/yXF/ozmspvP4+0gt+9+W1zFiym+d18PeEgY4ZWDN+b5QI+bL -qEHeThlVKvL0eUKYGi6cgiYSNQwXg0YSPXGPCPMEQ8IuLFbwmZQH25rVE7L1+5TJ+pX2lqshqaWu -bXbh1MiBCi09l8esLjVRtYgz+WVRGg8yXLB7EqJsCWjXrROtnOSYT4AZHEZAYb217htZj/m96QMu -n2W7lyfgo8iB9L1hWa77XegAeVMtmhFWzOnVrVCi93IuOyIhrFyzURfby2AwBtBMhvtBzIkQZdLD -ENhsh34A3EIygvEf460We80h0uxThlehxgYLJMTgzVhNP2Zu8XG9jqjEr9mde6N9EklXZPDrtHKp -uUc+Hf42TS8xkQLep1s9weLS71hhOtyyYJIcKgU5VVazQASfDSevbP6XUEskziOyVAtNKeNL2Kst -ag3kRd21bM1jGDo6v6BYJTki4xh0RpcZF6Y5Bp+8hBeBzXcQLCOZNYiNmgl5VfB0Z64FJQJcN7Ge -FmL7nmzrLiYx99xaCpfmsHFqJF4ggjcU6teyGoPFrGuxOuCHuP6/+9Y3O74CPCAonv6eAiZI5bi5 -wKAK4sQHLZlfWJammOs9qZKKYhe3FmBP/ALgJPvfRMkGZM5WREMjsM2CAyLlDTa3U4MBBd53lMnZ -7jXpPBfbU7h0UbidQqkMQTfxvTsMJ5wqomEVF9p4zsOndUzx8QrKPRflXlwXbs6Tk4DVgqnIjBdr -alBuuyvF96Sx4RLVzaisEJ12TbRD3meN3b38dUTO1FR8DMn+szbzVhy0ozaD69xbR3xNeThITQv0 -5uXqx+XRUQPd6U6RmV6wwKTPE1lfpwqlIFT5jLIn1G4G8CcVPEtwcu9feyh6vqxSk9ZcdiwGCK6v -DjNF7gbSpR0Bzk+EE7LzrqosTYwsazwvaggeATMiHpLqNR0yJMGUSWpAOex8WcN84Z0G3bPlSmiQ -UFYnydXiTcOGGlcPRwHa0nhMzeQi49FdFGm/FRJpy7wmzjC56WTOFxys6KWNflsPz+pA+Q7aXvde -f6os/2EsoMXQ7PDJfG495V3qTkEpKjMGCLCZSGQB7c6hyPKpygaU6Qhk5yzvAsG2Yndp2zvpUaiQ -HshApibsKzIXKPQjLhaoKwAliTuZ7bIqXsp720plV8YfK7+iZ+r7J2sx8A2l8qskKi8NxNO4bDZ9 -cMiwtwwMt0azGM2D7WhKzqtKge8xpZcO9enSUn15R0aGbL42jI6gbb2MrN8W9raZ9UoqhwEjUzlj -sY62Vf6yEhASEUarD+7JVQba18rM4DoG0hScsO3BHkps6tSaF2CQeyF5gBBX5Cc9aHJBLwAgxlhM -mKryTlMtmLQXu+yTT8BwhsVE3/tfVyyxrtWJfS7NhV90cX0CASYbwn+oDnJJvwfs2XbmWlKJH2XG -JnS7IzOK4ws6kjkWCfRC7zGNg3IzxqR0Klk0ukrU+tu0hEpD0+wra7W+51KYye6W1AO65dMYtbpM -dwI8NOX4e4vjKCeH+en4UaACFzVUff6sH6npvaxdzqJIf5vmkv4erPzibyw+JjBWp9RXJdgsDJb5 -EiXq3R999mC+u45JqO6wY5nljq/NtIto2ykVKar1QI0vAx9T6iLEYk7x+O91FWzEhpZVGDB+Ep0j -qIlUjbuV8MABcDuLC66zD9cxW2dS1v5urvMXg1GtKf9W9u+7M7cmR8TEGyjPvIjjXapt+50u/3lw -NqGjBRlrpT0FDKcLItyKH2WtHkYdfN9aXjFJH/3nzNJONEIvIsxCgVDgxWTO3tFU/33RMpZDzLtr -FU2VMHUuruYCqs9hlra7YbtirUaIKyR8FiPjBwjPPvEHEl9kNkyEuHEejFE5DvUnik7NRiMyQhGw -He5PINy1vkF5rw4ICL5uH/7FZnqe1pRDQ0iJbuTM901RNO3WoqrRtJCVC4bRLkarBZw75wCOTOlU -jdYf0FsaaXNK8LmZuSqEC1hz/+m+Fs8CezVIPpLUiavKCH9OxpIhvhopm/Pvc7ozTDEJF2xXmoZG -s49xV2PEfwjxo4R5X/PFsFxsicYonvBMv6oHzYxLJpzgkAl9ZHZrAJ26BQeABAqsY+Q3TI8I1cR5 -xGROuVk4qfg5DDuB9i8eAJ9/Z1IKrZXsOUQ6UCvIQE91/nDVxuNH7675vy8hMN9ZnIMfw0lfpGbs -3Y8lJvDddY7kLwgm4MbMvktOYY3L0A4QiJNNm5HxeMF7I4Mece4yw70IOUzMuwchtacIwN59+JyP -CHXff1CNMpyswxKaiiKwVw8Uf4FCnCcuSs4Ry4bFfOoh6uQ3yDKf/FZjcm52i3jW42FJVClGoLRl -OgQ/Q/h9iiQudZIMbuff09TbJtR66r8ZVF4BnjNUZOory/XL0eEuyoZ50MTGyi0GPfHfKnE2rvBf -kbLQH30x3D2JzvPQRggNuTPkNMSyWYAKL1C5bksA/JmlkM2h/Bceq/Tiz9DfDK1z4LZ1f+2jlFrn -morTb0g+CdAv6n8+GEmQvPu7CWWQM0aulsDb9HmDDqLgiB+qoktWgVKJtCmgNOMJPKezM5JU/mdR -P7+Unr+lXzN3/1cD+C9SJnbDFQRLcjZaEwCL18iSb8JhtopCzSjQXiLvBXO6Xb90HEj2wApUAHad -t+4ohMFOJf5XxLvKDNRKRqjMKn5jCRpEMy2prI4U3sUPASAYy0kAi/jr9/k+sM+MdTg8zZivS9bF -eoUWTi0q7LZZshKkxaNkoQNdbj5imkEoRaiZQzqo+spJmj2LpErtgsEeBMm8Nh/OSCziLpIyRCsF -ySd/Gbw2hjJTGEwyXSZLm+mpFTxi4D3gakDM2PmRUs4QuAEQaXBi5qwpKPqVwTr++Ml3TXOvxImc -/JoQ/JaCfrj8HKMdMu7rLI5XsSt2CGT8kQ6jxM/f2bfxRpRD5MpMR2Wl5o7x9/4coBxd8lZ5S/5Q -nbf5tGP3vWveoIhdzocN/vOmS36lNfSxQaqUXxy169muxrngKBhVCAYGeIdfnO1YhmuA5KxfZFkt -a7lvkDSONqJgXuWIl9xzeFPMNhGJBKu3QlddkHWf0UIMd/92iPSW+5mQVjAvXcHaE+Z4urpugm82 -Zf8FmQOk4nW/gKV79ttSPpyMs4UTfC7LRycEs20cEQmQpZ8zIJiMrx8WV1YpSU/Rt+tb0Zt2pHUw -SJrHCzSk6oOjGekGL/fkXbsE68GN5VGRs4KeEndWj+D821U5JZpiy2h/s86ps4WJ+tTtNTxber2t -hNW2aovxB5A/E660YlvD3hK17SsyV1DMUKsqKNEMLtqmpVIyZzIxo7Lc7VUDsnzK7lK5sRnAAnAz -XFWgNug6PwHOUNwUrPgVTsfpENuIakViHUpAnOm8giqJBe9RnHT5TIfbzZxKy4kc6trCAaTV6X1X -4NFddD6gJqm+x0kPa+w99Ot/LWLrShtp00oO8TfhvfVJWGuipO/mxo75uG5VuhjYHQcpU0f5ja1y -94oUeLwtJF/TbYouAtowWMGGxxwTo/8MMfTkUZvO7eyXNZR8EygjTdSs/TweocYdk208tkL0n7lj -BKjcRH934X2RfJeJUUKCZfzNV6EmXSbN9Kx6d2Y4bEEKfNVxbuK1qcNS7SFlAkqEgfsLXy/znUNN -sXAo9t4Wqppp8YwvV+1tmB8kUhC4ejQZG7H/0xvW5Wgh4n6on7/m1lti4WvL4XCMyFaMBd9mS/Yu -X/2ssSXJZEnW46HobX1sBmQW7CEDMHU+tId9cJ85NJWY0ZniFpWa6ePDn2x1cClf/q1eStcJ1OLj -8vbf/j6CdXw2pxVo89qDI59sbesR7vrxW7wJfjGJmJJw5f1AIoZXXAzvEtDowHE1x6DLMjhrH11G -lDJaMqqXZetlg1bExLOuZEOuar6xyafkGZOHcx9P4OGHYNPpnVtdokaHVjRuGdX7KDT7JjtqEh86 -KXXu/h63M3GvOtVIcXzyyjt/3m5lrVByiLmAYhkEQdjn67/tJiixWjrDiQYBd33a5isTuqK9yuN5 -73ylDNPeBRd/2cE1DpMUHqGcUt970gqf/QKXbMFegpcMaMixUHqteGtOD4zhqgEBn/IXBjobqcjk -7W3pQK2M1Y02oiMExpDBcUTaG47TeAYqasjc8xtEKU1UYfEPs6l5v83S20o/pLQTPeU4fAu5IRFl -/faV+fHIenkxCXUkBiHp6QEqvoTG7fEaiIQEjfgnS4dgojuHZ5YJcFhbi2ExJmkVZg1iI0IkI4X8 -nGqRreWvEzVMLK8eeyDU9OzzzFCF6C31m/eKVjgdRaV+7ubEXxme5dBHSg0n8GxKdkgZVnV4v5AB -aS5pCU95ll8CR077cWvDqFR4pApqHQMvRU7JU/ZJhnVtf7ZLIm3klYdfouQ2wnKmmE5JvSvaYONw -AWpt6If/gJEELlOAvd6/mfVSKQ7T8O/LO0hqKF+voQv6Jfpl0Ib4CqrXcDsg+J6TGBeRG4t9i+DP -hRe8O8zTLV/aYTkcKgOvHkjEl5eWfgO0s/RiUqdwmaRPpD73wrDuL/KvJbWVzv0NXdWpcLqXIH5j -UUH+2pSVXKt0ZnzfzmpBa6kwF3AwY7BVsqbN9zgwhVIYAVyC6T9gBQAifZxzs3BTwlWDHl8QEnAV -f5TTjv2ga1xFnYKGh1inb3SdaSWB4xsn3Y4dHm1ko8eJxdTOfsCZI8jHsVforGhoRrPu+HKppQnE -LIJajPYub+Ix7t+3xcBSajH0NPAliRGBCGFYMzYiSiwiDBSwC6iYy155EZEekUW0MnfrAw+dXHV9 -Eb7Vd5w66nzTrXyqNtG/QkLdeiZgeTGRSLHGtWIox3RqAN+FyEhs7TrzxH40NbgXU7y+FcLhjB7t -ja38gdlb0Bjh5Tm/hFpKiUioTFvx5/vEmdjAxG10SmVbntzA/0ae0JE8yGXNcnmFlTcw+gHBoAmP -kiZgyBK9jVCxvv6xu4c/NWEAOxWNXzaISi57yPK9Vh2lkItbNO6zJAJaA4SHH9+OdSAdOxqx9BTu -A0hLY/CjQZhax2zbI0RwdslMh/7a22lcsijIUY4bQdYgpS+kpgg7mSV9p3K/x2aAG8M2X8vpz3yu -mf/MuN80puoi5W5QLVWAvAHN4eKYfgCrwTRcxMwEkRMlkq4M1xF9haeJQ9Jvlz1hE+lfTcKmKkIm -aDg4jukr9hiZw2mCUeFEw5ZW7gKyr+PkD0e7bFLaB67SwDeW6Hqf2+5jRo1+noFtm0JFvSQcvM9w -+ILouK6TwORLbVhMqLzhxgM7ybagZ1W1s6TESxqvniIu7VFnCkv1pTK085Q24zDw5mg8+ICf/fSk -XkHSXysAawTHIYpunctB1+jTKqVS2qcTZPt7n3uzAqtZbZ85Bc5GGp8z1FhBZl6AheF60dTx+FHP -subFYzloiUDkP1gCG4rT4Sbw8yMakBx5OX9+qfvUegGI9v4837bSQ1K70fQNOoOM164VvuRE+ahn -b/Pa26VeT4vkvjn3ovMH4VI3xwfdepksmTF8ayNyvdOQAmtT2P8LL0owTLL4Q0qYH0maGXfQQyTE -CJYlKNImml5lpXXhw/Qv89eiaqbcR3v1XG9H1cHcx9yEAvZEm+gLcJjlS44GyQzfVW+3b8ek6Ww9 -61MOib+tA9oR1J/SYY0dqhcew/vG5x6g/0QQJpGjaPk/Zq72nb4wFk1w8l8H17KRPOm4cVFFRW4k -0fHwqZaj4mtihYAXIHarGP/j+7cdj7SxqeYGYWt4J7og+lgsX94dlPNk8js/r8DioRt/dXHb8hR6 -FI2FyLqm+O1zSvGOmI1COvHTm30SwjZnt2rJfl0KL0ous3dyWqJ2GDLc296+9500ppeTR9GgnUjC -59vR6/VAC2H09hTWwltFJVslQOhUx3WEfetR+5wK2wmCSoNvgp4nwCmOgmtQ0sPIzjZIDTrvNvdN -g+eDzvjfxbOLM786oU6czFAc9jc5Xls5kgHPcakAYc1B5mzHMk10Wv6nlJv5C8ld3O1vywjKbKGO -3vs2vZUfnHvZ9ypP0ZM02fAhrLuCqv+aoRsBgNOYiqfT7/8GB6NvFotEU3+SI/LZ2NeCOkpQbDlc -g3OMqnqg+oH6W3t9Dvxnw39TV1Lwv6I2E+iZjUbe1lN6DOXh+l5CyUxUgCyAuC+J4AAg2RdpN9I3 -J6lXvff6i/H43j8/3ES1jvJJPPEIf8XjXP4wtu+3asoAzaLESAMbnn/S5UAXN3Bly6UsVi2GXFqd -WLAODOjF21+uukdRUrPMdgrvhPzNO53sDdeGdi92+hWOohc1h2jSBfuQq3kThYm6RIoEDATvxdTs -SXGAN2rO5fTr7YcEtwZrO0oq8dybNsucF9aU6r0fKLZT6vDwOEvWBQsok7OqcjbsP4nEzupPjCY3 -HSNrhrT4MdnC87iIK7zEXIb/CCEH1JqMxRLuxUzFBXJQ2HM7BzZBr2OzEZLQ/vWR3VS5mi6/wHlH -GIFATQ0dvuXD+yn9MzR/wjINz6NmhoGUpZw71NOlbw41B40OltZBNDc9nsbSnwTb6PCJSoMJFFsW -sVISiQLj60/UHMJTDl4FTszAI7IsdjwejCB6Z43PIvM1ldRKXBeqCy+bj7Pu2IXtmqZBBhxhuFzJ -qLjTOyNQmryLex7xbv/TaSuA9XsUpgvGeq3qPWjk4GRrLZHFINY6Y3C3ImjLX6HPryN0Ie2nyo1x -XobuD0W3iAO2w+8eCtuVFO1k98PE0rNHQG5IW8U25rkWHyHk7HRwQwynDB4EEP+tqMjOfa5W5sja -lCQH44xF+2SrrNpRKxVN4matREafWvHzC2tDi/95yZJqQlGEB2iqLdimNksh46zLsnHiduf03o/B -iRlQpZHN3vX4nb2WySFyQxE0IRbRgDaGqkabJhu52G+9ULYa5HJo+xo4rWx5XxSwSJaaCcxUkAN4 -0WY6hj/bAcTycEVI+qOFRhBAM7a3f5tlWA7YsE0Rfw1iY/N0KBFLvvAkYF6AQwydQJIJS35e4vQH -y9S/6sJfLp5+hw4fb6F9AeOWCqbiI9mq31ruUXUUrBuTlTFMWujFjdM0ROfZBU2hsdFuCv9R1gAi -UDYxoWNRvYsPQakUMMCEx6rHz2iVFjYh/B7wgUduCLnJvgBK9vQnCSHM/tcIFskBuVKXR7Iy2Zfp -4Sh3+bPSiPMBd267WMa+Nb0lBi/Xl7yzQeXK7TfvmGiwAYnMPMKpiWNzDql4ueSxNc2zOHBY7FCg -LMJgKTxCTlA1J1Y8tiu8ByBprFNh4VAyiKkzu77RtXeaFNjIEz/wKDoij961PxprMtQDZEOXXa+g -xvduPaYedqrsgWvMtjWZQH5OIPGyH7rYuIvvVDF8uBypzKrNsNG5GD5lLyF1EzTEep9uPKqsbKAj -p6wdrJuz/tS0PmtTV9pPlb8NjVmiAtyiE3U2xaLDe1uzvQh+CBQ1n03pumxLvxq1dL9rIXtCiag6 -msdy80rfGDr6RYoT/UENG0o6JjKPF2oZQAWDSh8nJzyHpovgXbTZhJqN7bZsEqLzsBH1WCO8qB5V -M6fRhA1HbPj1gx5i13hvwNultKN55I7s2WuST1UtGDkwcSNskYh30/3cvG6Q2kB6xZHkLubw/HjQ -XXElPW4DFFGYa+rwsl7zwzf4O9qZkc3NFzX46Ukv4hlcaRGeEDewAqAjy+lDbyRnoKc4WVIVxtMX -gcslmTply2vVKFvifKtgtQxl/ECHVHC7Cpfga9dlxm0c7BiGUAYd/NKpvHfTB5dLdLtyYU8q7+an -cHd9MFldvkE6CKpeV3L0ZD0l+PPAAZyhyKwY8XfTGLg3lQSfqE3HU+3eEIEXPlYov+yL6bpNrLTn -mFpyDYF6NuWhlBjuj9ZY29Swq7lp1YEhvYOrb4gmWeaLXaX0mLLjcbIyOprzoOEU7bcj9/UMNVW1 -5BA8laeD4US8IKy6QJA396Nonzq1I+T//V9Esq/BNp3BMOP/rWZibAts7UzzbzsexUiVsH4DQ+Jd -labJ3TOD80o3bCBqxo2elvDbP11ka1zs/z55NczScB9bvUXhjmXxHoh4vwZqtgXn2+MvMgBr6hfj -jO9HUYzBph67XAVJj9/lMLanQqO76vNu/nt9SxkXA4+RfqXS8gbgqIafKUR+teIFledqCwqdAzj4 -Y6r2WOx8oileP1ZchpNOKXowZRnDefJFViWnxpVH75m6gXaNDQUom4lIprtUj+LKPHaSPfaTcR/e -Eq3eDT3fEQNhZuIZ1oT/hnZ9Ar88UjG8IttjftfWsawDga/P7mVOYBboHifEgNwinNGGjzyAxux9 -mCEJAfn8ExjRv7IZ1vrZS8s9zlXr6AM3lyAuTHPoOUnIdU+ojb4m8oRQGlRCp9o52ANoOgBheuTJ -5lC+ptM2fe4p8E2H7nC280mfTWDtTnQHvbm+RUip5E9BcIjNqf5TFMTcoSzSZA0M+Q63Qlq2t7CW -gaMRnoIgYO+4T32X4Rnt3Wq976JANwk3PDOzOIcYF/k38qBNTF91zsfamVooaYUj1C2CZChMBhIe -ARx+cHGp+HAvs8ziI9HQy93eYTLaRbTNUX4BVekdKDmwsdhtbBlpyunX+4Xnw2mEfjj4gqFemO6J -px3kYUbbpJv2AyZxpDAqDqAlzFOHPILGxEnQza1BuNe++vKSL3dNpYNMX2ibQERXxGFyN/DC3jT9 -nKrubj89w4h0zn7wKYovPHtB9VQt7nGoZ5lmgKnSGxZTRe5C/Vu0VHaokLj0RJEm6wC4Bo31CG7M -o7D1BCG/I2p7L4265btSx/gc9TToOgS5NASTZP76B+pCidDtiCzGl4WTuKDBf0oo/f72+pUXV4eO -BZQSrdbaAI7Rxy3DK9DUvDlkh7EV1w6sV2sR0O+e7A3CUICxmwRjIiAVw+AwrojpLhtUIQN4sRSc -c+sR1AfuBm5Vqz+A20WJoLILFgpeAuK1Hs3Vtg7eNqr1OEQ/OjwfrereVbiPuLdug6Y9Nfsgh/xw -1jlhOiFLR10iuTKmEmvvsRKNifeNXFyQ4wcsjlpPX3/GSUwZpvA3Xzmc69L4etJCt/i5DGcfyBpR -OC1sOyf7NS0XBe+p1E9q9QCp45/i9CxsWxd+lDQ+3sFJF/kI+QqU9KNV4gPjBbtGDdy/etZ8ZtS5 -BxlNADhpGnZ8buM+sg5fnM47nK48fBmkOh+NbXy2+sHSw4KTbj/SlSB6wYkabyAtFTo4pyPpYWXi -13QEzeEumc156nLXmnnf0t37+nbfqoYibjVfBLvkZj9QX6tuNQUfVPC6Z+qUE3Fec4OS/22FRPgH -5MT3gjEd4ZwSzel3Gn3nb5iwLNBpeOl7VStprXgkYe33sABXvTws6rnxZUejcbWDK4E0gV4IQWXG -ShEWirqs0CUY28H2fSiaMu6GS+uSiZHIZ3Mena8xkYxy8PHxvVmvfU27pZsWDP2p4aMXyW2T+PtN -nWksZGRK2JHTwZpj1tZeoqp50bohTElcct1N73GLPrAsVMN6UCAAnguBJv8w8+1UdplNK91xVCRL -HaxyZGtysjcmYr88gDpQYqQaODYGfud7K5/cQUXrlIlLH6eSSL5xrbepyWtjCK81zSuXySXDQYIF -iqXscegLn6PL1Sj8hX9j8YGiAfKtHDezU98inECtvUIXbC6foCS87/vAM+BdmeppPGRStnPKc807 -TQ4Ky1niNoJNeIgODVzIQwvx0b+xFr1EvkECWZ9EbMc4Bb1XMXQ1YvKVdwIYb6po/16cDDzisx24 -Hzv3LVCbjKppJZG7dIYu/ZQGU4tPbzTyQX5xxXQfbXlKwtlThhBrXcPL+ygwu9a2Hwsb4zDd0ejZ -kQIFMfZQq7MZyFZ9XzM5sMMOYOsNK7k/+rKCoGiAGLgbX6hjagrj2vVzKzv46TbIItYLDoZZrel5 -tgu7V7kCsDZsM0uuLDz1Gt14YGEAvJvKD5XnKxg0AL2sKjxEBbQ1qVfzrVq4iQ60xhbyfcagT5H3 -xQl5Q6+IZ68y71mN1Ox+SMnLCXO9INMaRp+aIC3ORj89Lokmy7hrg4no1NhDNXsz75lGSP84bt9w -TrMCv8TdKFh4v/D8IHg1UEK6MbMU+6iYbVD6MmEz6VljcWLep0kQXPLApxbVLjpG80WwBdjyjtrL -YIl7H2jZ5OYKA6lkMnVXd7Vu2tgu02rVOIPAixLZzspii/eO1TiDW9FHk8OftCPF7Rpyzd92d7gz -SWPMdz9fgPqUsct96ARzhWfBV85KBSHB3INN1YEiL/CZPGX3ecwsq8BWpG8tRUyOm0LHPZ+oFaDq -BhasYbTPhMlqHqMhEWI+4A1x01lasYSpKf4kr1sMziLDqAZ4oDdBAATPDdeHCkB0vUAcLAsXSmJz -FR4TpOSnyoyZrVTmw4QxFE09JvFH5hYp+9Mbv2Cm96HoZvdWHFdGKphxZo80+EdHuMaHS+21eEt1 -JjBqWDGnYi+R/75EnHmmkZdlzftveF7mQsMqCpx7iDMNlGJTC0ouy6ZmpPm5RRLwEM29giefqkga -7TXQAZ+M6MCzWh68lGCqgKtiZHQMd/gp/+TkZRZzNPX7Qv2hvXMM6shyu9VedPVPzLWiSXu9uaWr -C4tkKOqnNEy+SeFu0MwIWpGWvKLsVzODJV/NGlRp5QOgFBy24EKqVGMV3iEdBVVnHLIlblOmLvfB -w479ugobFI0W/bJBG6B9ee80Hw0F3wPj7rHwiPAgMuTDPDdAOYjSsy8cMJ5cAT/2EV5k2L3WVxmc -czdwt7ltqiScjv0duhHOiEkKTCglU4IxbQukjCaJhKvZmCyvaQVJPlbisYLQAIvNlFPRpz8H+Yyk -9GK61mLZ/pLfUUp7suISTa+m3/g5GyuGfs4y+Eg6rO7AnSM8RaBIp8kpc743jRFvq3p78JuvF29S -XaTH/UoQBd1QvnqNcjmH+bB2H4zI0JjuN2C0TvjzHAhdy4LzW0gtdBu/1mRIIU7fU7MuYAnKl/DZ -1VjNGkozjzSW4w3lm9bWBpyzRwCjUBjeARbXfs1cvsK9FjIonHTQ8cxWUf3cAQcdFTRtHYXzvSNn -NoFaE8VdfMmw383UJTs+qSfcRFNqdmRMS/9336Kb/lnVIuGQ4GqXajYQ0Nw6ZeYvTjILdzJKgYjE -9gYVT6jjYYZ+QCL+erDapGCZrdxLhwW+3wh9n4QPIjGEaIZdvIK5/tr89wCUvYatMMtGER9VTxye -IECPkKjBucGf7QU7sodB2CUcHnip9N2VKfn27BG/zk+HFr011B4nFjXqO+aVu3e3UOYxn6IVDn71 -vmjcgMKgejumOCg3JTEQj4u5bEtJJAbbjC3WTjMMjsAxdcJANW+wlhe0hXLQTvs6u0sqPflbXtS9 -++bp4CM8dTYIXmrRUScjjNFWZyKRiOKWTQgGOjCZYJOlmVcHgd2GtYnLo2SvmbKynvi3ZolHtdDL -xOyBP7/erI/MBPhl0T4/8wl2ZYzaO16VTjDfHErf7J2CSyBwXukIE1iNavW7Rnkge0qLZ+znkAtz -EALfXyGQBdWhsFHqLmsTz3HM4kA4nFTRP3ohbaKXHXElTc5T75/O7Q6jYCoANUMYPofW4P805gvO -noUD3p7yKiHVJd7hdk3H0xHplz68h5ZpL0DFsUFNYd0DYunkNpgZgE7FurSORMropIjo9uSkkgzL -cRXvEEpT0Z6QzDR10+W5D+uT2qKnxTjZ7NAgROqiTP/ZaZH+/PVUY4SpNd5s6BXhQUoiFD5UUEph -suE2obHR+eI4OWn/dnEZjrH+9OlllRs2ZkqrBiNbJwIlhS1gzCNc9SRgSU1kSUDonoBWoQrVCaG0 -4YG3lvYJnLBIwirZyLyAFvdD1dpWu79JHfYarxyUfqOZmTHqr+ZAB7WC6VdMuwLiGDaTJNWfq4ts -D3ytmplhnVPifyquQAxgNzlEeiww5n61mDsbElkE6dZbKQER01vqBN7q5gyRCxd1Eqck0C+VkS5y -jdYPWNYYzMGfAqqdXCbdapGlqk9dtZNElNQ9AKi8IRpgC8AwRC3LKmylh+gKKdIUytOZ+b3OVOmO -yJLRh0rG7ehiobdaiMO+DyR/zSmtO0l6QGL3zSsaNLL3SpeMS4t3WLeqLFVcDzV3pxLiNZ3CaYfc -yQ5rJ++L5jH8lEiHOni/KlpvwXnmfDtBbjw7v5yRI7iOnoVdYq3Mnh8aEcyL2XJyG5kuXEmdYSjH -EZcXsP0RjR63PvPVAIbqhy0rKaB82WwxIGjPtko1GS8UmsX+kWaPl/txmRbUua9meuHxx+NK2TPT -fg1hUFShN9ePerBWh68l6ZGaNkJxVqUWPlwvhNaACfRCtgWPZYXW1C18Imp0IYraBKB9rlcxts7h -C/DvVqUh94Tsep+JBo6yUV7JzYn9IEfSBK+1DU9UgOyQFVKnCPo2kPOVLHkKkpVTPhVYrUDe3ui5 -WiHjLTeEASQY6d9txtmO8isl7R04iKfu8YcJWMNfcQbxVrCxceWxrqhkTo2IV0tru5VALUFGJqFK -6B5H5ED4f3KNn/gWev/l/qi44He3bd4n+fBuuo2lLAmba3Dl80CcJjP0t1EGBjX0MLmfcL+Ccxff -tt8+q7UL0++lJ/rL8zIuJn147zq5YQ4qkNXz5FncWbsSkgeGA2Dtto93D35rP00ZobkLEML13iQh -HD45hYiaLtjWdU1ngibzL3a7cVUy3fLTEqzOarisIOEUeTk/vVzsyvuQd218iVpvkO/PrSU9qFCi -ScmSoNw0Sk879JtCao8k9zVdpVz/yMgek8GAC6JMMsZk++Vk9W7xmwP8GranZmEushy1JbswtVLM -Aa9fXJvnkNdCjPX+amU3op+Jonm9Hr3ha3AR7QAz4QO3kZp3r2XKCoryq2/f2dwMVyA/QvOVoYQy -vPzAHYHpORZw+V9ZmaIYNzhWtsuxR9uhTc05DbvXz4hbU+rCdyADHLZ0qWApjNwHET4eTBZhdCCD -uVvTndXsqAoQ2dxWZ8tG3q4KO8h+f7ycEdq019+qrcx+mgN5UM0ffiAKwhWalU2OSdYKhB/poVOI -tyrfpH1FFqgQZFBkwPy2OnLewTf2MuBkJLzqmGcHDyBqA/Jadi/PaTuekz7xXxYC6SxaFE+aCHet -pho+FUhTJX7wL5GQxPXgZUGXNSPphket4j2Wz3zd2yFhccbVYs71geRGmIyicAXtnuyvT1k4MtYF -MK+r99m5KQSyTMjo9xGO95iHaum4PO9ubk/UriB7PJDSPk7CIbHBzXSeJkd7g2oyZtVvD0ch9+Tx -K6SFDmKLFAA93GL0ODpbiLBc1YSKPUJWEAj0efCEOW/ADvA6OXcllKBgDbgqaqnEgdnOK0tuhdaf -rmTYgjeWD37ABH0RIQsZVO8xZggwBHfpV5X4DaCnfivb6UBNGkqcAzRp4so4/z6zuUncshat4xAu -b/uHyY1W2AyPEa34IRp0HwZYl2kWUqa+ErlovlK+cm+7hBH6WWGd4ucODh1bDmk/lUSe1DGUME9p -A2UqpS9NYObWEnFVVY/Xg2fWA+j3LWS3vXD5FjfPTQaL1CeqxaSctzkfOAzjwF+TKhmcwac30YB/ -69Cm1ruVF5tFIWFfKxPWsMxqPAy4xEG4IuNemPe3uTMEI1i3i1RDwvCqV6iIWYxqsPWn+wEU5Y8H -ztpgZjy0Ez7+uIzG4gxjhwf67y0HVLRxM9jyO5ot/tIqS/hbKREo9jilpHdNEVHeNi7FwDPDyMoc -7QXyIwuYFHtoA3TkXEkyn5fdiDJLZnXYhcKY6vITTOC7cTQTWzg7iNraHpKIFcXwk6y3mQbFPkQN -9JoZNuPAedemi73LVKs9hMkbvJJ/1ewTytUcXA5IyvOComG0zLM1nlIbe/jJ5nMXB9GjBUYo+Fqt -Yzfnkr6dGsWmX4S3AF0SY4UbkxZtljTnDamL+4GAL4lXzE8HjIuf9PMHTJkq4zGzwnQgEMFdCold -KoK3cun69y3o5L4crXjnUwHLwDJcfV7ocDNSmZwIpFxwnpw2l+rV+fZQ7z4aKFmgsMm5F7tP9PrT -AJ3ZA873uTLtH+yWznBCR7PC9Wl9ok+ehVdj8574FKo+H6GOLq4cmJRIhBlzOClFyzhdpfbJ2iuF -ppOxZ2dfRwU/351ZlaN+GiDn7O5bjWpjQWnZV6dQbDV5KdxR5ctBDVyQJ8aTnnrS5HMGp0cAxmIm -tIr5OxqpY4urk6mG0IvKTSnCFWHinbEgzxuTHSy1zmFC6bAk92n2JcI7hcwvQ3/0kYI5bBpcoz0h -Ritx2fqETrjTPQnOzrWL/ypOSTW+gEzYahNKa+0JnRkGR6Bk9B5wX3P2nKtQpNf0MeVhtB4mSexL -xjpJlSUzQJ8GAwt5dokNznR/XmBCw+XV7hua6rruEnswC/9Gk3bhMyKvI/yxZpCz6B4QzO+Gs6I4 -Ub/VpR3znU1y7+mvteB0v0m0rsYcqXVw8VMMvKbB2thj2iOWIvnZbyzAJwETolsJUqcDUG+ECJVw -A8UCWE/W6rY0l5j6NHezg9Sv4EIk40ox2eLzGwafnlPJnSXr+0D5X8guJKcPg2wOMM1KQ+tR4sjI -uHRIAJsVmg+Z1eucdhWnh2UWjMvep9n85G8i1xgb+9iNO7DaWMDsV5I1RbW9ZysExD+F9GXB4cJJ -ikLUS8uC/WUbOJToV+wZ1yyx7N/aXE5KfD1G8SyZYfvxCPa4n8wFTDkHe7Xe5JeLtX5MdEwVyPgk -Qgltj/7PI12yW6l0Seurt9KCJvmHP0yOg46n0AZqXHOf3oOJAOQ2tsdXBFSjSVVqp34LinTOS8d0 -D9D5mR9q7tOx5GhgWks0xuB761ghabxv83GI0OOH9ti6Uq0KZgXDJ5YPxrcU1ckuM6I//MhH0E7m -64ISfPgN22mLxC4KT4LdKdzptUm+L1lrMwTofA+rMXA5X9PnnLMnIP11/mNSi5LvTYEr7SfqXmXq -nREZmP7mNX/xnA3v7mHSAzP3t2ip3a6MD6wez4CVxP++Nvywy/Q6KJ6IvgSQFUCVdbZAO2iquGLP -Sv+BeETwbDSNyAiUqcikrZ6qhNgdnu62W0xXz9YiwtxueM6HEWhkn8vte5D7ZyXNvE68hqUaSmBZ -mX8PJcm1eNW63oYdDIT/nBqkMJCtb6m9pY5a9H9OiBnHzRaYjGwA666kxOJWUMyAlm+vjPZfz41Q -07z46f1XSla1ByD4ZsneMaLoCfxPIzAdUh9LIrtcr/vCRvVbtwoUSQ8SbtuLRx25Fk0eeHzUztrU -fI8y4meM19GOE1h3Wg2l5OaYGsGQuguR4va2r79cJNPZvfaFCBl5CPfq+xwywvy1+ZFe26P2gZVC -seAFbDuBYF0e+aJRvsh0rub8LVSMcPBwEOBpHfH1mWZ/sJ+OJzoeqGb4N0Yk/eqtlb50ylhmlzV2 -cwv/wBSfvQFsNAfQ7vkvMpFasSgMEYEABmmqN+GTI6mPd3XELvLVEthUaSJhJOK0CCohjgEYwpPy -DG99MoIphq9jhLhVpwgdOIdduDUntrqZiT2kcR3IGIEb9eHL16q2ywiWqpEucdqU/RripdJKKCkr -X1wLzIkM+ZnDp/ev7q9l5KOJMdMSp1nnzsw4g5zXZ7UWA0IhfCpHRkN4aAgmLxoGUoaLirQ+qNMo -r1jF5MBg1aEYWY13TOOMSIE40TuhMdk4lJtoKbQLYGEzguIYeGgOl7YZjogM53hQrb96jMGM3ez0 -Fl3CapjNunoXVhM0W3XwbKC/xaQTt2nMP/AfRzz7syBGFkxLtzBcMAwAS2r5Q4d8/nO4zKj37Ffl -iDsyAVn+G0rb/ATerU6wj/OVCyBFJgJCF/hnpq8k9BPXkD27X+/UZ7X9FcbNaNCEu9ZBD2Hsd/Nw -Yeda6OVFoNkjnS6BQkiA0DJReUGbGJoIXQgzG+2UpfLCpJEDzEY8mqWJbCOIRa+r5RX6UdZECAqB -rPsoR8coEWd3KHNUcAYtlZR+jbuvxi+sMLJPUYq92CTUu78jDh8+yJP8jB7SokX7AyY0kWRZbl4j -Uih82rkr32aTUhQ8c7GCkkbv2kqwdAK0AF+TS6gCLLNd+yTHm+lnQxpc8r6vfVV3RDyeCiuu5IzH -vmjwn9c7CoGmodlO7ygmP1gsMdk/ehH8LN0ZZGdcn4REk7fBCPNUHhLM0fMKZPmHKHDqaEIlrPMV -eBQ+g0DLi2/PYU9RQB9chw8seHFAC8nJ26JpAC8KdPLD0UY/nVgQlvbbLUrswy7eecdTCAu2fZJ/ -g11xAnljHi5hY2ABHzTd5GB2tHK68ZLmtSLM1F18wQrJ/efxH2a4plabvUchcdFoeviPrZKnCBUO -p+nPwKaXfro5642KVFOjNudbcF69L6wPK7+wesCv/FD6U4C/oXsTMLBMtFZjvRjvGg1TwLaxufhY -sN+4gvblZFrHDLP9ncVbt+leNfhZTOXOI/x/8TOpbJ6/StXMoE31EonXMHofjKEnxnlrVNi6mMZS -/3fBepNcEvVfvEAEyOI+XOU5ctKCxuERILCOqC9ya0hbztYjdMr973xi0EVKtnzKtoY7wKIdJTa4 -wTadKq6TtPvAVbzjC0CZdAHVJphkA8J1/swntje5rgzUWL8hWtEHQG/5171ZH0Qi5kHOyRiYlLs1 -e+m/hXUsZef29Fz60vHKYckCQ4Gs2uh0O3+1dVFiQ/XNB/wk36ioj4GE4UUi9tTG/g9M199EDdP2 -EIn0i4SHyQpRQg/aVKU+gqNrs0/SHn/2UYLRWtEgxP3D6mNa2LJQ5I2r65VPOTJkmesgpHZOwIxN -2Fbirg6mC16W9SpeKR+QhEdTZf8KQmpBH2SmA2sz29jSqjukSkYKxGYktE1set2IT5xl1YYb8HTC -jGdIjGAFkDdYHqMAUmDBC+Z5F82ofrTNWjsTdEsPeSGF6TzlJ+Y0B3DxVF0dA5bEEVcoWFtrghxw -ueG5qMLmvSR+82xuY6DPU1io37Rlf0H7YSFM8+Ndklz6NeaYpw1jnvbLgSCtq2SpQYfkVs4qlxLv -U4b41DZVxIZzE83elSzE5PjpeXlOtf90ZIX90s5Yq1Mf5/kbjc4gh3P0WPyTA6dsKXNpVxgZWqgX -UhFIoVX7XrxyOJ8EjSlZeEMVnGpQrgW7fbPXA9xmUixtKpsUkykz/9+9h8hBCFzaPlu7ygKQY7j9 -cMU0IqVetwTMoDXu/1Ix/kfMQxm7N8j+QgUb255bQvIbGXrNkBqzL43UjuQ4k5iongBnWDAtGu3/ -iHI/IbtdMlfyUJaB2CQCOncnaVQ3nnr4vAC7IdV/Z6i8Sm4/D0Xm0hd9063ql2zfr9JngAjcpp2c -uRQcJpe2bWQ5i/pTPFu8eDrgoPWtlax2CLYA0Xhu4yH4kDK7Ptkjayy1LYfBx+hIcsvZh+yrfs+J -KbB0/4SmJrNNGPEQetON4rp2tvuwLQ07dip72HS8NV6RjdHq7j3M2aa3yc7lPSDQ8VqtnhCyJPcb -7EJeTcRk14X2+cSDFNPY6nDpO3QIwsPHagyiWlR3eEGu+QMs9nxKyAA+BXWIWmyG7+lIPfZqFuPF -qBOVwl2cFcV46jKWmt4VUnMcRXevhLITB5Vd5ify36sbCiADksW/VM+XyW1xTZSMj7ty+6RSZGPT -EWvhUu0aMTpVS7csg4q4HDBKyVLx4e2m3weRg3U1N7zFbN/N92T8DUjfNfWI5ivV4PAt4uGxIalv -rZcu5CwfJg+azjA02tfVVQK8/pPedRqWqUInoWThIAAQEz5/W4rRoVRzETYxim27xwV50a1NKzcM -0LWkTsNUtxI2uPV9kWzSrXs9IoQ/X2dKBoiL8+ZgmxVO/NahLXIfhyxRJnDGzSyLp4B0Wjfi6F8n -hWyBwq4QdkdlIodzxu17F7aMGnaoL/2xuvat45NGNxqZL+SxOZtWWDwJ2ZwzhEJhT9PB/Vypyy1y -ndr+T6h/IZF8ew3tV/5zP5NxsR6oCJ2ClNIr8TeCIjQIKETikrIR4gpgmHbOB+KFf0uFLhxpxvGw -4hJPikxY4QjLtzKyoyccnNRQij4JdrBVuQAX6Q62avUr2h9eB2IzcrXLdXcJxHY3WByaQLCNWdUt -4WvYJNGMd0zVRpBSLfl5CKSPb/zYg8kdJ87DU0gpULNZqHfWSX5sy9RPZaXkD07Fx5QZwnB6lzbS -4sUU/cED17PN9ZNlIF6cicWciXqTQYKKqtXeH6W43Bnq1fHWf7wBluQJg9EanSbFXs8jAf9XxMFG -BopnyDwOZoLDWtgNoBUcWVPuUwt7oPtPNL84dT/EekQ7hsJxcm8Y64CsNDuupazj1wBoj+YiC5qv -xj3E0uuk4wY2kpCL27Fp9ce2Me1vxkHxLsu+WWzvx8PzBUdAs4HI2/wnqbPMJgwMMypL3qBSmKb1 -kbmfTtVB1jAGeI7lFytZaxjVp6oJCXhwzB/j7MxbC+gbTYjSMpr51Lp0uS1QQvLUuO8cvyOVP+A9 -KT3WPYB67SuJJIu1gecJRUnQQqVls56PSs0qPepdTX5ZBpeGKkB8nRBSJ5rEgN56Kmn1x3/NbNiM -X3kl9H2MdNetl99UhpGv8Jw5FohAK6pkBtfHPorvPhq20OgJOQncgLtYLUCnbPUiGgZEzU00AEuG -WPo7DBbdNqTuY/xufnSHENUN2WFdYm2nlU/x9Yb6DXJZ0py6FaHkFzmQEDuu7WYGdBIgnk90S5OW -KEzPlqMvrCMkh5GURX0MOd4OB3xmKzoDbhC0+pwC/CJ/Qg+hAz2gKMvZUa4Nuc7oVzKj4rAUA/Py -g71f3AFUhPS/d2WNBGxqf1PbCsNeTWND1L31pdW5isa0xzT5LOx5EefanTMhw1nJK8ZA7cUnDP+j -rcGY5RKfANtvnWMaiUXfkDdEYzcWlTYIpiOo6juRsEY1NQHQDJjTPViETJhZT0JBmqvYxUI4h0w/ -y2qQR7WlY2hsBBEC1jHvxSZBC9nb77fkzsgXB8aK6IDbipyPVs00JWPYKpsd6X+SLcpkbcC5L/Vi -iOZjLjH7U24fabpV5WycGdx9yzJuxpUpTLCynryH1oBzhtlOCinL4q6ARQsjRRk5/vqufQpH2efH -aAD7GyMl36UjrYrTXi6OUCLGYpeJJlFvQsSV3W7mrs19Pv6ZJxOjWX30CPSwnpQ26aw8NCX++vPO -IUB6FIEnLAmd+rw5bHirxVoAe3FR2eA8QfWdAYHunPFsBGKYylH7pz9YeS74ZMy9Ib1+E5TqvysC -VB6Ki5Y2rKKFFHx20Hj//GL/V7BdPt+ckUaIs7VC47ihk8kGov23MTEUrYp/LbJHWVxG2bV4qkmN -dv544OMkdHJkFl9g5pu1wRAcZeCa7skZK7JJEXwxTrTaHoo0MV+j7B95EGcJo7s40ym2pFuYUV+8 -jfZtKrDdV8tvrZ8U7LrkxsSuNGHu2cS7ew3ccubcyGYzjCL0jr8jQvWOw4DXU+79ZMoBpxR63741 -xvKNcWVpqefbYjhEhtGju/ocIZDH/nbw0MUnMNk1rF7vw7iigI/19QpoKI6P1d17XqhLeKjpUt7Z -Nlg1mwnGMpXd1GjBZuZK59RJcfLQtCj7g0B4b9v822aSvicp+vaE9zrAkkE9nzSmEuiq55i0WQFq -rlMB1+FmQwo/OMNMo5xtnEC54x+S0Ln2dTErM2pic+rgil/rQkj2CuwpJxmPa7i+Q6kigaClBHS8 -+SQfql26fCKfb3W4+H0SAhjK7/nEDk5MHUVe9jt67q/0yP0adA9P74ZXku9fHG3BUHKkb0NjVUVR -ZLOYfFbFT/dSA9sYYiznn2+x/Dh64DSzAHdhyP66u0r0klpuxCffCaOwu0FSLRMUPg2aCuh6R2it -+nWSgtrlIQJzy85vH38d4+E+afZvtfGUMfxlmk3pABagT+FfMpnjC2Y5knC5jCX575RxkacGr8J9 -fpatPSo+WQA5M7uGcbLJyvsq+xGiTs2RT2CvuEjRUwreX0Zd/TwurMoHBWobWnjgfYlv+tQrFZW2 -RKDpYjSLCTYknlTDtlyid02uNiNo2BSqlXHiYcgoXXmjoq5o9hJus2N8fixbRjin6BZkuSfUzdhO -etOx6PGCiM+XeZKuTe3Kg4+H64Cxh9EYxqFg/XUKTodxU5fKHJluhAdsBpoBrMJIvEMH3yitk69F -i6efsGobGs3kgWlQToeAeNuxlzPlBdWpa6C5RKJINVzHJWpSqYlQc4Yb3XCrlxQlcMgpWHF4CWvh -bHlKQPmarJLEsmPJVgijNG3n0NwsNxmw8PZfVA3FJiLKjJgsQkikz8mVswTUv16WG/t7Dj7yxtep -XrSLeft2fuICCAm0QTSYfSudgthuMMwLJomO2bc9E3QzFfyjDSmIhrhj6As9KhZ77vDUQKp4KUNp -TLSx2wcInGhMKq0we3oRiYgmR/Jmzp3wO4n/grlq6MclNGjlXkza4NFEdbXKCGKTSNebxsCeX0kc -iwug1TXYeYtFxJzEHDbwc1vt2tOYOOPOzeakNuSsNNEYXZ39Rug2jEDvPyKMzpmAlJBORpnl3eFv -odM+EQNRZQOkAYeNdVL+FrNnKi7eWFO0vNOS/DM+XiAlNbEE1IzChXY0oviMEu5a+fMNk3rrE6/5 -NpjqFb63cCmqAGM69fbJ9GneDAsLDobMsO2Goy7k2t+99w/EN2pZ36DBX4BkhbhLQWP+s3twY2JR -vPWQBhoQcIXo1wrhi0YrnWJPkGMafPJabxF6Gb2dfpmPxziJEJhoL++H+SG/+ole4f1of8Tsl1Wc -5bueO9tVu0MPSEb/QMjZUTFImkMD1QJDOvvScbsEV3fzXaMGC/3r83k2UWWC2Haxqt03nfa3QKTA -1IHoPkoeb8gTtzTwIXp33Wp9CHRg5+IxrfeENP2PV5huCT+kUVarpzjC2Ry3p1hrA2IquhRseauo -szBVsumAXuXK66UuEGBqbclUeVBwYot7DHCH1K4kPOdjhbGN2NhRJYiuMkKSrytwJKBxjcrAw5aF -yz8RjbDhZvbOvDDOaPim/0jMl79zuvQ9l9nnhmnLDNbY92LAxFTNh7zoH6/LkLjh0nOccV4bVxcv -+pSIbx9tB4Zhqq11W+KXlLAIYXyZbwB9PZjo+lOLLswZ1+l4d+4xmDhrmA5mNz9HZrHWbq4AiDHY -HzVVOEk9KajkGu1GIbOJFr8YtTnv1eks6GLl2ScUtyZzGwxrnv7mlc3+QOwXBAUcwyHVsFvabS6w -Lnx6K52WnNtQlihOugckqaJYXCwZF4+02QxiO8EUkE3thI+N0hKzmnLmTg01nqVNVM7OrrsW/Wvv -aixf48VbMZbFiP8b6Jk8tGxuz9Zfdtg5cMtwM7uj6M7a/dOaaue1MepWpwlAzcQi5UYA6rjY57Ia -6h/yD8ZfaEcSE7Ct/OmA9E87wLKjPMB59gChF0G+w30FEcV02xDNsbTgcUjMp7cwfoJDs2bSlaG5 -262RnM9VXvpl1QnCuHHuCOPdvJWqbJj2ZmKkJkA/Mizzk0vbfe9W0rkeHZZ5am091sT1B89rIHhk -kG36y8JsN3CHQVpGGAwtOIjWa94X8B55mn/FilgbFTvp4wpWKlIqtC2lQzjuvp2CSbl6px788TjH -EOSqBigX8saJHZ/ledYn7uEN85dWmRguXpVBAoNOFblZthIk8mYXMTXvvAmi1csiVMl7cNM+j4HO -XGZ+8HzHVeaF5XMwKNudb3zSpw/DFhBNUvPkF0OwC3lAOamHxbBq5YtPQ1aajP/F5SDO2jS61yEn -4GA4qicxpph26IIuhg5RCo66WhHiY+J/yjzOkmMVw7wQDdtFneOm5j8uuPdGxpnOqCo5nALNEQoC -3ECKnLpq0XnG4HMh6evF647Dz4er5uvilfVjXgJC4MQt2SUze6vpFLZQuF0roDqsMqu91LHd5fOQ -dFpTYK/mRaUlHmYXLaHJs+fnBh/aFmog73M5M3XV+1KEYL4oZcKxRhZktrjZmeQimjADWgz3gkjq -JdhHreeGcqQs+CHxi1qXHXAhv3p3YDSYXxEJaq4HnJQEt1ayC6NXP2ptU+PGbm9USRhtGDaxCApI -13R28sm2wgSlZB27GYXxZuEbXafdMNzHm79MwyaCg+pn4xkc6wlwqEoNatTioYJ4ZrP70azGOmWC -iuQL6ezsbPzqgpPx3aLJ0fLF9zxJjdLuapI27mk5kPn60WdZJQ+l9ixh1w2cfpxJiJ5QohKE/F4I -yytu0kjAtNFuq8WEaU8v29wrBG4E4J0irX/vntBrs4QOcI5UKzPf+nl40QrCo3UDGUQ13/g5yO/3 -4GkvetVOm9XhO1RfxKrFIsvGbcqsNerByhIHn97Sc+eno96126uFf3d1uvi6Rfsq9QuTtUMFBuOV -KfgmV79wfFenRual5cazok478e8QrZVcCpAaX5z6onQqC0hW8v8rEzQklzCOcX0pRTXcbIMMmVz/ -cSaiWnFsMVG+SSbuHIxMBPpWX8ksGGSjJtcNzbCK5rBxnbw2Unqi9fd/RRkAEP4eOWqJfj3e4kMt -gFQ6g2o7O+YNDqdmSby4X5ixp6fxHwbGjR+ss74psB108ai1dhi1gV2TO0s7n7DbnI6zuQAmRv/P -1hmPIlTDI+vcGIP8BWFxYEUmeOeVnr2rpA2fTmsdpo0e4vy11AjyxoRa416nM/AEi+hnJZa3B6k7 -Io4veT+G43blXrdZ2wZo0vYx6L1fEAzKprYs9jhBk3PvU0JXeLJyz+hOxpKYlV0c1qHG+ASePOet -21Htg7FNh08TkmXL6nTPRYQuv+xRIg2LphXvw2q3ZyCyseiwSIakdJt1457OkJAK3ni9gytmAEYv -L//cz29FN+G+vwaIpNuxOWgVZtrh9bQJuhFU91zP+fFoVARnCZs4xBFOo6JbQ/Fs6EQh0XVNppHW -B2YXxf6tQCM+/rf76U04u5ZPdUG1G9Xn2TcdPkIaiMHX44HGvq5bvwWN7y8L8sL6JN0DDLzR2lJU -8JpkYuA1VSJ84lTO4mCKQv8DcR604ULB24/GiFCJwXfWt/BzaiX7iCcaMmgCwyT+BCY2Ib3pqOlQ -XOfytZU4k9zFW8JUGNBnuGH20QRnp0x9ytRlixPp4u9NXhz/iGJoKnJLfh+AE41g801/ZfpYKmtI -+0eRzyxb+WUGDc+fVgb31q/ApMKXBk4jpqE+dNJLbrYibIVIcA1ophyre9IUTggu8wv091EpA1ya -2n0BWQ9ySk1A5I7EbbqjV00YlxFN53MApnJPFrDZP3YudPon+KvfwUfLMvGn0Hlf/lUMusXYeu/u -1BQGp3Y0DaBf9forJ0/vYoswCMEwE/PdmWHrrNlPTBOKBsQG3228SgrALmsY9BgeQbuv7d2aT7oI -hoIh8/BgsLDQ3LCDUW69jce/LA6wcsdv+yjSB/Pd0nlONF3n45mo5KXVQott7lU9P0fYztgcBieM -8p7P7fU9Zk4lPRufTU2mkem3Hy8srxJsZsAEijtGY1QJmicPH47P+wrBnXIeNTGTI44f5A9/HbSd -YCJwGvRdHtldK72KIN1D4bv3GnZLBC3lThgV/xQyS0N5xGy9CukmftbyLVTzsz8Xds1hWoW5nl59 -u9o2x8r47UQ/C7bJW6ZstwVYJeqOn6Cs5L/JGlwOdHJQsixUnQzhVdsiL+8HHbS+lyAH+1OkNQDp -9ZW0T4ek2uuWR/Ro0Ze9F+/7U/QLNxdgmCzxoZl1dCXGOXBh/5UPDLRLpUWVr47WDlBbSBBRLApY -m2kp/oRq0i+dFIWV1QHIuEslbY8y0napiZuYsUUhpWSqfHc5OJCFlzd79AdBxngrBG5U1l1kwvUu -9XKsUdKVARtDHpkWAcDncblj/0U7efK1izaeOar5GRk+N/kyM6q5eostgYddG9ulX/s58I1c0+BG -UoFVXBE3cK1h4rTDxuHgTzqDUIhattreGuPh+CLASIt0ntlde/P/3Uk5ZsuR6l1NbD0A6m+5keC9 -IBdTtbxCC0fT+Ht07mv+qbIAvdE28NOmsqIfzX9i93RyLJ4pDgUrMSt0bhu4XQ/SLcXnnfsiP6DK -VKeDisO5ExJwIFIi3aXtqTmO23C9Z7irGdADYCQGrHUqtH1eCjE7cFa5h0aWkyJOFPd72UrdbAUw -NgujcJMwMN4GmJB57ruA6DM5NUlCHzGZA9n11UL6+kYKJcaHDJDtIGI29xY0zxjGIGeHwDcGJN8w -qtT6BeJSNTivQbfhXkjL62BjkzLbaPma6Y+0l+u5JPQ92MOBp2xYs36EGufh59Y29J4+w1sB9EkG -xj406N2aUKg5TRyu/IeaaERhf845uWltbp4xjkIL4GwS0wKrfMcwl9RHkn/jB0W7Oi9a020LvaiH -txcP7dwl3VS9GSznm4hjf3WmqgJ89dG8oqMjyf+jG0Thgwr0HogBT2Ouy6QNyVJNqmR9//UOL58J -+z0fFYHPEwMMkjWzOpYxdy5XoDjRN3BWZ9Jveq2Rerl9H5TYLtlzyaeb+dS5nCp5m720AGhr6+CF -wb7miRp02onV2tcIZCptV2bASniAEJNSBVyT+TGAs/AFeZVHWRUvI9MJuuwDhcFzWsG5lh9WKu0/ -U7zwwPf19QGFSminUmzgXJmhlzpfPd97SPSsl/cvgMllvlGe/FJGpNJoToSGpiDumiOrAKCa5QS/ -aQhTaP/oRw8kc81eclaooEbCLVszu71oulYM5pfcbPNWgr/n1jTZ79p9UEhDRj+ME63Eq3fFvlhw -JCvaKUTGvNjVQxTZSRvxuH8rYStJixdRzunyB2QbHis9CRuLuOXR4JqR+O8Q5Q503b6bznkG+G5t -2OVzZL6wZICL1Wp3Rt3Fv1TSacxJJBYTsnIVutimipoTfqMFkF1m/qcSwlvP4/6LSkOV/41CEpAr -39TsyEZ4zOyV//ejbcWoCxOeAfwF6IGw5RJxDkHd/D6mLCmlfmKp2tvSVTMyoDha1Z73dDQeZeRx -h1xCaVUOB0wmg/Xpw1GRTQ/LiHZQ8lLUPZ6w6wpwUD7HVLEF+RA7zAt1np0m2oQZ2zDEr51EycKP -IgCOZmmgnOyJHPakc6RE+6wSSbpdD/LvO7jEjCreE7vcuhObbzoI7eeVXoPc3IydfxDcfb+Igs4C -jq9vr0qsMJr/HYDipsJyCDBAuR72XXMHPySeAlS+NJmmI43Nt0dQMNIG9IBzZyxvJlYv7WqYbUrz -qrbueAxnHfuZrw1H5K4gX+9TUhv6V4MI0+YokP8oTeb0drNAIBfLFGtHm781y5FksZWfW+YGMnc6 -F/vc0oiaO+axl6VgJM0mVvHTLZN9OD0toki82B8Cp8NpuqrkXsJio4TEk45J0GIm1IR7wJHJ+3zd -e0wW5roO9xmD1Ei5I8L81upFH2FD+L90Dos5lnXY3v2tmGKWO3QelAeCzCkSgBb698Yn17bISHX2 -uAqvE/0BfLaX3Df4nKilTYdCB6jueB2tiLf11R7WVXerK+RWQd579p3GkXRP8EKL6qHo2AZQo6Dw -aho+Fcfk/h2en1AGXkxSpjwTnys9rkd2ftWzTPPKWjhfmBAR1xFCgi1mb/CzFmLXy2Lw6e7TbN6V -uB1htSh3cmTC0d7NOUwwRDzlPNPeahlGm/HydV5uOJnoJf58rySEO4MFCMOJYCttfw2aM21HMPei -nnqbMR4I7kjka2Z948qvHQNZIiifQ0gyS6hM13kFg5BPI17AcJjvpmKJapSBxPuexjtRp1zSRfLj -xsfacJdoyRhkJ1LU5xSPNToSmBd1lbmmy9f7sgtJzaxPuwHrbTT07aBnInB7SsO90FB7MQGrBOQg -EGHNUYa5Wb5zUl+m/qcwHqEFbef/br1lv3DS7/18ZHV4RRZwzd27awaF41319fw7BZBRO4fYlugv -fXhFsZlLnyFWinicM3GKIizbM0k1VsU6jFXFZmZBJ+SncjwK5SHDbNHmlJYC+NUIG1FLgjh5sRkN -2QzBYw4ud9vdbR2WwEl6/SXL2KbkJuOcDsEHFXyxYOyMbMt9SJmrC3ef0UptjHGMs+ahpqBm21WW -e3uAW0z5+IIO2m4osD5arxLI8xzbq76YK+YN04PQeb14tnuik99QsvScRkneeNLSzwmpsR7Vtl4U -OOBMG/0qceXRjNPIY+Whbjn5FLd8nJ2nPu6r7cdLrGBsddSNT8y0dDf5rL4BTn23Uhul9FTzO/MJ -KWpFwNGMS2qEbcbCPMfrmJJ9hTrjtT0nYmfnlCnojn+eiOrs+6rnc3vIwrT/+uMq1cRbfli7kRv3 -sYcpp8KmRxGJNYNKC2ReZUxc78I539iVMM502D3Ib8hB5lMXBQDOLKDJzhoiunq+nmULWmqn5P0q -nCfAA2PyVeAD66BH6FiCq5MFhttkXwTI2I3sI8CGSPQMT8+7VnnCMnlGenG/AC5mfl0cymxE6kE9 -hWKlhRzbY5mwe67uuAF7Oql7qAVLbQXOqKXuirXy+XOScKq6Zrl4M+il3m4fTwEfZhIiL3KONitX -W6sLfNfu4fd66bDOWM8D4dZ1q3tAyC8nPrrCa5Dkuo3Y8uzjVY79oAkyFxmmC0ZqbETUFfC5SF2S -zWks7xlGDzXIswo05aR80O8b/AF0+pMpMyrPYet3ModvXTAWDQb1pJ78usFPBGWoHY1+R8C4qYPm -p+BNO9YMVF7BWN6oxog+pcxI0gG9XAb0jCVOriirtWQXRm10x6eMWU3rqkiIISfRlrwnNP3ivpDZ -CNVdfdOHNLAVO7yWlreipcfkxRPNwBPqOUP99cHG860qWoMQU9+F6kqH9ckQwb7UwoOPACluptSw -ccLg2ityXDREQgoZQVi0WuPXjj0oq0Y2TshvgX4w5iIIKh3MoBJQhSjM6FTXjOLjCezDZXKKCUaM -JUiLJmnBzVoKw5BBtixhBSveFVbZWpg8g9cjaPS8ZXRK+56mK9XtMpV8tdG/ankb7XNLYcwanukC -BgkPhMp5/N8xtwC366FToB/rokGUcgFhJfacYTmW4FHjvawpYj4yg47H9xbYDK6xpeNvEbzYhYXU -Zomp8T3LyNHk69Macqhk3kzL+UzZs3skgwEkVfHM7C4L47108zrGLEJoYrx2ZilkVBHGYA6sIyOI -89pCS0xfeqC5XvVIM7BSwqrtKMprwtgl4/p76hDCcL/Fs1UqseKPMrPAGeAy0mXAWW02XECPzaNR -9Z4Vuv0RBl4O5Lra/t7u9quorZHSebQsiDpyPvq3+tR+cO37ylfmeNjK95jKxT8ufOsXpLL63RwL -sjHzyjM6TjG3iiLyE74S4JrVZlcwx+uaGd99gFl/Bd+dTCkjijGkW+tc2JpAl+wNlsv1uz1Prjpf -fVdTpmhea3iG3EUIBHmDLxHKkfo3oAGvRcG5Ka9bKATncX8X5anNhPz/8Z6GdGriV+XIcewPdITL -HXILiL+8foPRNqK7SSKJXQ2UIt0wgjLc8osdCbgpacrnoY/6+0ZgEc4Zp0vI6p6pgpsS4N+xuBT0 -CCd12Twjeq01ZBouHmyWaJGhCRQe9EHeDmBvrLV5n6oek4Ih+3rhwIDbGdMAbmhYslUmef55pigs -VIeVMx4aSjcCTA1l3pGjw2d8jAMxSpcVeLg6gYsQhdK/K4BLetsNoR/+q/DjRHpNMoqLcAgQ8uwa -+eXaJOImPu0UyvQ9ABhqAcKSTblkTol8/41G6PKBW6Odog/f+9dm/OMAzdXYyRC04JvXTniDOOYw -GE60xvgsmdrxtN3Q65mS+gFhISiS+PZ5cHOnSllEn5aqSYUFqC+y1fTNuQ5fQd2WHFI+Dt72e0AL -KYNrcQkZ9IKY7IBfr4U0Rilv/64wVHHh9uXrEnZO2QxgV9X6hHt6wRBpECnVZ6uVOdWluC3/QI0X -1grlQk7jIWuXjRnf2ej+K2vyVs+J413PKlbCPZYSJZp7R6StCtxOYQwd6ujULOvAlcitnzOnobJP -VmjVHy51R0gNBBhgTpb21fOhjcMasoW1A6wUGmo+KDCKxVeNHQfXGFofFpciAzWxPv59zKdjnwHq -ukbuOUy4iI1gJ8Evu/iJzWNZiWKhAzKzrWcvBbXKcxNqwtPZAej4AXJqHCwHDLNSqxgtgEyyum5T -V+Itd2lud9t6XXmPqlrXuzNEOKsBYWCGjYso+iCWXkR/S4t5wPD5oSL6mvW5djjR9Q+isHKuHLBT -ApdOUySvX/AAkyfEtf+1ViZGXj9VSitllR0IUoFooV9wOjS7ceTPIScejjISVvgiEKiS+dbQmiXv -mIDGdaGX8eLSJMMjKD51ZBD9jsb+ohrk0jQt1bwYeMUEJXynNmz16MgOoPlIBuIsflgDExcvzRJy -vl7xrDnCYQ6HVFOL3KaBXg8t7CuCa/5HkTS05rEhwYClNxqoavDa1JzwbFqjO66T7NN++N1IdR8H -7t9QDL3jV8rP6ALf1ucPBAyvIgGjQ7Rci7rVRODz2UvShH8FTJlj3SFE+yzPqqZxzKuIbfVtMTwF -5gffR4X5MXVo1NAmqqrTFv3S3UG8DCC4y4rFH8knYHusaFdIv71sadnxqy8O1ud4typDW641HpBi -pmy3laCXvapT8DM6OrRlgPXaMD4HO4fFjm1cPD0XeCW3/SLJGoQSim19AT8yjOueIET0HaxZSd57 -J8yxaOvQ/msJs3jjPTv4n/QoT26MFbb01J/b1CC6JQRZu4YcfwJWFVaKcvPQopEUGGFKwWN111KR -jEcC34Cl5SvZWZ+sonzWaza/HzvH9fVg6CallQVvB7yGOt+waPxWHbQumBMsR7ZDSE2+tZFqT77L -2UnHm2XV9oWnsYYPPDnm97QefSTZG6ui+JEguReNitm9kIJq/drsSvdH21oEBWeXcWmDtDieMOt6 -yW4aBe4dg5I/s4zUynaKaV9dQU7kFMimmpzV1BTS8mJVA84r7/K3++desOO2DidUOj54W6H6oZ8o -9Vh/JPkT9ItUEpn+udQETRZskHXdNTA1XAOZGAnLR1c1OXPdjrd9OgVHG8dU795651ZuuUpQ+V5J -FM8LLtkK67xfoML0dooJyhevT4X5h78KXgmUqiGe8AydBgiUxrpMEFAhzbzntNs60rKXOz+wyGl1 -YHpHohxAxl5WRHZYMdxN4Zt+aOHrv/n4UTY91B9MQMJ89/NYLrLOe0Zqu3KemcOKzGmCJZlJnVVL -qDli2vFdBrOmz3Vlzc3RdPfwAqKCyeU/wrJP13i1iX4RhspQ5x6rjXmq44jhkCLTrwiDIebKs7vy -ps4G4X2m7N/Z+Q5kuJqESsXMbklC8lnPM3JNp+nvK025D9uelKmDcC9wJj+T3uc0O6XEhBeDO6XB -OFFGYDrmTiqmX8NZ/Uj/SIrm3ninQKvQtIVlddCmrS5uhTA/QfBj92pC9VN77d+b/oYrD7co3fTy -NKmIxKlu9IMdJbiaPrDu6XJiyADAE2OBYtsFKnib9laYAy9uxeo5r6viKAf0Pt7BuYHW7wF4wLOs -B/fiSaB9nYi9B4dleMKRk0MkwFTOtmfPgxjZWWxfnq+m3Ol/AWnh+ND5aNcLzLcX0zztaiOblO1z -wv3uc11AVHfEeghv4cY929PNL9WyTHRGFfOdQIJkSfRrCzsxIzg3og90wBnYU2oxI/XG91kTSPHp -zX4TrDgZQEQgApcQKyxFqJmCNlUGVgp55k8akmPPxI+Wt7J5+0TtgBgBbbLBM5PDcmcVI128NZ0Q -eHkJNWcwiqw5EFipo6TdmTnowkZ14wyZs4Dyb6gWQGRvPPpPAuLcur4nM8vMHPQwhw4IltjjtuI/ -bRhL2maUWsgN6L46j8o57nnoyCc/TQipxMr0pLKJ17AIPzUTWOM7vKMbzLr2PzxN88x5/ujDtbRC -k13zQmwDc77I9mi4w0NPwnJRxvQwXxZJSErQYP7Di2bcLyDKRZsD02Qf0Yggl9ORbMSHXKFhOraP -MyQWdflDVz4epS6nuFJo/SBhW+Pf5+/7dtJvvn02IWaF+H2XFrZoBffj7C1M7wCS4RoLhoxJWwz5 -iDbHKdyDvSHLz0wC7bHDXZBlJVebWsTgMzE/GYOZDvYdysAgWLv0mgjt27hovkmDEyw2/On6FhrT -yJ5RuAGrd6AAjlnvYArseeRv6+kaTy8rvJTSFMiWy9gBWhtIn6senyO81KJ+q0HEdzC+ptfvH+XM -u/Mb/Ov26+e5TArnqqTCkQGLjD19mae81rstfsad7bWlYdmZpxgn14MN5bRC4B/o3ui4FstgkFSO -FLxmf7Rd3Xotd0W7hZE4UemPHRQlKgSCz3J6sR6Grq1U9V7GitGW6S99Af9oydKiEEjudzYF0qvF -3VDkvrneFmpFe+OpJe3niw2LXYDd5vFha/XjWdRc2cFEwtt5BcmRL/JMA5rI+s0TFa/KThT05CZW -+BILQoHkCxFmCWrWBM1fePYyUMYcxdYeQwaphP+FGDcBbTSerI2srpqd2DqKpNuffiQyEDQCHKtV -y7jO93rNOCbj/DDZmUK7S7sbDzBzGTyvGeUq1ALozby/kuPHTLJvmfd+FRdRM3EWGMLnmnbhiX1x -6n2GaLCmL+cJ6kdnosbNt62mlvw7MYpSYkA62ocj4J0AuTWHxwdJPRmS6JS1Ekws9rvEnNjRLb5S -7O2oR9zSWZvRxV0ExAMJhenvb9sPwlTkzxqF6FmqqrQWHXentifPIDOLvWiwRY6m7LaFzn+fFygr -qeMMMhhs+Kd37PeqT9DOIKx5eIgkQxHphx5H14fxJRHz57SeKz2Bh1onJ8FnHrQ1Rt3TZiTTafw4 -kghf9lZr3+PDspMtTLD0XBvROzBHEnTMWwRvykbsU0of4Wx+PxtLGcgS2ihME3TlKjxaLV+dHtW7 -h/lX6w74J5qCxWW2GzpdS1CHTRHqdYh80Aq5N+vr1e3jDAaZYyTM4eO9stZYWLErMq8+IDX4eVaV -74Pd+raCm/5s3BEsTGKdWktYd3YpOW9JgQsq9cZcE/BiXABVws8fFLWrWJgyrK6FqwwhpWwkduAP -EXUNRjcZ4m5KIPBaaJE83JY2WwTXl49FBuHgWXcxXureFcjoEybWUjz2RHxEc1UBDv4NukCHGp7s -87xhaXmc6QMbB+YdIAp3gkf+NDXPHTsWM+p7C6jrZOCMf7GMKMKTlmLTy6ivBQEuwMENn7Rpp6tE -FXvtMw07VsZVGECVGiWDPZ94pEGmT6NHgnIlGyDAyUm25WwF2jhEBWTiKDp4MkOOXDA0ZyA4s3AM -+RDwcazKnfdiGnyvE5b+IAKWVt5FjMGBe3AZ1rC8TvxOKKgNsEgsK3M6cQ74v/UCp8GdBogytq0m -Ohs8bNCXpMtujYPrujjO7Tl7h0EZCK6chF0OAnjpBDzpVR2Gu0VDpl+g7gteEAtP7qYna7BP64VW -sK1ELXRrKaTC6sHKzBOoqfTuWucJdIlg1TUj/V8n7tuyy0APkDcjJLH/fy/JMf4xGD4B9EEpbD2p -MHCoTDAwlAfFuwFnnxWSg22eT+KAjmam8quehFhr3UzsbqTi9yrvd2AD6U0KDmH/ViMuG8VlAFp3 -AakyYIuVup3M5X0MWZld8hTGugQQB8mkVuYYx6eUPNyQxAZQLI6cUFPrq5hauoD8ioqUJAtxX+nd -WoSdG/KMBjsJxb5nRA8rDvl8ZruK7bNSfojDwswBUTS5/szeqkD7BhQ6FGmfGQDVtJ2M/IcNRRP/ -Gjf39vvxfMKf33bUbenT6OzVVbXAWMgqTVjy/15+U8Gt/FYaJ4gKgtBd9IgvAzLYZBRIV55vyhRW -KjRVwgkUIAki7rwIPitPBKe0Sa1p2VC+yoQTx9rhYJXpND4RSTTCpRO//VXbZQBWgcUTvPLSEbA3 -lPmUKhFU4uBtNvGKh5S6xF2XELse84OzrHHay47+uYkE8GyPbo1QDmbhZApuu4jxxVMAU/iNZN1+ -N4Q95iErF0J1JySQ4J+65fOUSchjJoA89XlpGcsu80D12NoEIF9tA3eCfsPu0kW+xH8aqmZuSf5l -qHeK5LqM622gUMqyp/D7o6DnVrMccl89W2/UYhYmy2ELyTkRJPCF+0WhH54WwY3d40D30asUBgV8 -+TiodO/y14q50pRwk/TdcMJ6R5Dv0Wn0owNhFbCydX3WXO0hjk+sFLERFWQaJIQ2NSdluxT12UcF -qvi+IkjGAw99B7rQg/nzStv00VXVCz3d4cFndEkG2WPmYQsF4KQeEXakWcFII8DYXRD8lLYfUdun -Lk88XUe6RVpszX6u0XLfUdeZ7OklMf7dhBNHAqsrVV5H7jaemsYRk8uO0a0xqFPmLfsHuLT8Hzn9 -afgbCIFALl2Ou9nJGFg+g5CTOVlQHTIxPTruTp9jBxZxCiqgW8tk3DtO96U2zEFBo2897Rf+edEq -D/OFM4LWvF0yZj/Lg6dgXbYI9CPbxIwQ84RTKd+uYNtF3JiIZo10PFvvgHIMhxZMI66FcZM8p+Qm -GN7K/MUlEkm7u4ySDnIOZV9St+hIzuesB/p61hvP6v2BiDiqCaDFjmXsKG0SAvo7VOQzGPey94i+ -gZQFXzfyCobqMmeE8zKTx/QjTBI2f9irJ/5FRD5Akb5XZp5dIswbxiPG4K3/mUzesQ5H2gZKN5J/ -JWVeTevM3Jf7wg4b6+BMls3jYAHU4dwOXVYgc/mNZKptTUeVgd3lPIv9y3OhYiVJf7TKeGAyFBuV -zx7aKDePaO6i8tX6zZ4xHbHlszyevdd5ok6h8vzueEaARM974ucztNsft8BxGTlUQonWO2WqgMFK -HzbFe+R5EbvB3MqnE9Ce1hUYik1RZHLRTCbbaRUCPp7N5eBV2RoO5KLG/+FqkKHYZEhq0PZi6Ct+ -/G6RrPSDH9CGVyRRCwht6IMFxjrb2fcdwpMncNFN72L59xmxkqqzQXqIHnGXF8NBJLQM+RxnbNDE -AYaXajf2utpINjezcxIBxJoNLApBve0dwQK7CZsucL/gUDFRTlitPKIMxS8aAwM94Gr2H3waE2s8 -QXQrLEQnVg6Y2HrYHYSVDMRXnAVKTncNTf4u2h2Bkp0fdp12TpfEUiC5qz7ZP1dWZlDgNnQa3drH -+HoVekbQLQmFxlQKeHNutHC3JKSWHBnK3Q7UMWd7Mp656aqq+2jPs1+kmoRLlMWls7Y7wn4pjXYV -xhQ+qeQCgaRNZ+FXbsj6dlG081kMfRS3aLMN0vpdjtLC4A9Po5XS1T5km+BzfWbYOp+AVY2ftYgO -Aa2qRH3GfZq3DGGZI468j52tqPVVstRCkpXOdbyC1fOWDJbVMfWkRPOvLXmDDxmqMg3ZXRSxRolu -9/8ViBbsCStelS0JoglemD2Nr69z6JtjQ0+XxY8ojBTo8SwYdI+V+8ZnEotquHX6HO1X0LQMpxfU -D4TbRe5svMVo8Hy0fM8smOtDGDLsb62ECbXPBY27W3v8WmKTTCSYYsj/H9WwIFQn4UJlNFe2siMh -8Z6UVhj4ubCXeJnD3KMN0NXKWM0dW7rqXAt1nnT/x1dDW0sIxGGNEezLWYHCaYTbwkfCbjiqH9sW -IrFZmA8om6FW/NVmsnbicD4+vGeb9Mdsp3T/PhpQfXl3Ld99KzTgWqlgRUnqHQYjDnEQj9xCuYLk -RowU5OZ54M4b+RpsinBlSG2AY8jBv61ZwF3COtKBOYHtkiHZ2ZpCEZOPHJSWxb/zHIoi47OxOGYg -Y191D9Es4boR7llWywaC4mllqA/klrajzI+zfk+k5isrT25hrT5KT9cq5UoKzLC9EShBsMuYndNj -O37fGPOvTDTFIco4lxbahJpTCnv6s3/gi2MUZJZ/9txYLQK0R1365r1jmSgOJrYoysamhmqD3RAN -O4xRZg0mWAceofv1xJNxiFJWC4OVvCUJhwhy7YG518iwJzpOe0524QzZXU88olUxdJuSWBd0FPI6 -gcaux08iu58sioLlBNdvgxoJTXakX4HbYwNaA5onJ1DFT4dFJlc2shlk7G12FNBdnMQvo0ag07a6 -hTHOmcjF5hrERNO9mYwmy7S2kirztU6RivV3mFqPq1HLkVS3HPUhvQcoF8mHgCUGxl6D/tMMBVPT -H8SSE2PYwb6D+3CQDxOATN9Ad4EbTmxlvJXmVjzG6QuXC5tpcZ6tqzcHMTNnHadrprUsE9Z2D0J5 -AhzI4vXdG3hXVDkF5wvInBst7+M0hnhm9WxZy17taIuXYdwK5cYFfMIYXpo6rDvEdq3OTAE4hBQv -Ky6PyPdUcuN70ExjvTbp8bxZc1ColxXKYvN/ep+KKGCnfN4IzKCZQMRc+p5n+072x6EZk0EOaY32 -cg/vJyznA6LM4t/ucD5c2EWemU3M3lgNN3IqZW5huO+km6cBZNW8PqXzJ8ZC18JmcxxVmEw6CUeQ -f+oS9U/RRVQleqS+bUpPEdgqyf3NJc9k7Sd0mX7ilNKT4+Y2eKGU9HVXorzX4mHX+0LIZ/SsjF8S -QkMlIpzSniIfig70Leebx41GWLeAK6tfQB6QCcERocTF6N7zm33ukYQrrfatyPxLkwJHs/p6PYFW -nvBo25JuoRtLnw2h8JtRcpaTXA5DYSEt911tgpVio6mhBNoJlUVZvCXqOGJfuYPgmFEDnbV6WXkY -M+ulPF2coI1Kiia9+291O3QJk7mtK5QSy9mhD50ZgKYWnlOp1MUxByBkRnoW5fB1cVBScUN8QubF -S5FwDwfpeNl14wCTHgiypFWPn4B66O4M3cG0FNew5GijPhcni9dTem7fEhpty0TmGL25CXpK+lgm -p157wr1O5358rzQddxvnyOvF780FqHnnQ6Olm5Kdme9eF8UqhBnbSQsU10n18Aw5l5cNzR7P8avu -rlE86s3LkcB46HKsYIgIQ5G89L/axM9eRfXgFifW2Ugam3ouXsb4dn6OdmJo3jtYA/AGDYGio4Jf -wb0Fc8lk1SABera/5aU42MgGxSzOgavyCvzlqezr5Ylz52t0Ea2oR6OZq0Fv9rQ0xp7tcSurIsKW -YdWB/GGWPiy08Mun0VXXp3gCk9Gnf63O9r/o+KpRFtpuI/uJjp84dQop2pfVaclm8g/fSwZW+sqt -kvsS3d9mp/WeSoEtRCm8uZdWK4/E6RQTnbsIlQF+dSNnTgser7CK2Sh1T1qRw2clxrnG7xtbmDjB -r59t3CIaLt2rtlgyPERlruZjFeZAKtJ6YgiSxPhoP6uNcEsQ2xk5YWwdFSnWO81pqtrlqgR9OK49 -e5IMR01wpDUrArxfrGik4Qi9HtTwMSf1ugaRoo3qTXu5uCYvb1irzX4K7aD7exBVJwKkSXK0VaEG -dJ4xheYwIiBNNH1V23A3BwWQ457JwdqhSiEJSrwh7b5Fh9GUEgj9+RLrsfhkkCvAVPoFn30O5O1q -SeQM8XdT1nxfziz2Jru3nG1SQJo3fntYu2yiEvCdXFXRBmQz5nd+hblw7dZh4sWBZNa833s8Zoy3 -cgvzYU4ODiHVtQX8DcQ2M5A+zXtpVwDo5TZ+Kc5QWOX5NG6hX+P79hhqr061rdlbGqKH8l7IaSER -xQjZYsmEkl+UckFyOc2wKQYry2Ll3dmpwDGLamW1gKntJJm57r+9ygK+eimWgoN5hphWDKcMOZ7L -RNYRp+TU9DHNvDIPdtm1pIBY9oBCAaCIMmv4rDdMPacrYFTy1FSNoXBPc9k1vZ/SrNO8UiQyp1Y/ -qPuc9eQ33yIaQrvdJ453B7UkZsa89knMYXalxiDWdegzDnzGGdHZa/vpmTf8Jvp0qe+99FQp/8yi -Pos/eKQ9MrGEAxarQgLtnMQvsdmXj15o56q8+DbvJ4zHlnz5VcnMmQCp8l2ASCpxfE/evsudmoLx -CcMVXKGpIKAJHj0nNTaKREXgYU4bvG42S7/7AW4gMgAtGYXfIgZv4W0yW6PxJvBa21K4l38y6nwf -+3HMVDAAzo8M12pwtfxQ63vZTjjEVlIRtfryODNzm4eetVwlD9Vi8yGrHiXx+ctvIlsTln/jpcY2 -c88y9UrxImu6fQczp3WBBy9IHt4IylVWovh33vOVa9c9DD7R5ZN4xE4Ie4ByEFjWIEPyPsKEe5Iw -PT4E1fkawjhLO3TbG/JdoimWa2rFXkgPZ2tQcphcHTRs6kmmUY6IGT+3hU0VAPQb3xnEgCkUF0FY -GGZ8kmW/DhzrezaZDY7mdBIgPhCYi7UGOechFBfcSx7+1nARuBLmqjWXSpGF/aC2N1r5oLNOGKY+ -Wk1I5vb74GKSAznpz/T6HAEBM1sD5s8XMpyX6hY22IYofmR9pmtVtXgvL65y+ZfAI4ZtTUXc/SAf -fB0J4326oFyhrAcgNSHasWzEuTa1UesJChA4wrXiZu3KB3TDXLY7FONuULpDuUS1imiLRB07DDas -42xz8h3NZngYzHF3ku66UarOQzRDkf7vzTGnXXP5mMnbO3k1jPsb7GvyzepsGKgX53Iy1a9DV/Xb -ubCsFyYKEd7hwtG2cOG2ah3hqA1FtbSQzwlwWYOc/j9dySLxJoQryP1bxENzZLb4XfZyIVrvcuXF -SLu49FZkh7trt2YPtyFiTjRr093NnMg/BncX6B/WoYxUD441JF29gQy+KjyroSZv23TcUXrvV8xC -822XYi2DZGI26AVvFsSBESgRVQl3jK6K6DhkjPkq3rV9zImt2MeS7aTZDyZJoAHRXdQjgU8VaYHa -LWbqaWqMKrDD7P200fLKUJbga/XRL+lrFrel7TrzXL9riLsUvTVCDkEe1TvZzIgrZ22Hu7GDE5xO -lRynmj55DEC4cOTaX5ql74liCtJIXTqeqm7iVjWly/kNfbP9kGTpxEFDRPvHAoRZh1Q5SzPfsmkH -R69dB6vKTByAuphvE5+2CgjHSPpa+yeB5xe1otwyCsugklO4Rj6gHWMzHvNONMBcasOKsZXl/CA/ -A+ajf2dKMVy+d7vL5GKEamQHtQl4Oso4czuy2FmcfQWxeYzL9qQH0+IdyeCOxr3WAsT5dhoLIe+A -m6T5ZQfJ5Dd+Xmp+Y0hMBcYeqT2Yf8r30Viz3GJaNKtwUjckFKLvHBKbLfKPY041op5tk0limN5t -nt+eGwobzcIvJtbbZUM/Yp555e/374NEERi6+P08nj1grl3w2kbG1zVww2M0WpM9B1MklL6vpgHR -wM2R2I+xL34CcZrly3pAZ1CVEs9X0kdXs7UaI/KRxykfVq9HJpdam0Xrz3h1qGrD6+CnSOpzMG7e -uNONV7hXkO9O6FKhmnzlx28IVShyS4Rwr9hDLNM1fU6HPhJvM6P+varqPS66wNH0wO91ZSGsI/Qa -+TZ3L/yGdQurs79Isb7ITakMzFleYUqKDqX2foLR1TtUC8SMrp/BO6nIsPcVR6+RsoL2Xvq3DlsI -k3xhMPK2Rdc/oFK/aSC+Wbmryb01KIJgBG9jNqsXYmxLWfWx/uNzskOc+EJD10NhOo4UjzhuBJgp -Ol3+msJiXTKcMNc5HUYrcwsea3LbWFEVWUAA7WSLobVpCZQOT6sIrphwQHFWP+sitsWDFkKR8+ax -bTwVqnoRzil25DXYGW1fy7iiCLuoR0BqINxZqLS3dxfYB689/JXxS5Nrf+cXNnAc4ryEL0efZnkA -uhDhX+fHRx6UL40KMUd0Yjva1UXZx4bmsMJdPEBLOtC1vdI1RSdvqucNaH/aqjiClauNYlHr7cUz -P8/gReMhSmKyQZXwxJnYD72AN8dAwu4TcNPvqnpzPAL6mwbsjspWlL6+/xoUNfNh/ztgPsfnyha+ -gcVSyh17ctFjSH4dddVfd5gpTL5P3SDrlb2ZO0kwCXTRaT0MZ9qAZbpsKK9J3ei4QDQ7je86Y6lO -DTltmHtPp48pVnGbWfpttafX19yw1kevAGXuKUk8BjxSI8nB9bLGZXK9EZPryThcA3gtjmN9T5ly -EQqKGxHE1b7Ejqc+m6qOqR2ob4SZA8Gv7vSdY18x9+YDCAtbP/3P5aCUpDxVrZ3Igo/LPwUKnRX2 -WKZkaFpAmxJib73iQ2vRestqOBgjNF6pa+Dc/Gtv2Yf6qY7SElOrzR1W8to+OP6qmJNATHbVmBpd -OxX5kMsEHgQLq6Qvj4NIeUGi6f+02i/2bEVy5zT5JVnx2Ni/Hv9yGrP2KrLwKFZZPcDTr5ZkaaXz -kzaTMXO8UnWuzg2Hc4OLrcq6ax65V6QdIOgLorVw+DjaD8K4vtfI7+qT6xLtohtpjVfTrhacpgK/ -8sGoIhtlAIwPHcO+AqKMGFvPnlDKawn0fuYRp0gBkhNkoDBO8197YtCCc8JG6F7ec8KK9EerRWqp -Y7/TMVBtFzRcN7B9bRQql6D0Rzz5plafAGwuheMR1C7mhwSll6S4yQ0bcCENiH1sSriCjQ2sArqS -2v+EUHF6VpgRM6mvVuolhi0DJeL5vqNQcWRRc9GK5bnW3MvbWlr5ZdyJ6LFBw/Nqq7XoNUR+gIuV -7GNoDYQ3BHlMfLLQGPvyWdTIN0dEYFZVLk/RyyqSS3NhYyb2FX+Th9wnLcRubcXfB6BU2IWiIrGb -9UoHRe8V9boyCCwjTd4EBH89f+9pXrCqEO/xazn+elkt97IQneewuQc0h81otXKyDUe4R6NKq5fT -LJYFiTOR8dQheWlXAUiXHrshvdrIE8RIR3hMKxkpvbVLXbphCB1rB7AuGC836Bn4/V55hWl3vFIG -AVeSc+zjwSxrJVsemMHqvnoGs+jTwT8WzEBzsfdwE/dD5rWfWQRGafYN1YSULyNr9ak9JT/EDHci -O6BHOaErD8fJ8ilyacttHQDk9snW9neKal2rr/I8RvNaiGGZgG33M9zUVC53lVxFBUjoiZpdjCQV -IU7xz7iB+Z/r7CUHTBMzhmzWE5FgH5/WlpnqCF18SsIOx+l3L+WDYcyLl9Xlg8TgwX2MoLSjPu/Y -AduWDNYOeQK41i81NJK7QUglkzKCKgXGcj5/ZUFtKk8y9kZNbIMNHVaPk/gLc81vmBBAtSvs9iuL -JQ6FiBHzhVpLV3K6T0lQy0TMslSI2Vwk8Ug7Tgp/wq54lw3Eft8I6mulBY7Vsmf2diU3n2muoBcK -JJU7PKgVyjp47jqrA2ppFLo4fKXrgmdgTKpVb51NrfIQHu74Fav7n6/sPgcMCuOrxbwTqrO1astu -Wo0V4k3piqBVgMp/IflxelKOcWz64AZjjZe7Q+MB53/wTEwi+VeACYTFk6oaobhySgC4VldMWwji -Q+1kS2XGULmM6OxsgWtN/XGDZMTlOxzPVqKaHGWpUX1SqB74di5NGXzpfYrKZDv6ZXR3+QSwYVVU -7Ky8CanQzhFgM+BiHoV382qh1pUSkTxwJWljo2zRR/7egEd8IQ9WIhlqDDYGeNsGisIzfWIXCNPu -iRdPi3057UmbVpAmg/5C/pr50ApBZsD4b4bmcE8ZGYAbNEkS0gYaQ5ahTR1RluIc0AaLm22Zdftd -Q0OYXjGn59WWUK6X/h5/88seU69dVLE8itGpc/SWq9vRkylGzGdXdCL/y8LCWXbGIFNU2q6HJ3QM -8rk9zYBPRDnYolxLMRXTi8kFys+dnBRUkikmH+ULE0guNTqwzPIAzvGZ4iU/lNKPCB6KN16uIUcH -5WdANG8ZI3vpnKNzcWK/nZ9IIS7LEJT7OxLA1SmDk8hRXVblD4SunRD3Wj8cAeGf2XvpZPXCn5EA -WfzZF1id9loSLd8SPpMWOKt0EEOo/ZezwnzGRqGWMLDQvbKx8Y9PFnityqvFevUKPG2R4tG370a2 -zYxXjgUvYGGUmrClLFZkCVGBZ1kTUpUJmQH2K7ZKps1HoSNUmOGxuG65ef1qqe8DxyifbJ6y0g5/ -0T/6zFFMEpj/wlBPpsUxwBxl8itcI217XHqBUE304fBF8wWKScoqNTNGQvPoDBUlz9vTfDWFlSuk -ofW77Lb+utha2sNSTVQuxpLx2vzxPzJjIXJNCIF82TF0KXMS/0dLgardb+Q/B5567yl1i/8/eNX5 -TisfmbEappeTSxqKceXjf2hVoki+xOg88XmAzKlo9sdk9pxeBOR0tiagHcpFzYMkLIO6chSul3Ha -MEOVMYJCLXtQU1w+yxfxUz/alVcBtxU0VQgvGTMnaiEoCIHQgEIPFamwq++5v+KOzrF3MT0Sm508 -ZcTNmdyUSoRn0IjppARjeT+RKmIzhQf8yD743jNO7zDiLxPpC3ARFwy4CiDaVsow0n2tJWFAzAB0 -yv+WzLQTPegpKUq5yZnY3EZKsfz/ZzFSyNtqInwCWPHTSfuAXkEKmSJJuUzmdzAMAdZ34y0+5CEW -tmkVRDDVVL0ygf9fUmTRbE6SI0d9/cgCnctaO1fg6IGg7X0XrDnrz/h78ITKlvo7bnTiaH07RSXq -+rS20ffe2y2aGx0Fx6TSDsJhSqZpR/0lIQrh6V50bMxYlzWygVtl9ThVDltF3aFFn45SNtihn/NZ -UP9+lUuSkYUUhPO5nmhat5YpjwHQ6npoPZE0mHo9HBn2qp9JPQ5jQkrEuXd9yaJLdR8Xwc+n+B5F -BNqtbsGpybNr5dRLZvmAJDxz7iutBQCmvvQPXYcsZxhGi7+ttwBYf7Gu6HLwEs/eE03oLT/RcBHs -f85q6HNaF0nuIvIKVFJ8Xsrxgl0AUWhgngjnG/+EaNzGNN0lKstKGGNCHTFp0hMNjhUAhkxAOEDi -iiKh8wNXSNUmKtucJjHw5o0VUWcyJCfwB8OO9IUbcwLhO3UQGbLYO/NkGIhMq9yUllWvKkYlYOSI -pSZY4exRtyXqlQksrC6KwC1uzkaZS5+4/Pw6jNNW+ub1zcW3pAi+lUgBwmPm+wiZ+2eBmEnYzt3P -1A4KF4FKoHqhenlOjBbCC93mSx2Sn7ukE3WTa83oIBXFdUryjIvCYpA2C1/2Nl8QIuCHMHAH3Uaj -UzfYPkRGl3Bsf5jnnckwlNuQ69fYXla5rd5rrtEeEql1BXI5WMHR44W8dub1FVYwMjB8AwW1/0Mu -yU/vqlp3M1shTDHEFWJ4ofBKeubTwHBUJfkt8xo4AKxCv6fEGhYDfD+9SLGrm4KTzp2AoFOZm7k4 -OegxFLtMMiLbHsA5T7CWyKAuTyuR185fOWu9BBAqJSkuEtO/tltumoLuI2B2X7Qyb6K19i2Urq3N -zIeCDmL4s3LmfLkrsOdZA/LpOVAHQqJ8EFhfCFw61gvovRhD3YCIDo0wn/08lbhrqv2jTUw4M0J+ -5Lxs3bFfIaK6MZ/QH3nWRIfkdFh1pojk3Gx5fbs+0bRBK5d/htphs2i5PBaCKOxifYjIzjAFappf -4bgwMP6nj4SPQnAVjV0afrhHkMZnMeKO/PGRW132+1vB4QlqL8WQihmOz6G43AidPa2dDB3bl8gd -blC2kIjrmM31EkSOZ+DnBuDdqoW6l7JUHz2tvG9+e5LRx0lDbiZYZaiSdSsIy8hF/kOAW7OMTpbk -qXUq9mB5JpxNBXnNYfcAnDlk/y2eZFsL+l2THSFa514fGVEIZF15dAlc6dxW6kKXvcMRD7bgwbQ2 -94s8UsNbGvgMstHzayo6A26dNbHz2nU7WCB+JXhu9aYAI2TeUXle8TDhtxpR4LiW+RMU0DJrG6kp -MyRM6z7KwwsU6WZB6DqrdbJ0uTtVZFuREAkwvK6MnbxbEcRqfq9V0G5BtjbsS6+/XegtC4jCQeep -pYEN8VNVY5HYKRz19Loya3MjyCHLow3ejuhr+698cntZt9qrEYXSiDsKCp5H5NLtr1jF+XAQjS08 -aPZ/F26qTIWFjJeUV4cPmH97+QnfEPRlFDa1QelRZ51MaLgX/FE5M6RpF+TnA8/ZhRktnu0RREKK -LgXF6tvN17Ga/tmvbDKsdUlavln/Jrf5JUdiGhyMq5KL1fIPjLlN0g10tFp60zcDFzLs6KBlLeGr -eQX0o0hhNDyFqe6/vZMEFEbdQvIdVP0HKLk47OtUELzYwvDQdMyvnVAf3vNHlx8q+XRVDplewmJx -EaPAKRzOSCIWxUxLYBy7Yztz/oL5LeQ4RwH1Usb4QplJK0QVNA/gFhuAYpqPYOu4IG50y0InqbtW -swHZl8hT6NZVe5GZG2LO7LEb4yuNJKTvB+r2wufrOZgdIEe4JRu7GGNmsBhJwX3YaLLcVCSCvycm -dn7CVXBFwcDlnJhTPxvO/X5ElXjD0RRwzWGS9QbAA7ZpUGxumAdt78KvJiMCYWwCNowxk2zCsLuq -Sg0p8wI6JN+SczHtorltI092Q9fqolnMgsAMPHj4GMMFqpZUR/BD0HinFdjJ2uftJD5d7p2H4WRs -LSqmOzccQw+B5UanN08XYy9rhUaJyZW5PaD112auPpUL8kF40kVU4XZ7Y6+RsUAdspQaXEhV0hE7 -umGYCvllnGOYyE4CpwKR9JQ4WadwagIHiAY4RStkV+tCP+IZw8IiBlkxm43PKTlZKZP41hIMqL2U -k0h++IHoKmBB999irJ9KhqV+CwhKI3CkSmdtYlmZSLaEdj7fvaZnzB5pu+xUAWMuhwF3IMEgChYZ -yFuLt+W1I8MlH2SbL57T3wcwYyfWB3kj1sjsntCY7NQGNg9sSKt63ndMKfSolYvYjM6ugzdjKgv9 -7elaB21vOcIOapMWR2LbSNmqoyFqxoxh8nKp82b90Hw9JcY1owTR0CuzbjwubnTQ0li8QmeR6g91 -R1d/FRvzs5i+C9poHXvSxrwik7py8bRnMYtPLg4bn+vgOkyZzzZcKPmhPCdG8HbAjmr7KHRoAT6O -3lzvakhBzt500Zp6roSZtUfJ8riZlkPGI0XJR7ARvZovPtANDSIl18bHDJSOcml5Pr7Fq7QR63ie -7p/etsrrqgr27XowQK3xTNX21tzmGnTuUlgnP6A38Vheu71pR7kkKkO9oEjv0/KevlCK9vym02kL -0WM9MmKH+NC38MLkI/f9fYgPf/onxG1gYT28C1qubFUfj2Skza7Igk/gZ9P9Gu3Qb9TgygPN6Q3i -roA78Yf4B+2siq2KNtt31J4yiEeoJF0db7zV1Ua+a9SbMPFPgMsiMgivaCL8oXMt80sS5fzG2XqO -sKFiV30Tw1a6wJKS8zUVaJaf5GCnIRNL0fCBgX0a6TUv9STTDlQM6b/U7oBOdWiTHu8KOkWrjz4E -kT8ZruSqP3Qo17RgxJf7q3aoajuzF8C2GnNG0VlU3eMUlPQN+3gDdIfBVQqpApW3B8Kc83a4CM+D -po3EAm55vAp06P5K6qWUWqNZb7gnNYCH8zpO2jEU5J+L8QRhQHNUwuOIAtET5YEJNecPo3ujUFwI -YyXCpur/zOHBojv1CZsqiHGOxbZ7ZbUKQvVD6U6TU/3+hyPtNoXHUfGT1kVyWJL/Hy1N8hZjZbcL -ZM3fs8WUChQQBSn8peTAwjKFDGhXvMNCYzkVtdkn8/SoYsZgpovvalcI7MUTN4jChoeb/2MfokEc -jQ5yjZPaXAuj0Osqh0a6pBFeLEwOoWLIPa9PN4gdOMwfsWsgIRpNOfzcghlUiW4fiNGr/qm6/K3k -gvOiBYd5etOmqGxCQ0cGdIznVZZeHfn/o5so6irOVhfqyP/BoiaIFUTIyB/EW1uhEGMSPJ6WJG1U -C9EkvPlJVVJogyUZcuniEHZFvvNhKsb85YqEpzIH3j/hlJQkPfZNyq9kjaR35aL8lxYvB615alNt -sLVJhsFU2SCpoJ+Ha13lWKwHZ/jvipWzakbHHLBLc72r9qktw4l6ed4fescaXW8rvTPTEnK3Cpe/ -qfpVDjz+hVIEONQh0cjD8ujot4n2jcbhdFPoQwNB0N7arJSW10iTQ48vWuTjy9R5RAu6FOb6NORL -ej+48Y94s8KjN1KE1Hn6qLLwBQI5kQRjLRgnDugIVXtEKdcrzT1CpSZ4juD0zFEY665hsDkbPeiB -UJMSaM8jY9tJDfpISfNGPWhUfi/K23qWDEG7eMFqREIi7rA99Lu2vw5jPj1uTyPAght74BfCevY8 -NUwvj49+DOQuB4NwNzBXjve/nGtiYjvY4whgMbv9n5xaEKqHOVgenKYmu05GQg9x0JUpqb3tmiZe -bz7982f4ZNvT+JXiOSlF1j2QXnfTaatqS182BeoIK6bigXNPO3VFDx1bxfLFUKoPPupFAXgv8/HL -w8N8uQK6M2tV/6jHMNqr/teCzl77JeMXqcAT4KPEyq6QAhUqqRbYrv+IEEEuviCP8jedZWEHav5x -bIsx0OoSFyQJZkQz/PjuxBeao11bdfW3mjtvHmkJ5pMCcR8vTgE57dIofNZJsetW6lUl6h2TLB/s -WIrpsiigVRBPW/JZp/KmIf7OrjMJQpVlJ6CD4Y/hU2q11bsAUiXyK8QlkWtnzFszGikWRyS2dcxV -SBri2Mi+IGzzWJc9/+ekcxYt1twF+II0JsfX8NVNSb0MfiqRK0mbnx292HkQYzXMwRMQp7HULzaW -jlUNY6GRVmLVfCl4/yNT7QMUplaPQyjkOQnoU/ZonjPCpxu1IZmr8Jy9RzuB3fPy5uPXSQYu5NeM -brpH/jupUeUIgTnmYIcamRa2u1qXskHhuA1HzgQCtuc+FizFLa09vdOmWy7yo2V2+oqJmgzImeKw -HmIj9tgdx7FaE84FGCSYE7Sb8pScF15y2oj95gboGagpXvwXi+r9B60pQQKxmQIdir4pOZ6HO9gE -T8G/JkpBrUBHZMlp1vcGJqEHYbe58glLue4gHxUULH2O0y6K1q4RKSnmguH8pqhf2MrR+GRDryX7 -HEJdAMNN8u/8otVlVa5KMR1R5F+U1idRe637+lCeY4en1qPmmL4UoFjyY+xiKwXO0hOa+SkMgxCE -Z2ZOXomVrqFpuVOgY398lKeoIyjpn0kYfWNFIu2YTyP0nFqBwxBR341vhuXuhTa9TKx8iCc/4kya -J4yIBPu2rH93ZMh4gtpHBGOdd1P+u3OpLzQ09eU4/PiYe2mcUF8zL4oCakl+IFXuSnvtJhW57pvI -k50SykObFv0PqwHpgHoPcYWyyswszCWNOeD2+PgXwrr5evY2bzpbansfenRBkSJ8vjGbrh9wx1Ys -ebsrnaqGacB2LLQW2DAhp6UGoZk4hlJyWSI2NP7H0voxaA33Z68LzXWl0N+pEn+cmDykQ4ZGNPyC -2FPCFS8W4FPjTKHt/3TPLg7ULl2voEilOhTuT2S2xkhx+AUA3ezNc7J2OYrmW97ReYbbzfa4J1BY -sRRT8stix5RPIvGitFsRSAng+VUoOIDpD6mgLSa9O//bXHgtiQoGri2hd7/AkUUowWALzsJ3D29h -7fqpM8ZrsIgjOq8GpJWwByhqm088Wn0M/rjZTrmLHADspG2ibPHjAHJ9LDTAbeaVPNRS+Xu0+TZe -osZ+b1pU1soR6OgkbsR54cUlgTuCxNbuLatOKQyT6DgwmBV0ASyqI+VUqJYDMQw4nHlg70wmS2D2 -7YotZPRFeka1tLTmQPCoOnuVuKmoD6S9hh7hJvPSJ2CCKyOZQemxlIyI2VEBeIik32G7rHNllm53 -Ic1JrWLPTEfV3c09QOd0dfjSK3CgYbojzOUZT35Iwnaf0+7bqg0Slk0dhXypA6qfd65UDPv+FZUi -RL/dkayHOsrZDDoVPnFU///i5IG3XfkF+6nf9YYtofjoofKFZTQnBLYi8eDclg/BqnvIlZ25ePkH -8RqwzL/fd50KR7k3wH5Z4kOtEGrIFTJogYRqYiFxPMqAZ3+vrXTPomHKVghr6oYjYkx3W8HBzocW -N2agSvk5lKqB1Nf4srCqe4k8kOAnxpbuf+enSFE3v5i01tGjlko9/ATvUvXr5zN8ljoP8yhxbpfn -d5XtoNTrHEiIzejKHofF8mPyyaNhHJUk5eEMW/OtvYdCmmMma+PHM2U2CcVHP+n8Vlna6/2L6Yts -8OFTwAu5Dl/KSgIhD0lIo2bDmC4S4keeFqzhfiR2ET3pTyj6j6Vq6YjYO3CjUY0OzZlNHb0c3oh5 -w5/uNXtm7qrNcKZ/l+wZxkr0UbI2XkU0lRJzVEUCBhIET80/0s9tdLwnLNwmV6+2zJamVE1V13G1 -LqMV2PZPrAxpMjcsr99rh1ZTziNwNkkG2lC00yjJpWCoMldSoHheXvKrD1GZX2zzF59jIhPB+qE+ -lGeiJ+Mk9Bd6JdGuQP12wG3hlrPU7ZEcflVQdMSLVU86RA8nPaWoCHLOPduFK9T3PPr7k3CzfEGr -VoA162JEbER33OkI3mbdo9edRoy7c6Rtj2h9Gmqzru1q9U6jjhjtuaFx4VEpb+krNc1wvKYVz12f -m9KlasoVJNWW+O8UF0WGiz6OOltWdoPE2RtWTPozhAoUUTHuMPStZudLLb0fagBnpAOu7W+5wNgr -vZSUqJxu+62DzFe/Z5DVj9dJPTAqqXYrN4s6FvZfGo5WTmyOsPpl2PcL/gIQm766DtbG4I01O8kz -z79On9p4GCEDCxMVUvev7skVQZA1JTCT06L4x0RDkL2fxZ3DvP6x6Ti3y49LkVk6u1d1qyrgxVKp -iUt4CUQ7aUiGb70y9lcuKePfiL6WlUNIfgDNGrZKXuWPhlo/sAV4VQPzV6qMVnU6Bfy3VYODZz0u -vSaIPxsBUJSHNHQ480EXEjcMlzSJaAm9G6fHdgFLXFwOSW4EnGybB0i9lTyh/dPNxijABUvuJg5Q -0hPOfvO6JQDlCN8+eQV0MfFkVYw6JxCLvfeb8V55V3sZaRpe/swu3P+oflX8TTJJI7KRiCH3eEeE -w59AQVJ8FeW+hAHo2znswGywrrY+HBOh64/wDoVxX2JZgeWNyB1AeXztdYn97kvEk6Pu7vwCp4zX -OInnuxxv8UXSZrwIIlt5lCsctLa0t4TJvMU2L5dIezitw/sxC2da2m+geg70dhLmG7qsr+C+EoS+ -us9R0qnJ8clo9kipdK4T9WPxffZ/sYZypISMgGpPV8uot1kzaZaYg8cAd0jaSjT58hZK2DluX1X/ -e9RgW+ya8lccoc5WbaSxF6LqIUXg40oBRIEawLhSPYzzpfyIFFq9mjg7JNUCl62wFDiLRYW53qy+ -gVUxX7JcGqZEakD36TYq6WfAOUebT4k7i5gdV5baR/QYPpRbqLdZIUAKQgzNBBtSOa8v+cjT2j2C -XCnTiwgU14VXybgupAQRdZdCiak3SzW4Xh4e0Ql2epjkbDQM8i1JNErswHSP5m+YpeUmQ1XhtEm5 -rFmIg16yDszcYJUz4iacH31nlmTsnoL4XFNpPtwol/qo2GTDOYaX2WW3qz3Uq5osKk1upTRmQSJl -t6uAmLvw39qyFYWfGVMXuKvJBeJ2VKY86TDxcQTDPVTqHuabJvGdTWCTFHZV3UWV/kG2F1VU4bBS -FeXUQhwUcJTYcnXzGJs3ko5Xfnd34d5NQsnt0iLvLmlmJMVV7cBlaUGADUmnTLd+rbPhcZ8eapwW -YdXeSN8LQmN4QrAZrpjjsVVBEBEpAh8IfRixiYd8Bn1IloFFzXEmsErtWkkHgvo/PkVQoNylPb62 -+YIAN9Xo57SAEY02Dq1aU+7gSHjOCrJRmAZHYxPF0LN2BywNjtTfxJTlehRuZPG5C7aU3NyAVBeo -zXSmuKkwPW/VDc2MynW0CE8ZzPQi4irfWCTNaf9P3yJv8c3d8t69biwRKLzeGT8sm5RsHy74LK16 -ZJTquWv8JY/qD66jh3V1JaES6g0VkUpdMBm09OBu0c6OZTAbhrTdakdoXuaj9d5QwGEaLOgWmuOz -MijFDYMRoVebJSqumdjJEnTqSC0me2EZpbnTbSn+cetV7Sm2fRA1OtgO/jvBtE/s58AFISCyof4O -4G5wfXP1+WySX9E2RT0vrSnjWbs3cE6ITJOC6I4RGaXM2VvoMXeMP6BcdZHQfCq6LzJtN1erR6ak -FFOGFbCLTfnj10i6wJ3HuotAfrVBCpCOA5qGpZ1Vwb48Gm4+WzlqhJFtPiY1dvUb3vocnApebCAr -zKOCAw3StE8pPR04fo6wm7scjQUfA+k0b+kqbH4ZOv2xdOdjruUh54vfn1i4yGLT/9j6azuFFWw5 -tZEK0tkjTo2NCjzRS+HgcQq8SDxEOH8e5W43V4xf8nz7xNPBlEcEiI0WDS7DYRD8PPMAO2YLFvrv -2bEMrf75dA7MEag97pGzKV0vLaS0/ALRy2gNxNc00T20Wo26USNSs6uLrdVPGSJ0J9KoP+N59FvQ -jOTEfB4dHrSyz4pFgHebCIxD+YEGU/MDpgvBkgVAYaHVzjjiZ9SB288jJC7OPcBMmMcqtPYBQSkg -iQGQy7hOHOcca3VS35GJ6GLFkHosu4rnakXaJnD/zn9tNrWtH1tBvYA6BZ2yukNJiufsNVlxveX5 -RAYuVAOmT6ROcP7BQINhKYuYzCvbV89wrYk+E/y4SEQkihP8EOqHHt35jjwIEMkQKy4i8adqFppu -Cki2VRNaLQRXvbxm3RWxiaqDZokU5IojtC3X/i0IGQMfQ7PvEqiwL5i9kgyyTT20u8nNSRgScqUC -us36GK6TjmmLojrmXqRecdi1ZywedXGAZP0ZHHouQtCcKwbsaH35FzPTkJat4RGEbmafQmJI8Qhx -p9Two4xSKrcvqm7u8bibfIabIe3cOfWr6eIBj8VvxSJL6rvMNy5qiGPaAJZ9xBvfBYmo4igLbaXe -dCw3/XULehiEdrhJ+TFj3loIfZOmv1oKcVF4SM1F4fXrg1F0bdBbr7YPGbXw3NzASxg+eaWcwiNC -DOJYmQYGMlD9s2otwyf44t7YW9yd3UCMzgFEr1SMALj3iwKuFettiQEsCEdK4c27kck1pKDaDJph -9zYpXPWEDmZ4STEoChfVDuzSCRUoPTrgLxq1wODMOh5ncwarw5Ia4jWbby5ShYhe4Y0Uqa1wAaMF -HAfYWvfFlt8EdsRxl3U+8/aBOWm/cEAumUsyjhlU5kIxFxAXNQHZacPPmp4QghQ85iHURil8gGo+ -lVZAB2JwOlnLYL58hXWTRqZXOAztNIuwLpdpt2aXGIuFZUo9CdVmtileQXwMORk3mBK5DZ88GZM6 -dCAG5LO7TCI1iWt80xjop3XNVt5B/tZm+1ebfNIo78UkpyPYzVKoCAmOmWLHs1fW68lSHjuMUJZ1 -/AnJ2+rk4qXwoQr79piig0wADMuwf+JCmp0428CVVljvDsixs/Fr9W2LpiNs6ITC2ZJ50yckPvST -tKmrkr4rnPO+hllvQi7GrKX3W8YDUxcagIIeJt/K5jDmfoxrTKE2AkPr6jOmPD7jB3RNFNKpSN38 -gBCLBltItQqSTK/+BZwN8LDE+Mo0+/4zcCQ/9mGtUkI6BVlfObr1u4/RiNu1KpYyG3ZkDoDo2v/R -WAGexpJDt7hOtH0PBOjwB4/ST9VQocMsZ+6V8ZNae9LiRZgKHfxsux1mcfHSueocjfiLor8N/bTX -8pcY4SKK1n8S8AX6xsCWe8R73/SEzSdcv14E3HuhpmibWSWlST16L0cs+NJ6N1T0UQS5saIKAoSU -WGm6cGekv5x/MEuoR+ee7cbTnrqxmlIV81C+FUKlpZ5S20JlOrfAYQn0niRNCIHuNvLxhy1u5L9x -9zpySbxBmCcWw+ZA8AMw7IVH7BjmTjxvPKNBs1xmII7hHKTfa3ysHgtB1obcn0XPM4vNWJXxluCT -6VQyMyBdxj/VUVV5uFqK9wbiUxi3LLPGwZohJ6Erb8tSexj5tfnkCHhYqc7qisEJnsSzTifoi/TL -fq44WHRWz5yqQ9/zCHkqbmqYfzpSwWMr6pfGBOCoBuYw9lU2P+0mU/pM1FcmZqmZJidVTIVkk/po -/mwVMzYwKdjV/Y2geSpbp+GdCEZm4I9scB9ze67EnxoEyFtXY3mwlt5g+nkCrmlBEEdspbS9bKnX -6eg6h9ZBZrMEKCrzMyZr2OFwhffpSp2HO9BSfSJG/YpZEHqK6UTnCqs2mZNrEofRp4hWfTfU20UE -bqJBhWcot8QtOwi0ktNRzaqJxPXas2hkYleRYyWpYD5iz2qP4uvFusNz2HGr41p7et+aK2JPjL0i -eNEyeTZy7Toa4PMN45YdxaOlOt6OPARO/Wd11h2TLezo70U9Y/WCensCLN+3QE1g6YiK4JMt2oqL -khIXGWdWKV+kpCYR4v9EJlR6BP4HOK84Gx2OJC2KbRnzEhdH9OIjHb9JIKkEZpF0V87jYTsRNaPR -mCPUjRJOfPrSGpM1dNzXZXg+xsiohym/h1qs0tvovdn0xKT3Y4m6GJrHLJQf9rjrUIjs1Orsf5XI -KOnqMnRQGa5QcaxZ7rc7CNwAENNSaz6CsIfYYzpyYSloAxFsWPrF0jlE6P6U65H2EN/adMA5vZDx -pepp6rBP0zSgy1OWlEPC5/uOvH2VpUjGFVg2Q3/j+kiIcMoUBpnMyNfv9PCk1u7KhbyFsU92wNcu -dfP3DhGrMsCdb5ORNVG0BOtXDJKfOf0ypmnQgH3vEZlRPwhLfQ5TldRj/fD1QmsZbPp8z5THp17d -kRAkHaQunlkRzkgYuPb3IDRmAqHyrDaEkqgAYiZFdatWmIoFe/ChHzg6l9dcbC1N2kd3W16FQncs -r4UGrC2/D+a6BedU303ZjmSHXZMvLXPtjcNO6RK7V/2Mh/nCTvHy1OHHQKAsssNa7qrgJo6vW2yh -6rGKmyiW/UxYVxND+VEQdCFcGflsPIsiCsL/3jStnlE698msyJ3RV1D8bGmSB/3FJCt+KUeAphb0 -OkEBB17wvNkBcrnkK+Mi0S/gnnYDx3xblgX6t4IFLjHkk9upthWZR98jm0xwplmY2iU4ixag52qa -KVGLgeidei2FCnpIN1JFrFaTUvcx6tTpM43RFtuNB9lNl+RVoIx8XJkwX3tQTpq/S+LGe8JPqwGm -TC+v/bttZTBgi9pyWd2H6fBM2qCS4NdVgdy5jGpjaIzePwfnHDOsBZU9WM10Afu9pYPGlPip+StN -Tfp0gGoFkj3vvQcSEETD/Ggv/8l9KCa1AhIGE7ECo+HddIIdU9V4WXBx5XgRIGzEM474IQ8gjokO -14MLMJyFy+zs86DgrPB0pEaE3qke3M6IeUPc7ADQngtUh/K+32DXGarigNx0jkg2v0hUGfpAcIai -ODRJ0rTcHyYCR4jGzYVtP5v0j1ERZN2xmDER3nxcq8OxqdBCvq9U8synLWEujMwAXdgPh7Crjn7U -h21oSAJ44ej22tIpnn/MIp0aw1QQGEiDk+x+faCkuTlSQUH7JnJXt2sUxHCG0Cm2bTV8tt1Ub/Bp -yDxf0yAHVAQmx3PivXKVFM18LZqhAk80wvMvT8G0c8UUjT2q42To+Ld3C9JCuemuOVoj5u46DPoX -LVHV/cFGPAluo/K6IP5avyA1Zswmf78tbWxiZz8FwF5lytleYqWjVMLUijn5pnrfpr5F+n6n8RMr -dCH9RLMP69jiyA1rQr7irIVTSIaCoYc3hFEZx0Hw6hfjgnvADG3+r85KM7sUHcxC6Ta/kqGimDV9 -0CMQ1U/Qauteu7RxJZ9ONCxsvudbyXctO4VdVQK67dOAj9v7+POX0GJVcVSyL434CqMn+pDbXrdJ -qIxEj+W8yGVnawLfsacdVLx5hfXzJOaioVI2tPgZW07mWK9Mz78HS7tePT7oSlLdJ0/DWUspLY0T -1TB92owLHFGouvTQ7T0MuusSazg/vEgu7PT9gd3JN0qqkQr4/VO4IYwnUhM+tu6Sb50dq/JMtaxi -/DQW5aDJyLp+by/lBrEZh4Xn12k4iy0LDG8vBPJe29GU8C+HQsu6TzXNJo1MDLuXrabXcpDMoM97 -sONz6V7pQmfiJ1NWKsz97u1Ltr4A2Xi8Yfu3G/+WKP86RFFrcys28bytzw/6uvXb6zjZXRZ4HxNK -H7m4/pU0FGta3v9OPO0pgwqnfcl7nXEAxUtWV8lCnhIHwsokkH2nYkoKoDOfmJIoTaP5GbPMuAcx -ySzU2qkwSppK8kXzrdsUot9pbseR5Z9X+9IyzUiOzuvSmrCfaIGZX/zrOu10cYTjslibc9fapXRH -lbOaMHdyJbe5ZsDuIIhHw9SJ/oKY3SMwmxvCBmaut2C3KGgx3e+MelPlC8tLP8v+0/RD2YtPVCLp -vJTsi3V8JL9ypjt60Lb4G+WGu3g9p8gvj5PDc6kMKYsR2occF43BkZxlGDSZCtoqFd6ARQZZfD2S -WXF4uGe0PTXYh0oLvIrcvCWQuRY3IQztQ+AloWMF9012eIyHgVzvKCZPG4BbrZ560fCp/d1dDqki -XGVSI3hx+hQgsNqfXAaiToJznBTGrgLojoIWFKTJ6I0xYRKL1Wx+eAfOYecjgHZxEvf+EcU7NrOU -AgnQg/2JBJpWEWm2S1Ks7Oj+x4B3WdAzhQnJJCg385v3gwc/OFDa9+m32H8IzJcHs/a7SPkvLYtY -c5crz78lCnQmuOziEqyklsJhrOarTBRdA1+6CM/n7cY4+7360ynHb/FXoNgd+A3Ff3jbuxF7ANT8 -CfFGh/xafykzSgAa8nHM4e89UuQTKs+M4a2rFdtOlSnelf/w/6VRj0nt+Ws3I2nvnVrvkupf/DZ2 -8QHdxPSt/J9v/bqeAoocDTb/Mo4iEDlGe5JYrhnyMA/KhE9S/KweaPxuiUuhBFaaKkCr/tiSRSZe -tya4h8PS/xAbPrMC2sv1fe7iwkQzdiJL6wT0e6IqyVuTrThSEeKNYfiIJ6zp7TTlw8M7h5WJH5/W -IjMJJYKDhuQ/pxlQ47AubcgMArTajZ7b80V8QJjPKFjJl7CF9EoUjrKDQ+putvocmLGygErvTir8 -ewW3/N7ZUgq583mUqfiBu8pYPLxWREyVEbzpRsIlClo59tz26QkNvck0yiYjyFqzSKhfbaUjaJW5 -hOBzymvgyWazYi+pOqTMJocQeatJ7Exv0CRKI45L1sp77PGvKIEyD7n1AfBWWrBFj3X/jsCbpMgt -qX6YJA2STfKMq03ehlHa0qghdemwYK2MZ9MtJmiWK00tbpS3vty0ymzQAU9WIKHr31BFSRmasmkS -5DYkgntVs9rv4TZgGK52ziWS12n/HU9Mlzx5qvzvSWa2CUNOYSw8z1s6sU4KTepIllJCHdPFOMj2 -pjxpv49nQYiUpftgp6ilK0RZI3TdfOJhMklAwyKKzyK05sgnJd2yUHMlPJspbd4oYk27pEBQHuKF -KAT2OrQ7Jr5EWW5TcvsNjF2WDD1RkPAHTeiNu+WP2PLwBpjzqYbWRJSMTRkxQIKmPWf/3jBXxCvo -g3zmI3vDxwN6PmtaO1HoFbwA9ovweuX6P2AKw2ArEw0TH2Gyx/0p3sZuHy6w95DF0QnS6fvEVF8I -aQ+WKszdcEI/pXv9Lr5kvSTvfQ5rY41UAhS+oRmy3oBBeYOIsad+SPi2dnQ3JCVbi3HAWutgFLpb -pWrlrWbLIz17vnXWsRkA519bVuo3y1XijY2zqY9LGWV3lKDHGyFk106dXTsu+7Chw/KatLWE7yQV -5je3/7SqUo3tBZiVrSEUTp7229sSB9VZc7H7rxf0WuSQLeyrH2+A84KI8AAlHIobTf7d5Wzbc3Ob -XBHxZK4KK6m1qGsxBVzd6WnLd2isXlTxoTAdm8HCMwCWLha3FIwErkQG4OBYayyfZQdTAFdPN04s -imYI08zTI1V10mO9TpXb/WwcuY/NjOLReL1OvQpbjZAVj5UvAcGJUjSnvza8EJOm1FVRkEHFkP7k -HuXWlZUtHHFfpuSRvtyMKih1EfLRrFcjyLU3yTtgihzQEKkPzP0+MdpBM4IQ5aTwFpD5C9RA4Ila -My2RvtT2G+ZHG0gbRW/zmZIHAKm573ixoBMqnIu/bWdIDJwI7f/XZqm1toNG2XKUrLSkq/7dUuxX -9A3JB/hOaipkmm5pqg9OlaLKB92Bn2tEX7V7hyLpq56rNAnxD4rs/8dWORbch1BSMiiTkfPljeab -kYsi+5q1GOVAucILQveuE6NZa8uxMFEobq7BaMFjeh1EE5oEiGQqJ4qEaEcdlWH4lnzDuJGG3i95 -x9OpnurekFHBep9KspclgjA+R0EPavAsgEpYaNMhcZwQWxAS1EK/0Z6zpy5BHxobU16OqG/wXgb4 -oif8Do9jnk7gz1J3ZqFoxU1k7mStfPWY9zA2vySNF04Z5tdyATFRrsJDmNKHbsRGGoZhZ2fG4kp4 -zBH5W+mabS+cL6N73YdKtDMQY+nWENnOgNaI8ianBK629QcsIOGmlXD9++OVPmYyvzjtVnPN8isL -63id3M7Rnv41fTKWlR/0uSCDiw8h+LKYl0TGeB4vzbWQV/smVruka9JR8F17Em7IlGGSONJzgDIy -4hJpiEYNkOTgls26pM5eGXZNz7PtiSliO3j47pjnS1VaZ4wptHOL5i3X09pl8Zz8kVYCt6WeeLtx -8vogIVOH2fmpDFFJs/kbDLeDfUEE4K0T3F6C2UsdnQy2WJ9tag+B8K0DYLOmUNr+Z5a75YHJIXAf -bf3lIdyThMh426xHIVMM1M4kBmDTItmGk3ua/jOxGMJliwk2QKTYya0D43U536YWEZP08lx1Galq -WrV0GIPpVP/fYn7+q3H7fLfB1QuteVQJDUzDSglANFMmICXgkZaMxxtQHNBJLU+hlkXobyGF/les -pGb8p7qtHvE87ottLNgu/BN9Utr753qYJWtUW0AqGBeFA2DhqwWe55ztgVPKMsM9Mbro6W5rLJN8 -gBNVdZ/vSYq4qlNmHde9vLAE5zlnFGiNPtCC8scdntndgZw5aHPoi/c1wQ43XW1e9iEjFNO9TaEL -twC0fSmJu8cddlrST0iEhO+tlY556D+au34AHdaBytOmipJrZerNE3V/Gzkmt8m3kWQdcvTBUOC7 -5dCh/60pfSltf038rZHpUwuewwAVPv5UjhvZpbiY2NjW0Jpnp+DxuM9vOB4rfMGELpx/S+wKfMlc -N476KRcaPzOKssFJ35VONLRfobP0du//wOYV5yAFkz92pBVHliLWIbHxtO1TVIQgHd/g9B9DNUc7 -sqZ710k1KwA5+4sKcj16hA4LxsA+SZdkrptXQzKehGUz0weJfMDPhHqCRacxjpff0crf9jG+0bmt -tF03syOkwGtX/gAYigP9Rj9sQ5yv/sVD8WiU0jvRkdpXy5/9kIxItZH94b0sGqdvqR/wn5XIT5Vi -M4G5QYINRIc6t3C7YfM46Py/J13rY7cJrhwlvhNlaHkdwWO6oJOebH7+Q2+v6W07gpjW2wT+nrhq -sRDKuE10ybz3+PPsbqV+5NF8hyzIS/ROvs4zYuXTH+l9o9USw+8RKSjtUVKz+2U9phMEOFb1yrVb -PPIXRCGj3apDxL2lNxVWoR4yAZyJrwtnSHB9mGMtvnv6XD5uCgcEhcmh+DC66ogJ+jjRvrRT4/cW -NFxI9X79Hg+Kb+10/eY73kEw9q1jnLpNuDZkGMp31VtqiIMjwqafory/YVCy5gVDJsUTJpxyZ5mu -v3M4p6iZegJXpabD5CB9O2ZLV7/kfVuHAXJUV4Xe+aAFmcruv0UsOFgoq1O+av6RW5cQKIO8f7Uu -x5gKX1BcIkAMexh9JfdSL0i+rCwTtB3Ypqu3udMMCFcZRs/ZwMzaPa+qtFOVujDpQf8TEKOjYHGy -VH1Ys+Oyn9LCmuZ82YGYAeNUkpRkrjMsdizaKwnrB38BX4y5tyuA4V8HdKVbvTWEhT2EVZrAh2Vg -QEeD+5cAwkkAlacD57Po3qRaYceM2CQVg5XXxVQRE8xOzEVyIuRHHdZk+VljeUauq31ITyRrBxpp -HcetdYV5OTiFuz7kG3JyCiRn10sL44VygwMvaQ1kABP28JqqeolvPxZ5YjY2h1BXzaENf+IaJHO9 -7z84uLDimWwN9a1YbCHHFHXT6FkYf/ryWs0djia7yELnJQL66i4fOiSD9p89oiy75EtN1jkH8r3n -mBZeT95OH/8p+R+uGbNvx8+DEI10AvvQs5th1fiMUXQe/NMHSYQAZaBsNINvcTeztGnGVu/sLM50 -v55+dJ1T+bJ0x1XZew6Sl4dBYg2dbw83YsinltooAX62kZW8gzEPGDh5c7ZcK/M+HtEYtZBcSnWL -d68iQoPtlFNQ8n4t//8KWaF2pF8zB8UjC+fIULbZWnlpMyfq7Gd6Mj5nVozIAiP93Q3qg6knVV5c -LybPZ9zTkKUtXA9RRxBRFFhQg6WNGcAD9TjszCcinw/hOfQnTCa7gKUw6+8Xl7We46BJ4xgoWvgo -icd+YXE5DmQ8PN0KMBPJmKaGoBe6BiA6zS5UjU+z/04JhFWj2bR1qWnxw8UwjArmD8b72l4HipKM -19Ej9r4spnxU/MebK+rqO7XbpPd16ecgzlcAmb7Ka4F0yyc6brEw4kMXvPHJtwXzsLFOUP6NZudc -KMUQlHcjiXJEl1JijOPuoD2i1pjUlz8AON70j76WdD9zsQiVn6IRgi4zAjnkbr6B665n4uo49dcp -NRU/G/T4bUAivjPu07yMoNc3ZrJWT8j1tG9h2QFaUk+XAgACJ0zV1Ms/p1Sn0GtAS3+MbhyFcEep -y/E2DIihNeBMVwzvRv4ZuGzY3LLym6SLRbdiFD/EACwoZjrYbWgXOqEbCCGwnaSY/h1nJrHy44T6 -rIE9A32xGzadl13Kpd2m1vqTr2SwolvP2b87I3vZEkvDqfoeh9fzhFNTw4fp6ZhhgFE577SHatWd -SsO84J24+7nSQAKZMJ10RPbWowTIKOP9z9+umTSkgmpZfedoYyqk2NgzQiWHew0IGAzLot/avbYk -jRwZASOy6SAJXs/zXCN90axgGDYZtmobVMPPFxtzCpuP8neWvAx+spGU9bJHtq23MYwVGmZpWk5A -HijggaPNiM88KVHURfobxYOX8XnSVkKxlfQNI6atMQ3VrJ7e9CQnabORsu8RXQWKTosdZ8nQfJfr -LHVFVxZixF4NlitzPA0eLnqj6bZ4U5Gpjh3QzeQ1Kluo4qYeVheC/FRY/xA+Ba9Xl/iOZqRn43my -mQ1NpHDRsgo0RV1bAEgE+BYdGgFTysTLo8OcZyBQoKehW1lbaEAdbRK2AxhXdQUQx2PRaGaCr8d3 -wbwWxQFru3sF5DA79Wj3ufpah4wN/NwAr1LSa3rahtf1rkCMYmx8JDP4XjnEYRx6JetuKjViF7xk -iZ/mvM8BYTzwuImjwV0bXL645Roc7+keUVint0a+t+xvf8k1O9etGViUO99S+ZqW6uCaE3kHFAKb -qd8G3UleZBcU9wXpY7PRRfCpk1mYpaYIIUef17SdLevMgjDsiVMKofy407b/E7IYQoMV8qu0vrxB -49qef3YtStScnJBQ4LvhJ0qeIR/oig32PDtE74AU0oV72q7GfAssmQy2JQi4+Dg4cWAL+s0+BOMI -4NNnG4ldj9GWN2rbs5xqPhLrvnMWLSHCBvitChJwWLf5lveMbhofcPzSYm4kLK5uUeY8YZVxRm2j -jyyQb23FfjDz7xHfvz/FH42BNbAlPkvFTQXR5WKgGNPzSGwT9Ls4HTCx1gtBEErt9x2v8DnMdbAb -t/hICwdPW32qyi0aMzy1HWP/EsAPU5vMwLy7+Umodt0cgNCbPchDXR1nOn3LpFQKjt7SAThM04Ui -UBf4mSikzGYahD9IGlYKXpONzOlUElnoYNrK4Auy8c72yTOy3v+C6BXI/QXQ80/Py+fi2YJwyBn4 -AhpX4bKA+hbcyMw8f3gH8jsnHTiM6ljOH55Qd61uh+bIMqbkV42nTB9tPAN8+ULd0rQVZo9ph9Rc -k6nsOaBMTNhEEczxK/C05Spud7j20ZzP+EGVba9NaYKvjwUrmjVeS6Zuz4XR+d4BBZZR9wwtck2h -gQVPj5UwJIbxb1MJfTPFJHK52pQ3B9yhpw9EJCko81BCwJY56ts9+ITZokdIGQiuuFktnbKSVQN7 -dFmBc71L7Y8KI5DY6j9kWu42XTBzTSluL9dF25Pj7xiJ3Bktq0dQZed356EGhgFUz3gEpgnqcu9g -HMtOIL1mgks0SjGD4ZgSQmwxGXu+qfuI7cuED3sVK37Kjoi7X49BCqRU+q2nFVwJh5gJKzVgPasf -zncQx5BRjEUiKv0wEhlahIThVyoEfBAru+MFH/2ZG1Y0jMTkTwAp8Dz5MEx/B1JuPXjqGXNdq26e -e9mxOxHHp87ZnHO8iYyqxaVrvS1bOMShFfhjfjl3StEX2QHpXyg+Yqi0UuhqJHqJC0Yt/1MSBo29 -zhg9wkoJrVnjRKQq5Pgp2j/wMVlESn/xgdTALy3j6N+M27EbY6YFVNLThPKrj3YJbw1Anx1CYTpF -1td4iAzzyZedrUxZydzxBrdQDGr8z5Kfz9ngJrj2Q4iZVGziOLbbDaXTTutuFrB25o5aN/tFtGWR -oeD6OsSOBaY8mJVcUyZkEtLWDScJPzMW71+pvcZqAGeCY+mmYLgJZp4QLKVLrg1wpeXRXVf3wQTJ -n/awEsVNj/GO9ONIdWN4okPzcOhyZcRQJ+HiktklzINWerWzHpOlUDWh0GS1CdPeDODyi2/SgYOx -8loiTogDfJV3dErvVlTn5WBL5DlSH4ccVlFXd2BUTEUDDEqvGgbOv+oJwE+5te4RXjzcllvlrczl -63nDHRGjERwB2QZ5IduS4MpcU4mhg828cMfctZx07g9stPw2JGx2fwvUlWkAnWg1wSY6zpYbwN7d -MjHWDVeA8jUlnk9SMswnEBbLGcTfBumNMvoBqgGqsadeEAOQz9JTE0MqyWytzdKUDtKy5/hQA8Mq -40fGKQCarNeVuH9cgVjPGEgWeMkns7j7sYRqo3wcVZu30blAjNENQlOJDEa7i/9Ibfh5XTkKIhny -dGgPa5WcEyAm8h2c4dn/qv79yfuJtCqpTezy7YhEGh9y9HmMPQu7HJlmJf/krV4VUPf/iC+p12sa -xefRMbPJvQnoY3cTggA4dTeXm/rOfmf04HHlKORjX8S3FJ4pWYkU368VlHW8i6PDf6mLrOq+37oK -fd5DXe46k4Tghj+d64Y1vgzYdeq2eHrcsDUwfUKXyPjxe2ryqFr6FMMj6r3GzSRyVogtnR5/T/ru -mpTwfuHl5gf17FsMaMm3RpJXzeNROqZgP87GZACsaime/udMIEGHBKvxmpWVHbeETbpUZrrvHE05 -Zcw++YmkTrhxUZDql66xLnIOhzSQMG9A/zufl7E5LPgooxNM3G5M7rsk7nhNtssbVhhR6hFp5ve6 -GyLDeYBThGxG8FFjC/GfRO+d+1nx7J8iCANB+m6vGnS0fLUWPSem+B6k7dcxcn+6TXxxOVHMA4To -p8V1ZDf3eh9C0ScrLPbtLYquQ4vkEunTMUITJegiCMi6yHiZ9DRSggIkJX8H8EcD+iCIjWr0xV8B -qvcn9WhiRKd/RHJr/Qm5sZMDHvO7qOocHnqtDvbTZnKKtMNtnpCDh2bp35kEqVU7HZ+SeNivi+QI -OquPk1LDlizQmKIKHtdtoCtEzDfhZLFe5soYdHxgrDyqDhYszrzGl6xK5Zy9+Hwsd5b0CTJKMOEJ -O16tYwksGiK7YVXJKX7cXwBTYNlih1smsq0HngRpKeOlEoDbD5FnG7EjU78XP6qteXgfQxqzVTux -Hcl7ADOaXjuIm7nAgUb/FnpkWeUiUF4I/uH8889waoEekg7Vyx4tLTcm46DWfb/oZA82M4FXMYl+ -6MVXXBbShp/r5MWS6KA+xMa3OQGTwtnX5sY+YtbOvCyxymQukoDpQytyynI/4IgEnVj5CkReDOQA -vy5CpuNO7mAXVecU+PtHD6k3fQVxTnemKSjBWSQePNsIkj/TecnjZPKAPNrulXIiTdL0fOKzbhHE -KM7E/4OxlfWWOF6E8EIlEXLCIQjpOjxPgvBOfnvewEXfH1cJIYcztzr1bwrfN61g7liAVufaOsAY -2mph66g7Zr//towbg/N77IILsZRHMIDyzaQ6TMWOfL3Kop8BFd3Bg5Xdpt6iuGvv3aQkVHEwz24k -1oIc2l3pQSy3YN4NnJ4eJYetoaR5TyFJY8M08cpiwKHM7kK+8mYheIcAzaJgF7TMIVbj7FUe6Yqm -MdxYGe705E32BUsHduwKqrUMyJz2l13A8ImV+U7rLi2fHaoB6W6+65hPXGd2kyoVaOMf26DOSAiV -I5TUXOnVOdoIUj0wkb5dIqIf14urzFOZEpTiSMkaeZ8PEobGw86ht/k08y6TVwr7VUzA4SFI66vy -VHTYovf3H5wg15VnxbHOULfuzyqpI4jfFQVEySYY4FWvHQtzbfflU3ryJ37+LrddL2cwwXv369Tp -91RQwVQJbn1kkw8V0tQ8Zu8zOVOKJBsVo/KDmWhThYaF3si0uJxDkyLjEqYaH06+fTqRgfL4j7XS -b9BP8jYftX7/ukwLyWqmFjZIhtrzO6VZmJ3PIOD7pqlAdz7mwGOhN2Q5bECIC5XndS/oz/10O5lR -YYDtFzEO6ICTPmgg0JJp17RTSUiy0cgdjRFtBj7RO6jwE5McO5e6rC2ctmNi5YuxI1B6aOmwbod+ -wHGRGTv0QOuLGFmRQwBR1t3rrXTLYuko6C1B3GJrQz8jR8tHOePXzweFKd9k7HaawA5Ei8HwBYLc -t++G0XhOqaKR3Lx5k42X4xFNq3aVSStpyeXCyoT98jnhqMdUEfopb9R9movYHCaSKwC/xd+wcuuq -Teot3g4ii+vot8Mbd0408+3gbfK/Ow9vAML769ErTo+DX+NdxORfIYHTO0DKjDmETSFvp1xz+Jf3 -J6qWFgbrzioTfwyEcfK4mbrqYEPo1Hc5R5a6znsixQ9bP67aUH6C/Fl5fSqcbfzh2yckd1/vMkUr -gNuiS2XTcPiZ8UTNp+g34n41/9fZ4/Wwp+uOKt8bPRMd9H5SpFSWCSJM/EZJelheYPaFgp96jT8t -avRNsNNT5xr1PkaZG1l/G0K/5Ol+mxXcya/Bvs4omNhcQrIL9DhKlyhFdklQYi9owF+PgBrNFYX9 -w1nrXo0vOtmqD+Q+bJPEyOrgbS18/yntTVkAqgYiLJbbSQLp/X6guOUsmHEv5d82Jq6JBmMleQx/ -5Dl2w7lfrrigwIjn7/Po9uNheaLk2LAYDFLnixz8YYpEJn8Rs9Cgs88quPTHgsc4ZytLUiwS/sX8 -3Wden42+zznwNQrG4Tfn69G9+hlj51k6fDQNIFU0gByOZDfHb4F1WUDTps6jpBCEzYtdNTtOJ4H8 -1g5tFl8lc3aZgNBauH0NQGPN42jmw82PTfrJBfnXtEzwXWjhaa3tmUvx3BRWblXdOFilo0+dS4tt -HeyUDtVRYW6LcKg7IzpYRoUtXk3nPuXvXVU14wSxsklBjYM3p3FFHy5K2b2xdwMbOG56wcD2TjmH -nQevTeAIhzpCZ9j/dquOcQz3vuVVMhyTy1MRh/ImQxOLEZAirEcJ0BMX04kgLbGk2axB+nQpiWIE -o3298HZ5ZXaATxYYbA96w4jI41Oa7ev+2Lt2cYVrQ+/B8KXYL8bfc3yAbNF114E5UO3T0CMGBQgT -5qJ7WcOCz+DKraxMQQyNGcbGr2PeiHi2WC6CEB6JRwfLJuTRhfQwvztcsHrXPQxzuYqr7myzK6gt -qhi/DjpVb+l3hQMgMkotKdkEQc6JAz6nVSj2v06ZqyvVpayh/0uXxvD6qpoWGT/N1cCiagT27nfX -u0RWt70DrOw2eWY28LfPZVF3AxlThMIyNuYf8BrqQfeRQv50xtxUx8z5SLEJ8QxaaSRNTC/ox1b/ -QZla57/H8r2xt3zWxI/EVUVkD3ZOz86PzX7oSxKCVL1Iwk308L7x9DSODG8WE/9+hwiZc01ulaiA -qFk1zm7lKVvF+LKycPHQj2WNs9Fxh8Fpdt/xRUhWk/p3WakEMky4/MuM5U3bOolAIhvoT1j+5n1b -LYkZkgSVYTaWU7MvtPxLQjn01HBkaAwQ6gf9hipX7kKOsMMAzzAdOuSXeFOpE2QZmDSrUtXhgIwl -67am3mU2xyC6U2CuObaAuocYzZVwDNEuqGzB7Tsk0ljgaQ1Y+xgdmM0ja/4SuBv4UnUr6VVaEFEb -IxIDdMdHaVcVY8A1AwttYyvuH48f52mab9WqzPCQzsoCU+J/R5PjYL5vSX2f9EB5LoYbK8R8+WZ4 -8xyeEX1JXpGbJlk1/cHgZqAd8sI9oIpP7Cx/APjwFg/BbodYmqcwG0r+UoCtx8ICT9YIr3RtyRri -y0EwcU1EOuM7hyosoNH9DYzzqBgUzOzY+ozNh4KU7orX+3l6ycz8x/i4tiwmWxIja2kFAP0kZxIU -aEfhiT8MaAc2jkyX4U4LSGwXk5tCk98CI05Dg/Tvjz0oISJvn9AVThAlfB9fSDze9z25dSvT5DAs -vfCYr5C5vU+0ZRrDToMl4hPv5iIJVX3M0wygZ8m0FbdfxQXRIvdEJyULdpawian50AuRXlnPsxVC -PdDkS1pLDFiZe7tVdudJquuC0OMUYE+eVDkJd91fMy1MLzPrClYHxJfR0eedaEFZdTVt+RRtwKZ+ -uA8Jr8suID+cJZRoCc98TEmak2HSyhUXplqztLfgGdBeGkitraUWJsKRWw06plI8Kn5g1JnBr4Pl -QzzhJuyxZe904TsfKtj9QDBitBpm5jJKiyXKFwez5lxZz5iJu6GTfSgDpHbP51uOcCQsSMVdmuHZ -R4QxJCl5ExPecTVcbt5HSTGAyt2tUZYoj3vjvVs1GnRE5IsMiAiHtLP3tgf7cxsDV8pfj07IwEL0 -DSs69uGWRhxZJ44s4ruyyPXDDsyYVcwVvKcOMvLOxGtqfCcN5c1bsD3lyze2i/FCctYAK3PXSYhZ -EWlqvrT3wb3JDOkVu/AuKHchNRGWQzyk3lAUc016uDkWIANwAUFjs6++k1vhNQqSuZQW2xpwtROS -+OL7Hh1swcWH8Jhnx5ii3g3Qtly80XekWlZyEa0fCvzLLmcld76WiRk+ME/B0/Y5LsfL9EcPr5HG -pIhB6Y8MpPWSIPQea6CGwFDcn1sp4lehn7F9j8ULO9EWsZXdNbhpMl1+GBY2MhHhStIP0KygO2ce -B4BFlYoWOoLUNalaOA7HGBLZCE7tVlkFtfzFV3Ot70eHe9KA4PksMzZP8fK7efoU9xUz+RqQKLz/ -SeLuEWIDy2EXJNnBOkhZlRu1XPCjmAcMUBvKWNJQFYBc3Lz2heNr9QjXzysv8no1j5uSLFfgYWJ/ -Sd0xVsejJ38X+DuIhttoneom+NPSz0akQP8SdJOjAyCpXw5R6HmSrrMNL14HKH7w4ghWeEWYBRst -rfTdhkK/+zI17YFlaW+7Y4zHEF1AGJy59z+NRaX6+vlAzx0qJt/NX+aQO41/iXjBIU9xZM6XcwVD -RUjuRUNNaEiaMClXebC1upkGRCVAvXedh4N+ZgsmCNYFoFLVWuID4XuQRExIQ8tMCNIb2BLcN/dj -PLF89dvQdV37VcPZJD5WwITFgtOSTtSD3/uxcDMvc2a+jaFZh1UgHic66XaOFgSwo336FUNCo9Wx -+lFS0Bm6XfvfgO0ZH3zlpj/rdA4QCFzLVWJO1sHGD4YKeHWWEY1AmRNk5ppDzgraeFge+u8c/YOf -CmL/MMPDtTBaG578DFElrzoRcGjFg1Xo+IOcGo5u/omB2jj7QQzC1l8JPE/NiTc7gbA7qBmE9YSv -+Bk5TsUFkR9f4UVeKD5SOtqCDCcOwFgdANXuiHoQFEOipr8uYC1SHiJvYBj/3Y3EHQJl9e7R12ys -jY0dJa+faRNA6m48WroJ3QjjO/CB6j3quWBMjDvxtkn1QVKWLy4clN7U0Rywp42HjSTwWH4ezcrW -k6eX87K6MIBVvc6x/fIxM14Fg8YxuG0NfWnxUrhjj8Iu0OvqWHVDzwgIXOePVjkHdfSFbF7Eg480 -Us06LoILoYbgfQ22oybuWYw2eHQuajXH6kIDRBOJ54e7m4ao2pj/8LLxV2ZXGm07PhKE0KL4tJnZ -xWxWS/KLovrWzlpvSqp3Q9bIoSeEfMs12m2fpP+A4eoqXFyohvPTm46aFN1Nk54ruaLE8H2Cb8Mv -NYM1U1aNcYNvy2/z8CcKxyyer7ca68LF5MDtzLoFQLGnLF9NtVadUKEgwMxONuLZ6jzy4i7LLkx+ -zh/RNTqnMXW+0owXfbuB0ONHRCvjrVgCmeDASyg2wEu37A1M4Dy9aWSI8I2tCuChlEejdROhlOki -4GrvfPunMdQjSLVk3ISiuquNKJxRy3Gy/POxB/H+JojfViyQHHUMIVFnPJ6rNlvzaeptsn0gS4g/ -S13XwJdrdN6/E1BSgyiqS+/yc3CdnO8z/09B4wAojoGHp6mjTNXYREMNzYMWVtqg8BSyDLP7czBW -dJeMoTzXvwy5qYVrmQrGm7DlNEtvfO8PaqR2kkLUtJWOGy4ivtYfqrNxvaqk8TJXMjQYxkAhwzVI -v/GStKFIfMjDreGRhlsL70BcRZBAD3NgXZWAhKa0CWOyRO+eVmgKSKMR0IwPUhoq6MTTMbEC4601 -WtxRfTZ9zQ78BBlx2jcp4/DwGneL/L7iozoDgDjSpW+NNahfeXFFVFAatPPhQ5P5rB7BNCttjdMu -XLIaUFnkOcvOTD2JOdRGjGmuTLMZ3R1+E7uoJWuqQPc+4OqlEis9CrJMwsxFQHDnGdt/WZCau29C -VeL/srj7egs6uiQET3pbkOFbTf2LLe3IkQN7325X7ZfLCCfgu66OvrX9Ku5ErMU5ow5BFoemZ4A9 -olu70r7Mt+zShpibo5XlbQg+Yn5YtjPj2khwm2/uYZ/BrcJ0KigWZKJEu9Z5YTkFo5U5x7BR4vaK -pHDvBGjYTa1or9/1oe3Ix4pCKkWWL/PVZ5glc6UDVSOEsyaJYmDZf1TRMrGW7MV19y7RnI/lNlZq -tEyiZu9g6kTDSykJ8ahP8IOPHW7jGgMet6WNNk4srgkPyiulfCd2Lavy+v7mcgXsGfKk11v6AR1r -Ya8LDbHGdURGsewp0InoN8bqYbs3lWebh3oT0nNkidsm8oM/OF9YPcxa9m4lYPh85AfNYqj3DF4L -CMUoPkJT8pHOWHc/qQ2+LdumGSw76qo7x8MckXciSM/p6XSzywkx3iMua51TD6bKrZR/WFoLbetJ -7v8t3XMdRJYK6/Lk5kz4thSivvcIK3hVr99XshTYBJRpUKtfhmrbp1SJU72HLcsAxKwpSMdIo8Zc -19+q5mz5z+q3A9dr4kkgHq2nYuSx0bswYEglNM7riS1amR9EXgh5/CehR0oC9tHAHApSg48nF1Ms -OzPxUc7mwHq2onTysX4g3MtyeQ37CT1TehkPIyyMuVaEauhuhQfsFD9R793AWfBpEnKhrHKRsi/C -A+pcLQUWugZov04iTnpDfa6mpZm431RNAhys8AzX1M0xJdCj5LtUAgKOBgeRjATbGB/Z3Y5uoZ+5 -rmEEuoDU0QeOrbZXio/ma3W5p8jgO4CGNeyA8i6uehtb3Bhb/tZgz69I1dZFBabHs/zN8TJKXCqy -APae1jrP5v3Aeli5mdTHns6CZ5Q5qH26G+UCmdFzLpdOiZu8qsnILa+fmv59Q6m6WuFfzffqj8yC -SdWNbfFx/qwHORHwhtemcREZABKjD49jxeUyk9DmhEkByGM2gbzJfKOGVSWjSrJwQaxDGU3on91a -HU9OXxvqrC6l1x4m35Wrt0iw4OxepvwUQ91owGJdNV/FL8DXxOIzYS0GpnPWkqtWfQDQ3ssMAFUS -umq4jHGQ33Sb+9MpIwcBgh6R+G/zw9fyBQCWCQmfb3mrUSE1XqbtYpsdk43HoywcEg83jekRH9hV -HwYn731hOM7lBy6RI6LqHMxttasDeiECYJUeSK6p25AgkFLhGKSgqOXEs2finHgznEM/ET/BhdCN -8GrXI2Np0NzrQ4HNob4v4TAqeG7vxUKO8+5Z2j9IZ+s3ExcXYNS2EkkZwH1hN3HGaZ4ys1EjJXuO -/xDj9VrnLs0DVJzTCd9Gh4ozWvHBvYrM1RKDMhbXX8SKkYwdI3Gn7b8Rc2TvzMF7GOQoBXVeBM6b -CxGDbm9TkctidFH/zT2s00+TGOrVRGj0vdws+hoQgQFx/Of5EpDsnZryYPNPv6l13Ghwy5pAsjrX -j//nqrvyA5xa5BZdkHwtmHLB195OYRafD3q6texYKVRNE6cDqYUO8kythdQ7CkfLg8xzYt0AB7w5 -koqVClFsOH1ybJSgXDJDhiduhcolimRib5A7Sxm97Mxl/1RnidzAx1cW3uQkC/7YDwGB8ndpKJl5 -idP3RTTJrYoJg0AagJmYOzxWaQSg2qUOqb9+71UZ+gMXqBMI2+cm9uyKXYYKof+hhdnCF6CzMCfI -zWmVIJdaouIMnVDV+KXfAkiDUlv86ONKwG9Ol3RdxLU4iu6/4N5Hs05LNlKxV2kJF+DTAotMnJqg -3RsPQYeSrYVAWa+OBciUmC1p9oZ5o36XyTOottoAykBhA4HpjOfLFN/ji3D5CL2qi45/RXBv34ad -eYue2Z+y8OAnmI0ehxuFbEs2TjAf5orUS8H7Q3QIHmiAawZgqBpC2FICqH5rBoXIAogVp2kf34rZ -Mm1E5zFJuZUpNsDPgWStXE5vkXsMSiiw6jptZbMSddGDJ5sLvVBo8S7ytfkp/7KgRzQl+pMRer2j -nTEUvA4TwBO5bz9JVHcG+sfx6823byvNSpTFW7wA5SoRQUsgeREM2lc2sp0CZYw/kR+Dw7gVttW7 -5QqFD+gqSn4CyL5u0Btjgth0nN5HkFL5Q0vTPgTFFUbz8u4rQrfsErt63FcGG2mt6R2Ded4yv/uG -UXteJ+V8qTBH9Lr4QtrwoBRbo+jasRdETqRcisNs5G1H1tDrvNnXkURqAIfhsS+LH82Y7K+ch5Wf -Fp7BtH0C2VPwLnkVUHcRPjiHRICeUUKbXB7XerATwCvmWJsVXw7w4t7aVflAIk3gPMq8yRMfvrH3 -nKi26wkYL/iTiXMhLY5o0HtwsZmfymDY91+K/0DGbj7zWRDIx8dW0aSGGQ4cTnHYedLd9A8aWhKt -4M2Fgetwl/sha5FMVChWkhE4g8ghPbVgHjvlsG+TvB2ig74MrSsxVRUOj12mg9rF2WunhT3YcvFg -AHELJvZHXtZ6foxCxau9PFpqL/cRjCEqFTNkEuzGp3TvoC2nkn64kQ7n/MqrSLKd4Am2sYv6pBTH -99vIY4H9jzBcB3IBSqhrXU8+IR9XNv9zKbG4ErpTCGajtQbtgTjyzKM21hGK4ezqElYbJHmrS577 -W/naVC2MCwnKvWn131cceAI9HEH3xGg7P77t718L+da21IIJUq7yPkYRXVtw38gTF1S5rbUUS/gG -s+Y8PMxM3K5Vm89lIgzl1aGkurEyGsRkBOs4YDAabXU6tGmkDnvNb1kcjC/P7VziXcA93iWEgkDQ -b7JODb+8b6FrcrSFyHn9RU85LLxhtOfN0dNP+9MYhIOHrO31exK2Rp2NRTquBDqcRh9K6abmyqb3 -tzbM9zWQgQVpKvzw7y9a4yzs6uJCXm2s92l9oniov5jI3GFSkWP8g1j0qzg8Nw3/XvRrDHY/TSOr -b62BWuSUNTzx+c3E/z/oplRRUz75vUibKXc/qwhT/GbaQ656CJUcebNX6pi3YYZ7DgmYZpIeX9wH -xuZF22nS3zsyWaSRm5W0LOP2xtLzhUNWZ9ugNNyXlq3ftKNYpcoreyrYwo4BUkAYOTaMQ/EMcOYC -6s0bJi626EFNNqZykbsRIbex4J8657F4RaZRyJHSEG8LhQRldrtUmVlfQ0yUU4JQdKbUCq4yHHou -eS6KSiET8a221RLNtEg6eSM3tUZWK0y2AOw0eF9MHmfdQ45iwGC2onpgkXcyQ2nGIKzKKJDG7AKC -24GK4zb/u125Mte9nCvYojLlqeDEkf3snuzOsTFZcF2DDSAnQovNxNFlyrMpyZJL8B4ZagontNJV -ReTZ25+gAcnt0W4WyS91g94vcDwsjC4wpCerBCzWmlq080hiFDNBj68OBFxSQ2H3xdMmhpvhHEBJ -Xj121f7/OvJTWJNoC/3ZXfREDwBKgbXV0klgPD8m8eE2+llmJWyHKsOLoASYfmbh354Dxl+8Po8L -+mB8BFIfCniB3s7TZK4tiUhOrlxLEsCN5NQwCJKicC8nJ7hn6gSen7YgCJNPYgSy/+/W/gyAF+05 -R3aoRWSla0smkXEw39Zf5ITXgtdls4AZBsLVFKFVVE1YGXB5oP4/hJ2CuZqgdivc7AbuhKfWrK4K -lIZFhJohqfPA9u4FHVLqnBCd8NWkS8mCtMx9VmTtAOJadtu7ncNQ10Gb8mwzNXYt35tt7ww8PdoS -GDP+8CL2XIXAoGKeFJHs50Bf3AONOPZCYwYGr5LYbOL5k9JQUmas34G43xSDmi+h6W0oAjTAVjMT -rQKmW0KEHQehl3I6XuNO0TIEiBbO79cOPDEuNpEBRBNEM504B/43HvNzh3ouGOKyaGsG5qcegveD -uGuHcTrN8KPpAN1K473QEMF0JabnNPbgPi6NvsU75sK8VZW31ciySfEf4u8S4kO3+PrgJy+59Trb -C/nj15/eAn1mF9OOcT6dHkMb95o+aLbWm63RKCu48i3Z7jDGOxKz8zJ7MVusWMP7QPzeTfJUBOUT -VZ/e5HTb38XvooppQleCyyCo1JHeBqxwB9zwrfIDSD9y4TsSzrJ1UFtDNLEnYzMoNoUuuUf66wL1 -/cp2aH52FLI/ZJe9kuNHj0V2hu9o+i730IlT8j8zyLi2JQ4FmlWXUVNzNZBBeFgCw+cIwUGDy0zu -QFpgGpjaO/r12xXGKLH+bL8zcjRXJEWru1dti1xhkMShLsCIhGmxXre7IiqGSd2date06nR6DP0j -rpwC1a4/kyl9j2TzfaWS+VnmsBLDTYKuCFv7tgOP0kLbjyiu7rzzCyFcCJO1K8t8NzqUa013Ry4U -0L0rknkZX3GWIFtAY9TZyHgB87YgcJ2JWCP1X+YYNBqlKMtoxD9GQ9HlfyXjO/MPp6Une0JTGvRW -OOw5QTTBAeG3JE+AbL5Oq9ePqMfePmpkc/9LchP3Eqxgs4fPWtr5m0CvzRXi74Tb+yY7saRbmS8y -mK10BXZqdlGCxLW9f+DIFoCdb+nY6HwrP4UrsnMwQz6yTU003kAi/GyGIT5JycKq8/KMofE9zjJ+ -1GeqqW3+AxLDslSDDmfLAcaE3Igbdi1HGVcndAMLrhx+P13dw+maKsLxPxIP+ua943YRp5bLaGUS -2avKtdkJzvzoj1RSvAiX3UR/JFA/p3v+dcYPbV/qvo5e5Ovm81LSJLPGsD7mTx6Li2WjbUj+MQQ6 -u9BRr/RwK96bK+HeDjMRHGmA602a/0ZMuheJdeRIfy2rZBEeC7ygKAQPkaYsyvH3uQ+FaRhmI1jZ -bjSWTuXdD7oT5hfKpOo5Kn4euKLb04Qcn9ekKgEOUrVJuFKD7140ixaobRbCL3lGMbytt7c++hAB -3mWe3CPOnLh8uUBk/yCCKrXSdRf6xACUbt3fMUJZ6kttPFdVXuWuY1so7YSW8OjyE15HeQHa6KIp -rCkkU9EUzWC7aOknsz+uyNJlLlZ1XM2pshiLhr9ZAIkcBmzJsh8Po/1nJ+FmLz4E54s1658dVQNI -O0Oa5c8QMg6tCScF0cicYu4tR+aJy7v9jR5ASRg8MhNbaHRX8KvleAXKlzGF7LPk8vWr+DmJn1P3 -EAxT9z3h0KQZMwFb9x16/RxrX8WnxeV+pv4DB0sapCGtvVubiX7fssopnI/5BSApNe70Qk39XXq8 -5p1/tRiMT2JMXjNtvWINxyEgHhWN/xO0V2L+Cmdo0leV6jE0vwgLfhaaGsJW3H+3wgoUc67K+xOT -tUeiAnNDh1iA7AXasw3/+3cxZzhbjXR35xTW3ONooVT7zCck/AXwcOEMKGb78CvtVFzU83Dl2zAV -sXsldATGo/PDWM/xGbqwveJEzOKzmXPpigLsKo8LQLsAVFyhvja2YPQ1eRF9hdw4EtY+XfmfQPWv -H9TBI2t7fEmMgcSKzhk60VRPIbKdebCgZ/3OdK5r6twaqTFWV7aNzo1hvYpm/6pho+0XkT2JN2nt -PGoOZioYZjm2YgE+p0zPCNR1uvOZ/sxavdsPMGZl1QjQ/zFDMwloE/ssdMwQSBRvK+jDu4bidJSy -vFyn4td/pe7SdAB5fplqpwgLcvwLDge7ZTooR0rBR68ATT+rsQe2y5dGk2G/VfVixvlL30ZjwgKi -lWGDDd+ajL+6FAbN6+MzVZUWW/UddoAg4l35L6pQuGM8vGHkKWIxmc7SYIrvRtt0l/XWH5NQB39R -yNKJm241KsFtxeF3Lqhb7j4Y2Os2Bp0sDOjuWVNqXfPBQBjYJ8wezUbP/FGnZ8aYqDxbWUc3lQ1Q -H7Cbr2WAelB71ZtPv55zjI17jD9E2a2sGosdl4c3mR1wngcfy5rNlGh2LYXX0CEHLR/zJ8Ad4i2Y -nEyz0XYKHbnvb/reiG2oBuFGxZLDM745WaITpwoKRHTNEB4pyqIpMHnBlzDYnOkKaOWOKGFVAj9z -4sjEpJLPen7JCMrpir44HYCZkAIA5Do4agHWaYhZIVwHuQBgzPjT2rxZOBu3soQz9af8iH3ZGgIv -xCnbsaNOZcA775e6anGHsnkMMNS3IVpG9/5ypvwDQQuf1s0M08NyuvjyQ+J7DCJPTd0aJDDOjZ6W -V+HFe8WhBVGTz1bbsRl0IGvWWAVfWA/gAsTMt39lqDlGvBB2LbLY5mf25O8nZB3pxi9Tzr/69lpA -erV6xJLRuuKxmydifBMVw2JhVzDOGt4tzVw75RMc/x4g1OZAFz+M9dhVV02jTPa6diJMPnSKTeo9 -3HfxcwAUPlWInAGDUMm6gI6z44wZK/1RmrFVySPVMdOEYfSzjW6q3G4tXI0cnzD7yYSWCsZnElec -EWJ+Po4sNqkuFJ8qDz2DmVkWAUa5h5HlBhtgMlew/Dy1KhFey6VYGgTmdKlOPZeLmnimveleicIF -8vJPOWvGxnTy1hIog8HTxVPEZ4Fs/OjiaY18OFtiZy8vWCxb7bFy9w3zXdwTT+hP2Ieiesfm6+7Y -Vlw7pGj3C9gAdJjEatGRxZ4q0eTl1jRvEX8Nu4ClFTYjORrl8Nb1fnPGWqFXXnqSqnv/IzbdgSyT -Qmm/T9h4hy/tVFduKj5HCy9S8e6NCVLcnxvoJXoRFrAY9Pa+oSOV9mIJ0JyvQi2YJpoES/ZiBXpa -+PPjgl5lWPTkUKVnzIdgXQ6heFV7q6IvbWmd/pbC8vdJ8sd4AKzJm2T1i7VM2sH4flaVb9oKMxZC -yRM8j67/EOCVO93AIvplTvkPx7a4BlxY0WnzgTuvpA+cScnPYRmnAYmuGrKk+U3xu5dzBKOWZzfM -nwRftGFOnRX373jjyY1R1p4Qe6fan3RXTdR19ICiNDGYS9Bdg98K/T1yPdLf8Wc2q7pSdpXtVwky -N6EGlqSnYCwFxc0jAo0QLu8lrDAcMQFmYZb8grPNci97hvOoduEi7nlU1OgPFLdOtWtg0Ux5/4wu -FxQnIrDDvylIoc4wzAqq17B5Hhf46kTVOuZNaXq1c6nGHSyLtfrhc6Mg0RjEzKIPXQZD3Z/+JFQr -hwsAOOcjObzrHBVEuWh+GG5YPnCsbGGD8JLb2yTA9PE4DxUwgkOjpdGdnOIvHER8ZtLVnLuE7qKj -4nhZYz2SOr7NoSeAUl6ZqmK5ptElGomF806UYSEwk5VTEA2Lhs5kC7FbiIjIvF1ABIyUKM8y0GAq -BiC1DAjtM/7VCPufamsGOE/elslDsN1Jrh1pDtN4HhkFsGIv26TzkE7ir+HXhEjciEBH55X8q1Ov -4pwH3WPBthEuh3TDfb3AhC9oQ4v5lmKV7BpyU3cmVf1rwsw0A3zZsKnPGr10fbj1jNMHNYXWMsOd -/XG8OAjCCqxrI4F0MElR5UIRdYawIPAzBb8B7GB0w6YreN79yYhP4j4yHmMpRbBMSRE7FrTheGUX -zhU598PyZ8nALEG5o+gQRzZlobaSv6WCtyx9kBcgSuzqXLkU58PkMEMUuKwBBUgowZ1j8TholeXT -wTQvCn1LbK3LhPdMnfi0G+J0/3/eWmgOl9BvtlLudE9nwoAVoA94uyztIQLqbkixP72i5mmC3nRG -A0Hj2FbaPwGmYcGbL3G3pxFE4ltu+KjWZQgnLCzSvc2KUQiQdciUvnyjaFnaKAuNkswlRUXVj3TX -75/e85Qs6RUCtOjdqD9EwFA7XBsnwjUI/L1lMB/PsRF1uI7mkupyXoWhKa9GgkTnQXG+94SmLotL -tcNPdk/pJIe1JhMu5a5fPwNC4sB3uBEK5aAFs4jYmuQgYlPTP1+bZRfCcoIRWJHk2y0FkEGHDPi3 -GRPX3USaydUDZZBtcboSQ5rRT7NycEm8Ugwp/jYmTeK5zRTyPa267JQ9WpbNwZXr5lIEPlJOlAYe -j1iKR8jmkEjf/F4oiT2jHvhmLqFwxk2fJlYhLF9qYnxFne7mNZ/scphE/nfmIVhOXBB/KSSgUE74 -rVQp19SG2EwY0DZkJtkVqggCcYvlqS9d49yHe118fZYinTv95KwpWCr5bQa7WB3iIZZTsmlVNwd7 -jwWaVwsRPCscKSuVC+sqBQE2BtNnQHn9JuTzuck7AA5XLM9KgIJgJ0+aKg/J4Vrep/5P5Dlpk29q -ut/VHOKtQP2vOhlIr0hEuonawthzzn4Uxu0ApKc54b7rvJskUbTG3VcLsZVFRG2wrw7xrdEQKr3/ -QrolXdL+Q3uFQ3dPJQYXWlocTXe1oHPD0w+cgY2fyZ8BBbWwdXpsDAnTk+5ERfpsatvqkOIwCGGO -h5q0j2vSpxa5nWCFjgaCUB1DWyNZuDmAQ/2XG/vbvELaCpL2czY3Nuxl7CGyKaRm4p4odiP3YKO+ -o2BQjQFochcyOer8f8Gx/Byc3uGUpocEeLz33+PDdSlZki+xilQ7ftpz089bewxS45HwknVrj2HU -TmV6rbG0EobaQsfuSb/jHKcHyK6YFpep4rHbGae8FWSF90QUqWLxOaTWCxGVcWx6NAh6a5DLyZTN -VVWCVz1GEIC7QwT3H9rc5oAMtJh7MpGfveDJzBWHclbLg1x3A/gpDJsgDXKt2JmMPOMNZDMQaSuk -C36iy4nuk26svzRLmoQgnE++b8d2kOr8mifDf98S9BMuYZ462srJNNEFR03gPhLbmZML0Tek7J/W -6Q0tXMn3tNgmKfH9njCqQa3Ok22BhxKlruQwePrsJOMmZl4G9BjrUE7MBD+6wdZtWjBcgjrkouSN -lRC90K+rwiEBk1rk1QjB/hGo+gbRI68bc8MUjifYt+ISZ68atTT06nIlnvNgmjJAySk9IvkuLlNy -ul+8iNRZTxdoXBQb8AoxcGbG8KUleXBrpMWUXBfWyfybM7GkwhirB8GBVVkCDQQUxOAtSAgHYw6v -pRFJzKqw3RMCGJ1V8EQoHjnUDnegqzZel7KNdoZ5L+IENtv8rAdKlCCGIE6VQOu6zvAptzzvTreb -iP2YONzblYmR3tjF/TgjX4F5nlZhy/8lFsAU+RngnTsnhzziQNphD0aKE6FvoMnO/WyOlvSTH+k+ -KtvCNTt622GJ0tp2N6AcvauVihQooZdtLAqO0hFbBez/WbqyuXsk6GhMUVbifm4fs1StjAdT0ucy -EpguQkuem9PCdI/xDA/6l7PjxoEeB7SWnl/Am1c37qzPD6KKR7GWSNq3nCtB46JimPD8QceypOKz -QzYxPHt6zN7Itrbl+oHqoSoafMuEzSs+DEAndfEiOdJ9Q3XyllTyjuIieEoIusCSpawdcFrBwIGr -OCK86cXsh76Hu/9mQYhpVI+jOhrF6+JspRSy/LHNAxV8Y65QNtzo8wde/HD8s6yG158WLYuMfzgN -cfJAgRAEauvdpnPmaPnrDuIETk5c8lShFaaIECjrt64MYl8D74lCCpdZHxcogJ04tTX02NLgo3g1 -kJbYYZlBfyqucGtAVTw3CpwuqwCb6krMJ3WCB5OuP9vwzEOszeYpSlIt37VBqkxX9zWwrPv+Uigl -3AmsrtCgXKWor3/fVu4Jdp26qrO6fV/yTBQgqDPcFG5EkGiyJlbVzijq2YnAEM4BZqB1Zvr2yrcs -ZqNfW2gI4Wof0Tii7xkF60CYguqMTwLtKThLWSWKQQVTgm173LOtM6AK3eSevYk2R/8UgUArGJv0 -xoLDpwmLtavokyiAPH9HrmymgM7eWhMWRcFTqu8+sUur/I3j9sgEQXRB7EuePtMDSMFHotG1nn7I -6UTb/Kxz6Ny9NafcLdeTrNiIh0a9oXNEiFg+plAeOWz5dvEM4D0Cr6KQH/qgFG20atM3gfqZLv/P -pmepMYn+1hHiF6O04ijROsXmJY0dkpujKaoUQltq+XGaPEwwXmkb79mGq71/mFJ57GKx1E69Axc3 -F9S8+Ig2czwcKVRNPfNLIfpsFG8iuL9Cghnn5cgFsgYSgcJ3hee6+V8BmpEntKK92jSXGIqOUXfQ -U1fK8brfgwjeOLACh1gaWlDz4j4ldax/8QYBZrgIKEZO5iKUOt6/8NrSqiEyf4RIi2aCQY1+p1p5 -G3ta+En5hB+/hQtuxnIvyWL47ceTtrJd3mo+CL2Hp1rST4TjhUGT04jqJAjYAR1cnExMqTql8Qo4 -XQgobFz4veylYviCSZNy4ieGaFzarO+L8cVJmSM73xIvTiwYTRsuwpE4wJMFOuhQHv4xtuVR8Zdg -bL2n4wP5IHSFsqUs7UYMGWRZE/xwm5UgIRSn79L1qElLv2YF7mXFPClJz0hb+JAnwtrLI9Ylvfln -HOsSMudXK1E5CUTl7NyHFHkDnqpFZPI0lNm5YaHftnzsPJmE3QW0sJ1eTDtvcwmdq8v/cz8mFOxv -Ueutkr1rwXnvDj6E82vwg2IO50kVztZBg5r79CZ5QZ4DqipSODvB4Cxtt8IEQvnGeGSTj+xNPm0s -MNu8KBWI7DB9S6O8cruIAES1h/twUN9cij5Uatq7XGEbAia3RQ0M9geW5Ekw+OtEPIN00WruW1Ys -rmL3Naiif7v5LE1PdrIZgozSzaugqFR711y4w2zhFmISlFRlKPAh/PI9JhFHC6Qr6e1dFpLxoGZI -U6lniRUE5IHe3tRx/vSTP0WKq+awlaAnmRJ5vl6zdvBFz3XxJzwpQ4Gv4y7pVHBIj3Z2H6xxWyiB -vShi4HxEpsO2DUnYFP0vOuUu7xPcKHCXAZMOG1K8KYHCJ2Z8v+CEWCHhpbkgQNNMfUFznXe9iPgJ -K5BWEYVTN0AClSzvPX+/xnAfKHY/PAWHFGPRih5K1mGJ5UpOxzdQg/16tgVA44iynvTIVVyViDSG -Fawqef0hpeZXf9Sad6KFZiKhsCVTp5quuwjbsE81IQmOUlMNGVXbR4SwYjNNu4J/5GhonH0uo6P0 -Cx7bp8CynQ7oC6f30KCN4cuyT7ff21qFl9JVzFd2YxRV5X8G9JkuJ+B5EMa+IOj5/AV1e4a+Zjxq -/2SB/2iSddzn6RNhRPtdIXSMcePbQRSab2zTKr26RCg9tW3hdcLJGNjfGnZEz/nTd/Vo6jGL5AE8 -urSsc0MhKLMV8zgl78G26DMNcpKfbq7BRdmu0PM8nFO+bFle60iIodqMt2rwqqHKqV5jbbiQGV0x -ZKn072ltKwj0LYsGmXUDjIlf1IeQBeXI6tyR+Pa643AGwy/m4MceylKArUTKbk6JsS3S3SGINsVM -vjZTDw7OLYOrOliwQXbFCxTCn8gtuX8qs83WrEz709+UkAAThbGkUo3cD60MnFqCF22P5U1mVFJs -gAHsTMg0uNp9ln53AYRNv/aBmsLv1yTvSYPJmMWOUsDdXtNeLRv6YIEPqibjOPUYrXI7v6v5gpxo -SDPcHlo67LSGzXMSfF8RC+O0nfj2rerHwdIqyuHOWIKC+U7HQ5JkUkFQaPhadYHAZdpYEB67oAo5 -Nr9CB8IsxQTYk8NxhPf5KTzGqBDRr097FGdnLhzUaX7TNF8TE7eOQ8AD/I+O3owfDQ0eb75xOPym -84H7O0GQR/Q2NRfKUAn5K/DB1YKpfgYiT2RnFrS6aG+rBWGYIhGOuC2E9IiEkYbliVdAVFd1p7+W -VQNK9BZC8RLTDoPNMAby6nVhzsTh7V3o2tpFr7pjZe54iae5DEovEjEEAWTkSI3Xe8kIrtPC/m+7 -+ho8jk/mZk+S4PxBY5uvG3rIr97AUekRtSgA1vEkxAZKBqZ1GS1XQonYelq77eFgor4LKlriT03n -sdHm/zU8P4ypGjGBFHm9PswW7KKk2xK/7ONSZA20T0nCmbaOUg+5KSHRIciIwZgMZZWl4frIiqXn -4FEX868q1klACguDN/W+SyfEpybLlmu4d5aCd8GnCERaaInKJKwV68Rp7ABvEYH5BJ1R8XR9UXwH -MTvZBDvEqUMfbZf+qHnGIZS8ZrICAZvq9vUEcn4eoYnLxW1z6m4arrBbFj/wU/OOH/WLglceG7AT -t4d3tVXS0vl6zONnrvtccH27Kd3vRXDJJDeQr36i6xW+jefJ8d1J/8/8H2YchOAs+9eqB9fHE+n4 -T3apKFOUiBzg2Dm8qSEg70BBJU4HGbNTONLcCxsqtuuIC54dZjgk8/l5XC+iW8yeuFkmtNmVeba4 -mUe5FtpZRI91m5Qz6Q3Y3ImmnKDPSBMUdrfvfhHFG7HxxxLG8dqhEWWO6u/kXHmkGXCRnB6PhpEP -3jLgq8xOdzZojFbY/oUyqztqFKJPO1N81fsPnZ9ziiRDnX39rnJGHf6enWPZ50BEKQ8dC1MmzcUB -R9NYco7owOjTVaLuCNiqVDPvpLW6fTFn7taiQqHCCZJMnQw//ayVUcLYYJC9ezFKPa+9cRs1rM3t -Xg7jcRpL89dEjjAarPBFtgTV+qPTiT2VUlg1WfcJtNUkHVlpjpgN48LsTCUhgp0YGDCb8YH1c+rl -Hnc3HCphA2e78fh6HKkr/x81l+l3GAsgzl6qcXoHahwA3EJmeM956JeMqp5q5U93Y+aIUFcXSwBh -V/cpHBXhYKMwnVh14Ud8VtuDkijp61w0XdnNXVb5zDI4jKTNGCx0OdThnxF+2r7FKA3aaVAeogeV -2ApAWnziE7zpshKylamyMsB7B7Ee0puwIpOwVlot31d4PZ0PqM2lgGK9pgXMzxWVCEX1Zz/BoTCo -zACSPM8w8ycDGyHUsW0Mfmr4/HKw+XR4YYNXiqp3G+GOCk09x13vt8Bl3naO0rD1VpbGSK81low7 -S74FCkccVGXkAS+zS2fRdgSIzaeCKA+leUSGlRY6kouDvCAIOx4002mg56Tl2ZHqW4Q0JPHHO13D -g9XMcpNKp0q+jf45fRrAdNEqymruHPLh+q8zlrZe2orwdMEJIN/Pq3jIDbkjdhWv/0mL3kqOHgLQ -trz4H9/nQPQDZANiBhsMW02dy4AaRy0P8vmuzKyl0dtfpIkNRphZSyl/ItozBRIqpdF0ewfsAEdv -2Gdr5PkX32tA3qBiRLgimmuBHXWpFzYovqiSfvv4fMKu2c3+8EFMwCMkkp9wFlTPrY/TrFoM5JiJ -kQBeTv+0OiFV6m6OCC8iqhcAFEgMgMMc8Po1Eel0iqYwZd/iNvy1JeaUJfz4Zinz1dUsew9qIzEU -Nok8tQbbe9Z9Z5UGAddS1Z47eGWivnk/NzTJV3dTiGYFfMsfPgUCeH8/A9b+TrDGisJODtGfoP4n -1aqNJ+4eLYgjlUyADOMTU6iw0TgrNRaOyUfgtRZB89RxSgl/uc8hLCQ+Demr6m23e2XHrcCW1N+5 -VpIPxwfYPy1J3tNqcvnZzyx2yilVXwWOy4/XI9oFbypvw5vL8+jrQNCYiQsepOfBC52BVW16z9QH -cCX/sBbhZOijZOpuafqNWieUwbwPaBtn8UbjWQ3KONKl0Jmk9/Kr79+u7VwugxBj3mvWihIojvdx -4f01L7bfP6esg275tSHn65ltS9OGq3A17AU8+SsJEyyLLYCnflZPMpN+YKgxvV0h5BbsCM8dyKF7 -hWElpxTBoKzRy5Ek6EAn0Cr3Ig0WotQdHj+jCfKfcZm9Lnk/YHx7XrgNwKKCNRqCzNVQB39SrrDb -TJiZPmaZ/cyYYjRUFdaQIG9Sl2kE0HnuWM5TiC0PiMNhHm8tGQBHUC8ZHCFSY/AEQZ7prn/brYy9 -SECSM7xNHJbRuPd4rInq/cLLJsBAFqEGL9RZlj9X1jNli0MR2O0iNgsxMlSLWysfHYIL2JSl568v -fB8aKkVQgU03RH+V+qu3FLp0BtMYUYKy51+aSH6Zmm+NIQGHQCFzPw9AtG/L0eh3/HuU+eEWdB5o -7QADZnmd5E/NJTT/eWYL4SL0x/nU3B4Mruc7sncbxu3MUxywIEW4J96HA+P6qYiehZ9uDQmOswga -Cw60VXBAvsM+8NcRQ1hh+Oz3GcUSPjV78/bMcRHc4+P7ZVVqRrXzSTeuxukp7JVfUQkhGQ+22oGK -Yuz3tHlWSvaSDPxawPxWUxJZp1YnVYjTAfQGd+Rtz0XKHxZZ6/sJ1LvIkvXyYac+Sl9jzL289Dk4 -ttN2w1czF+/sfgpK0rKANYish6XJPAtarpCxlDwDIcdFbIQBS0tdswE+xxMcFnR+YyQC6PjG58DE -D/xbFYh0guE7eFCZjiMf1vnja9R19I07VTS8nl6uRfHlSQtj0Oh9B36CRdPdvVmf9abAquPibtKU -UY1oiDnA//uQiiFsVWQQ41sa5Yygx65EFmUKaDT20sZxTSr8meb/l7kzwn6h7PGUUD2gw1CKQb16 -YR47Phuvz69VXvUP8IIOFpLVHZnGeHTg4KF+JLTg2GU+KDGUjC05GURpPkeMzyNcTgrV/y7fC1Ks -MZcQw/N+OtcEDGGrdjDRzSrnwdy+evb4vspTkGLWtrjA2Hb90WVvAbdHcaUWRdQzhHoBmikylAmb -JwbOL22zcrUbt6zJaslKiYopsmjnWIwXC7kT/JW+JrTIn4BkP6B0Fdmqy+9sLqy5mGKkHNxaFrTf -Nl2TnqU2hPnzYy5Ot2YC8NAnZpbgnDMjexR+XMsAmz5cpS/tNOn5qSvnf4qKR1eMpecRVyIFJKoi -VsLMhNsISW9EmLRULK64+rK4KfYsMeG+2Xsd/SOL/nTUAnxe8OU6WlA71DhHZWclP/MyLIHlpGVs -vRIMVZuzwau2LtvcvYZTb8H3E9Ij9zZtlpCRbbVeLkNB6Xt2ks5r1PCgXepjeMbYm2tfgNaJr4dN -Zatg54EvzKE3r9Eh9fSXNKOhpFKTLTWGi3vZ6vXTWpZytXSAiH3QtmufM4IBxNqVExVUIKjWyoPW -vjaPyGWHt5eK26JwYTxbIF0+8hNRblMKh1kaSGb5ewewOCTO9gBos3XSo553yTIdydcqSixRbW8W -/jwdgsIZfkRZOKWwPh9wCkAAGyjSFUg8v4kPpJT3ml03bnNuRn5ZDVbdhvzrwu09xYHvumVKW5wy -rTrcIE+pq+CDjpXe1YIttpNMFbd3Rqax1tw5Vmyjjnk0QsT2BAR6gCZYpheTcZsXe8hELHnuqT/7 -hyQs/8dbwPmr9myY7rVeHAU1YNpurODVUYTkhLEZgHW1wW1XmrY8l6bBDige+9PQHtW5hgXZSlRV -L7ePxZMT/oHx7L5azt9XLtbkWGIHJ4kSp8BK/PQl2jbfbwznxtEm7I7dVZtW7G57LZImXuP2Cq/z -IQYDeiNLeKPY98sdF+8LMSVcg8IoeBItXXPon77MuqxJfL6iXAOl/qBGomlqfUScaboz8asREvwa -KOXCT1zhMyTZtJjBkR4Mi28Tp4EknYsRBiAo9stF/jtZ8LuQoAsUoZUhiJdDXrijKDaptGONZuKm -FYxG8g7yR8AF7SE06lxuBY0oZiugJmBQw4Bz4CUAbdFDM+s2gPHQnrAO7U5jIHBmvRUuhPDSndNi -SPoD9yNShFtMOGAMDmogvsDb/RoWz5pc8ervkYIn32I2Dinnnn6xOxuDm+r2quwFzEV2cFm35WjX -BcKYCGIFUg6zePDgRj0nx4w4vC6V2Lgif3HBNiFYFHBCWutWBX6ukKRSNtWrQ4VsEx4G2hO8hQvB -KYDFPSx0uTIJ2V8IgwZmCZwdBlgvN8we/Vd5awW60M4YclbSdwUxz4GgD2phKObmaZ27tNMzESA6 -pgbqm8EKxXs5DJiQ7HRmR8jr8NGuUneFfV3/aY9AGIom8zFKSBeUwse3YfHFtufp6Jnux5uTaTA3 -mXK+BsV6yyv0LzIwlstxPQ20BGH+4FTPkyIYOjMqJesC0TTIshUwgqhXy5g5Rp2Rq5DARE6qzxtC -/LcZbvAkKG4igJAa/xezfbONbXM2enO1SMHuUwrIZB2OGQl8zUZe/BeVQEDxYIrfVZU3ajZc1Ukk -gZdsiwTEMB/OD7w50UoeivVJTJFSm6dHclqaskxHtdZUp4quN1OpCLekQ8sp8RjxpJjsU2ckGMqw -SHXPT0RC2eQOUvDEXAxM7B/+Lx2N6R87Xe0vD/NG2YSxyNRQbIJ9z/6rnwqvoQIgoMF/6yONuPsw -rpywG5lnXn5DwJoWgJOjvnv9Pi0ZYprckfCL6UVmnaU5Z+tY97OU4xjIa2JgHmj7aEPZUUspbh2d -Fby3X8f8g1iUSX6KOC022KmSXdu7plYQo90vy6PkxeZo7KbiCUsYozLkVIvWj8K59WHqRXk2PXqi -qsr03DR+ba6hhqD4PLexINQ3UDfXItelVqn3tPHmSR5zVQRJef/onYV27AqYPmzfdDSqHK1Ve0ac -v8eIaylgQPGa+amX08UqLKyi9qkmHrbQ7LPJfPmbLNNZ3ze+GSUsUF/eNKjBv6CpGUV5+rjxMOQI -idnz9v913cOWB621tioQUmBK6mvkEBg8PlFsog1KT+6rNWUOH3mGPTH9x2KO1quDfD3/2BXfN1S6 -+3iy4+g9Q7R53P6GdnrMPOrtSjDFC8Ob/yTwSfzw1dYNOWrgOJSG8tF3jEa4MyfnM1lKw3XWzwYU -pCBYszoC9KFLCNeDJawa/dXrWKQZUdLTV3NCof+wc+uolS5gI9CLNb0XdjmV5Tc7pCKyP7R2KKHU -shJOxEnJO6oLysX+lUwx1DyDNPjyPGBK2YiL0/rqwDvzAbsQbpPy4hHzEXFwvKQgbl6fxtfNdeGy -xolBRt9lVt3XkogsAx+HXtrQC5OguzG7nIllq3d9Vmglzq9vqi+AurpbxB4m7Pxd1GCbHMLxThq7 -YDXeZh8cFW9+/fZBNg40thUg0TavDVzAsA4CsPIWR2DIiYOLcmLKV+jnAQIPz12ph3NtMB4nzdfr -DDGf/eFYU8D/7wEUHGHmNroy0LcX3ZrvohEURGsivRaXkDqJCsZnrX8N5t0R605YAHTPbxtz8FAE -o7tnmzpgm5P3aqoLbA/dAeNJeVSmRFtIvZ0Dvx8v/vPTzFo0aVuJ39VtgWgNcGxceBj+htG3Zd4A -BUaTfsqR9F7h18T/aELNbPkA2wDk80Dmk8x0Mq5OPhzrHpSySbBB7xT36WkRmtmPbBFAxf/18XJs -a92T61j6CEKzj5a6XZDVrNaJBbFpfU+hsyFbRKbBxnJC0nsyT2mbpDLTu6TVCYnlSX5/CaaCw8Kj -NjnHUiH4YikfigIo6U7F+UcnxXHRO2wjtc+DiSxFY5llTQX3fK8g+vvv4yuxbY42UH2uo/J+iSgq -Dn3AGySoGOd7icVAVtQQkmAQ6a+An8WiSJe4xUvuWd3z8qIEnQtv9JJu2XF1PBSjhLz+YSNv2YIJ -9iNZvsw/dP8LHmSNDm5DrAFpNyo10lqWY2WP/go+OEASl4W5o2FyL4/Y7zPz6bITUSlUfZhkog7M -j1U37EDcUIXyVvuA24FwU9/sZgh/WbWE/UVMX5lGGenA2uGm5S1uO9DO1Su6NnoU/qXzuCR/ew8/ -SNqq9alH4jrQNjW7pOvQDjSjXAQ2PoVztnrGskfj4Lmh/uYnDz9n8uXM4Lm0EBxBWzaXiW2YKxB+ -5JmLcJL2wFbylUn3fXXf8iEsw5sX2UQxb+9htBGMbsCVXUCYYoi65rTIE50r0rskUZnXfdi1ejf5 -hKoye77eH16oh8LN7K+5nrL5HCz0U4j9ICey7c+r2li+cx+7aXX2S0XndSuBg9MxtrlXS58Dsfh7 -OPjOOi58p8kdu9JSD1DFxfdn0dplVvhWQ0TZduyxotM+KYW+5juTCq/l39N/74wqK3aNRVJc+Uro -PS/n05kTlocg2sna/GtrD5pVnQNtBj8FDfgyA/l5VS4mPwwUyNJTpGEpvKzhUZIcIUHYsr1AEJan -NqG/TAnkhVIiGTeoF+OFR+jBs3/6G7lD/6QKkC6vfS0Lr6pA5igFpfjGl2gUEnTDjhhOugRxomAP -4AArJYHBzCK6kIpcorFTghfJqEhu2qu+E3wV+x+qdDzR/lLorpA+kvMIsxdIcWwg37LjX45QCXgC -IS97j/Qo1rcvhgdjNjwPzIKHu3HpaeAefgR4dMSGejyv7wX/Kg6TIFZ9awYpz6qOGoKGGx1gksar -SopK199UB2MDa9lzhjTkZVuoZhejWcfU+rHzx9GZ34pn+698slikdx69C5xbGykaWuQoJkm05+2Z -MKyNsj/6G8Ag9iqKslZ7vEmhPG2Y3smuyZ6k3WkAz+DCknj8z7r/9IbJ0B5qC/Ye1mlO2v9t+v+B -fVE+STP+YkmowP744aTQQHshNw01KuSq6TkrA8uWatnAN7zp7Tvy/x/oSP9b2bumKXPE/QbO1aj3 -c1jmiDXIXcRXidE3ranAEuFbGlLqmKWRjewKfybUoy7c9bMx/cu2YY+A5ad5JHnnxAr0lenAeJNm -Jc7GqT0P71duWOtRIqL/ECrqf2oaeoL6arhN7c2Ih7+Mz40JRJC9JwXAsTJfUXQJ51/KU5BYiDa9 -kcH//RmvHRWyCBhV6K8iEhvan2rf+hS5NK+a+/gFXx352u5O0LUpXbugY4354kzRM+8OWOEBDYIu -P7NtFOjEuxyheN5NnZNUrmYZeLJ7lSICdEPzJpVkJB/LJh4Hk2JuerZONFYAxxSNS/9/jeOUfG3u -nNZdJjG0UdEeB7nNogyXNW914QG8Znu6cWSBkq5MAYZE0EozIHD/zctB8TxiLYlR9iw+vXUt+z4p -FwjCYLRpELNCjeb1xA4/1ye6xbUHVGWYUyXZLCQbl/VL6PMxQrex/MaQ/Z5Z8n4vW4PbSjJ+GL8j -ougcpvigpvYj1u/XfG0c2uazs6H7G4z91jq9GMcPiX08nrHHkfzMgauGqzjshj+eEdJP88oU5Oy6 -yjQ28YNKa0ntXj9ohptLcxULFnZGlP0xO6+KOt4/8MEL7UuSNov95ZTKLAp3G74oLiaIPIgfOB8l -t5Uq6OVvwvKddl9HV4nFs3gvBWxaWAg+Su+hmxgoSVDLT4bmmbLZM3kfoSeh2Vw7/7TNY0yuO6Eg -UjZvaUvGl5nDLN5sWbedHsdi6HJ2tav9IKIu0Vh4KIH1KzCgEu7QvGw+Yi7xmn2bvs3yH/fRPy34 -pLj2HBqfzk9TNpmLasp/JxnYZ4lbcrh3uaxrltm6HdrxVYbx4kiSVZGOtmBbI5GVaUvYY5NOyKhX -cP036JIMP6x7r/OJRoK5vzgxTQpEzjW4aPSZN9WYNx0SJxVKbIL2yOcQzr/++vSP/c6//jU80Z4S -g60e9px3bHdCTrCY5gVvIIKddbRe6DTMY5F4Jc0eS+pokjkKzVBy1M29xHEvO+eD61kpGY0KA8zu -VwmofMxS4XUvRQ+OxoLUisUw3BXvSVlVmTNOCZHSDhhQmdZaG0HM3Q4UQpIR51I5d16tI/Zu+PPM -JOmfAaYw4POa5oSAHtn8F5oxMl1BNbcKT72fzHFiTecbGtS5KQlWCuUUHvhI105/74FHQvgHwxKG -kpKEHSsmi02I4xh2epzFKI5XDbmX3ZSD5byRJ8O7GvRsp+vUrjJgGwfi4snGH4Onx1hpEty8pD6u -0G9Z9LdqEFwMd5E33mVVr+bHMHJra6tXW6TJ2Utxik1VFkiwblyrNfHGroFqSjp92U+onIl5wCZx -LT+5W2FdmXlBNwbFwXgdbcpzAPD+cAeUKoHZPwQxCpT6h0ALLh5nVD9iqUJQ3Nb02aIt6ZtNesGd -jAGwNJdYgQgd08lVHIDq9c5DfMq9D8mPwRJT+Or+uQgLeq3KFUZSnW9n/GxsMdHWbdvuNkaoLbTE -pMiguz58WxzK/OV28DOhzJt9Y2II7BoBFY1gJEFHHF+qcPyGJ5juTo681iDl4C115S0Tq6nHU3iw -ixXIxIBbLzIvbUesyyjuzeVHyO/im2FfYhKUKTjae2sHN9vSaBPAgr03vqGXzNUOzCWBu+99RLvW -Lnn9t5HWHWIpr3hL09WLJfzjmCfHb9tVbDXRJ+HjDE5Vi69RANam33gtxI3GHdqJH58FduqtBPM8 -yGOlev3YYu3TAVZvf0vjpjGVQ3ukyqvCw/aMqevc/hkQvsvEjZtIFuqs7AFUXWTVt8Hodu634VP5 -loDXsXMW4/LcRf2z+Hpur/1vFVLrEFzWe+by794+s5+9BV7zEX9A4MSp4Wnj1qgj/LKZTlluTw2U -cJ0aA/ZHq4wx3NuAhJaWmYP2Te1ymSi94Z2IP43MXUuxvbePZxLNNGOy08JoJJblzcubq/DXFZEA -46WtzjEJbVcQTRW71/M9goYBExmoh5tsxM+b6JukusRvC7FYitZb8a3vg4xOwjPsm9vJbRwMXqHy -CSv+LObSlEFOUP0BS7TcQUC17Mp5t20euU3RnGTh7BT7/kNaXpTz/c54emOLp15mGg7Bwfr07FMe -JGLOA+As67hmhyPp5GyvOu9Mb6odS2K3GEUeGhTn/wLhLj5/zOhdqElZwQ1J9NlZxDhSTwf3ftaP -yH4878Gcrta5Chlpo8nKgQz10WiRFn+KALe9si7FXYk/qkorTm51iJ3VrCxxaKjtL1TNKAq9dzxD -qyK80zQOBqEGXeB1GuzC5mMq9CxA2wGz+0Ee2bokDMiXMfO+2+avfL42mtYA2QEfOYn8LBt1VCEb -zWfbAt9eCkj00NCSZOwCAZjd65L9Me8HwblGnWDM8TXYNhBR1ItQk5PaGTo2rXjFGkdg6L8KuMP7 -GWZOwRwPHjS1Ol9X7bQ2dKGYzaQOnd0vBo4/5TYVUZJ4XKY+POLHqgxxbAad81tpP35+/ZHeB2TM -vJ7w85gQ8mZRGShbTC0eqlj0Ydt/fsG963BoFBZkupK2B1wTZNyZlljSfMenrD+47GeGbO5bITNU -8GW+0yjyL+kgyNh5fB4EL+GFMBZLGJOrVbySnBWIat8MzdZXmoTUPuPpPjFHmcfQ7iYUUZfPhDqk -sWKeOcb0X4wK+DDdFbrorQD7a9wRiLMO9rYsYYT23Qfl3AmmKlCeZy+Ca8ykQvgubN0UDJoUqhjg -wMCJomGtDhEnZQBjaHyBSdJclFJ97LybK2MDC1iqE6o6fFmaJw8UHspI3Dg9DC33BSwhe60cXkmp -8vwrZAfY9Y2uKt6XovSxtNFgqQUCfLLToXv/Klt1Mix9Q975qpq6A8vcZEwzNpYBH72RvXaFAndM -+MfOmj3c5CBwsDwuxbzgUtJlvE66kJZDJf2I/1Q5EvN/jfhLIw79CKfdjufMnd9Gk+9MieXhJ12i -T0e6ah1ALrvjHlpE5HSJp/QsJUenCAWend+12D7FEq7FJ16wsCyKgiE9ZY6imYw3IC+3ZQ2MvfAH -ZjA8AmcQi8xYymqMKbZo4hsHLyOEWaNQACJGpBGu7HbS5QO2ERWeqgbeHJAJk78uTJb6/QXi2rdE -+uf5ccvYXpLUOTudy3Dl7o2Vjf0PaAZIMJStvBz7SrFuH9DcjGcE2anuDs0R7nTIw9h7Ofv/TnQ5 -+4Cd9sGhIjaeL5j31FNzEr1IIBvBlXBm+voO9t5NT8nVOEyHmRLYzlceKxVUmW9ob17jDDLUTnjK -qhPVkVcCUbXe4a3o4QyojRVU6JuRv7JFFz/+es54a/8Ru19ezye1gcjXYj5Q4KEPHN8AUgpEZr7A -BHu0mf9nvZS6FsgEy2as+HGf+6FFUGhtp7Mnbb91mEvuz2ckUd6Rt1AOKvTbqShKIkTKU+6R6cDU -2zoWRi+eOqO1odPyndCgPmOx5nkpSJBWikcgQXYjIf24CSbdJ4snX9xGUBP4/FnDNjYzZrH1p3iG -TbMk8tvsivS+firN3eqg86a1OiMIQqnooFjKfGXfCDxhfayT3olrJYuLHXzjafy4Yb4//RZVOqXX -4zg6FgT5SlLkImYJvnlT9iVRxI/anDzYxGKP5g6b16mcisofpjY6qmEk+dTt9QfWAwF080I66u7x -NXQkhvC/pksePGag/JF+i/8oqGLkN/CyfJB3z7JqbCt4Ry6UvPV/v0V5J2+ZCTdRFb09nsC8BLkg -QgqOJkYTYOKgM4270Wkqv8ubhZWfalhEvbZkt+jNlY08WMcT5L5oLG5BiSPo/lW3soHJ8crOtf5M -nLKLTTyEI20WayB0DAK9Wp3Ri+0vauHQCAE5fPccthqgN96UaOcNh63A98NDOse79goWXbOdV+xm -q3VaJ9lDQxbpaSNnQlcw6w398faat3DRrqXH6Jzx2M5CXFhHVsSNy1BzQ2elPqvYqH/A1PQXwLcs -rJliEjiW5xPsqnHLNcbQWcr6mVUWX+w1qJp69v3hyPH0twjBnNHLR7zNXQYHBYkuzYfq4wdW6uKS -1BoDwHtbdY6sdjMHp/hUSus02ecQ8YhanoGBlgZSVLh/HwhMReOs3K3hO5YoVJHO//qAkNYvh2EA -AHEoP8OrVw8EQIEYwkb1oXFiXjHabW20KcS2w3PUYh+rD2lKZorBUTwzeO0aYPPmIfDd2bF6iQr+ -8ODBqBEXOvzGhjQWjdTzEYmAeGA+SS7iwZ4U6NV4kq0t0dyP/8vN8MyMD13gApJpLu9HlulXGUXP -hM/AIeKpdT4Fk8smXwppAJUQ6UvSop/5Aco+6B/Ddiiou/ZzAINc54enapeAvg4CbuIwamtBDhKn -Jdrwco+nOTJeNG3Skzz8gfad8W8Pf2KnXkx7n/xquZgCNdWjfnX4BOAdNbsSgOdJR6SAWtfzpeH3 -tT8WHpc7rEjTBy+5qei+hM3t23vvXaOcZJNnYigqzKlYZxIb0ZryMrOQzKK9fnQv/ST40xsFCZcK -jr4g23Kx50l0P+CHHl8Mzrg8OQZL+1rdIfKql1Xj+B5cuDCU2q1CCQ5sDa0/1XZCZp10gZq3rkzB -ilq/y/bQ+x74Q6wF1rWJWP00vmtvAUsX0O5uB7XNn+L7ZEk0VrXOMcZyCEFz0nGjhDfVENWZgnKE -zkc2omG44TBx183y1qiUiHvd4VQ6Ahm/J7XmVMyDRHjl9mBCRIolYnd8wYoGTmMl6SWrTSKQwDTs -2e4W2IVGIzCV1Ij8X6pDBee27qKKWCTcmomgu/FBM0LAcY16ZEAvt0gfOvGfXaOej0w2s8unItfN -lb1B+U5q6LW6udhnxAv1IFVuuB23I3T3hF+dDkR+IesUq+uj+GkKzSns06wybPCmDtByDHSt4lN5 -taC6oDgknVPaf6/iyy3Eo/1eWqqe0+CzQsNVCWdw4zaAIZGrtaSZ5QRKpbMjx2IudPgjonoENqw1 -HWycSAQwPqrhOy81oYi4oCuLplO4hWiVDqDDSfc1w8K1yrxXsdsI6/TdipMmPAb86c7T3hwUiN9s -Zq+aesp5O2GEny6Sx81hAqtRCU/ATQt5GVNYtiQlxAxcbTwHb8W2dspe/wjRyZmksRvwHqwxBtzF -CAhugQU7qEENZne8MPnB9vn7+IEmq26f0K7wMDmXPRXWMu+Fh6KxJUXTMS8Htf32GJP2TKA5yym3 -Tb2VI300WuzaHJLSHS6BFNEetUspuG9sfop82yilU+My0KVYifsdt9FmAbzN6AjwcBmErWgiGXdm -j41NE9iDf0NGJy1iMZhl8VrX+oe4T6eX/gRuDBm3UaZpjdIgYpx+GgdAEdoQyAj2q0aTgl9LROKc -07Sy23OCkqBcwew1kDpKQPtmMmduvDxJOyO0FRset5NhCSW/TmvEkvOzcZ857AAAX8IkbHgcUZvg -l3uDzl1vtII1pO/Nke5djETlFjAl2XnmANj7lr7Om48UJre76QemqX6TN1miK4Rwqyb/iKu44bCO -bQAlg+3Zo7ZyCwxa9JnYpIHLc5Didm/RxCCM1s0gN1Ox8+oNMhpe7ZOcv4TXxNlCg3VGgyPBe4MM -YzpmEmtKooTOO029KVdQ1BX8OxnXMfjwyDI4zvSxBkeadWrYBNVI48/11/DLeoXqF3oaKWqGXZtH -gT7P2wDPcdUPbf+3AyRzjHxOP7f9ZnWVIlcH47vw4xcl7lv2c0xc1Le2ZYhAN8e96gF6hN/Dodwj -37SSF0xOW+Q7R0gjfk9tmTL2PD9LGDdI+RhPFYG/xPCaq9fNbTKPxefxWoyarFeSrfnloiXq1Kdq -KuNeo4WlicAx3JfOMoXa/aNke6al4Fg39bfgFYa06DA+SwrSIm16huaQbwA7CKOxBEzgt80x1ODn -MWDCfwgyT7n3tT4ilWNuYvqRzU1/HHqkEwJqep/P0TO/g8V31NJFPGEX6b7FZaQFRvDkUwpafjhw -qFJ7GPUQZPnJE07FPC6Jy2DKjJT7Y+gc/VSImrjrWf++KDaLBTHIwcGUcSUQGEzTXKqf8OQv3d1U -5n2Gioeie8v79LeOZwu3Z5iceywoxE8uXDe9T461a6EsoU70ll8zZyr1m3WBCKPWAXRDf0F8lQSU -DFbkRB02MpLUN4oBzoCNe29ZOnDX0hYqQmSMvdP/hMIiBuxICrwE43L9DOCeHvi7V1T+HPDMBegB -/zy1U8DbwrUjloyYAQVa5VjxCERKGQhc2CNYZtpu7rDmOGc4N0qjSXtp3hIxMdt0j1G9g8Gdf4vK -LUfNI6TGBbL8mdUhbbI1BCZw/gtRrvfu/ofuV4fNag6Cx3L0jiQkh0ddXcBmENPJmoAtjHvf/ZZZ -2c58j9zeIBDjeziIsNk1eHwy0tDhSz+hafPYBOiRiNyk2dXLECYFCKQH+5/0q/sjg+Rd3t11pPoj -XPfTq9vrtV3Mi1Yu7G2cCE1WMO7htXjFGtIMHo2Tuhac0j+0fdHv+hI+QV4t5dxMD1DOlQDDEhge -7dLEFOJ1qv68e0OITghn4NKRFgRWlbcq1eikQ8KJHHXusoe906Bs+SXoy+pNv5sn3SN44UT0Y7TD -tyyIa43cmrfYZ/AMNJHiDASOxZZhLnYCR2G7KnI5ivg9YYoXuWOau/PAgw7qlSG34SlqahK2TqI6 -wIS7JgHFy2tb6ogzWgG+iV8VlEtuVYH2x15rGPMkHC3Sf2/Bmi8T3KULG0AhN7CK2Jvp8k0H+MNM -rgmZvRKU9HVGtv76N97nlY+RlZqYkVEmzyoJpj5+XER7bkMQf9e54zlai5ks5mp/nuxu4bf8F2bo -XFm0W8l6THdCIflHd7j0ECVEqz66UUlvg2LYe9f+f9fm71EWyZBCUfouXK+iahkZnIIju392gPty -b52eLQ0TAG7hE7XWj8XDtHwkcVT+i/Cg+3Y9/YGKy6yJHHnDquGREx7A/LjlKfB8m76fLiQrwpMC -fzTx55lEWYwSyKMdrYyf9Ng/56IWQRrYtNK1ReiaisEPZLal7Me+Mi9Uh1vq+lXYO3qKbtwpyIVe -kDfdQjMyIbVrwaySkwqoJHvA1WsNYWxOVT3j45z3HBuKWQbmT71ZhbbnieC7+kG8C/O6CwfZ+X4P -91YQO6JXJrmzUuGdTQHp5ORCMoIkpBgA5AH81mWBz9ys2pwrDXFa7kQyY2I/MBEao4CDH+Z0Htl/ -iPHx3qeFhjR9ZaRRJbMu88l2h6jhOJiICLN6nyoO3jatFsc4l4PNdWOeBqhjmpjsesumO8YQiemA -zNv3LvjFD5G3Y73Hc/plR+5mOVHipSUt294MuMbETWkXR+1CyeIzBDhQ63ZpLOnS/7OUQ2vVnK2E -I3dEFk3SGbYYQXPbDgmwJP1YjxvoHUwJDNVHxnxYqBK25obfCNf7vFeTDqBI2xMW6eZu3vxH86gG -K8ph0G2zZzjLT212wNcHoq7Kxh9m8zNSlUkkENhn8NLx287XthBfeewcR0TOqe5815nV8JK12H7s -JSTEfUYWAABhDmXHgFzvJnswWJX0fYAhGA7XRVeOW01C2WtgNCf9tCoW9Xqmit9M6+OK7uxIEEzi -sETS/huFrd/hGiCDQkNm5mKLuaf9fDzzEHi1lqxACS5M7/LJnOwGSZnQrLUPo+JC6eTxmK5xOvPj -2+6Pmrz30GMaalKdiGtjrfSy8hX+SHCtFifUt5+3J5hLZH3TsaLBbCIYcjX45R2ju6xeAgGzNHr/ -F31emowJbutZT48bmF+uO2I1S1FKRpqkg1pg794QFlFqMtwEluC7rKhh1k619q59cRsH9BXHD2N8 -9YoBcnZV2bY39f6nBlOqJ2r9149gG1tHo36IyzyZn2mLHQDw537OJogPz+UtyOMLnC7qpDcvjEW/ -jT1h9en7Du8wDuUFDZNQCi5Fgep8NP+lOs0vcKtsqg7f12feSBwoLH+UOvTlGkRpNwOTLT4/GADa -rRwGzzNoxs7+Bnmt9Q5JMfnu9OiokcuPvY/9i9Ikab5veksb71Xq5a7YJ/C1QFhxDlemwZZMQD4N -EV16oJy1E9uHcIHwRpBJmksdac+uymW90XKb/4vW2TdbudmRXFL6kbl135Wt++enfvAZCBSm4EYh -X0ZlqOcNUoUX3FLXkBjSgSyUSmuwv1EcAWg/ckUv8dId/u06sGnvRPbvWtf9HOW/q+vqFkZtRqKM -Kxcq/dEiJU8jpl1JOr5Se3NyrrxxzMf0LgmBy07p6Ebqu5+wgI475RnCPiyF2GZLnmrhY4HRVPGl -Q7bxtJwcMIM7a+dXcCw5es2kkq6beTG+ZXmiJwDMMFOwSZnJWqibUgRHvEftcM9VJ9mnvgJK5ukm -MNKz+v4uYHqyMhNc8H1o0EMm3qrJKotSv+TWxSWmCZF/GySOPRlYl6fmmuJlG/Vp+tjO9L0sH8TK -V4VvpMApps2LUJhSts9/KXuCpUv9a0H1/LBjjc8yibgIYC88mWYBsRg/MNwg1geohWUAPaLumV4R -Bj5lA3F75CNtxiOBMq8jhhwQWFtxrMymtx6HVZIa7DguPPYv88pVJbR+XIgUjwqZ85O2sXm5Zwe3 -gXxt1Q316QThL/sC7wW2QinPtqD6fih4o4qLBE6Za1gFTtDA3xuvmz6MR4qxCWlgzvyKJ5UHUee7 -FcUTNq0C91B1zhNx6f8enluUYexy0H5xQs2yppJix0aY/DK6NhYzR/EcUijjh5rdlRCRA6Taw1ZZ -HBXiNQgcI0QeSx6mDkyZ3ni5FX5EdMAgAgw2vRDFXgVuhkmRFq9SphrIyKCWcW2Xk9hN39Y/uXea -XL3ikD6Ix1KTYZR+o3kKzG2OxmAwMTpDethZ+ScUnZ1kv16oAHrF+uME2m2IQEuZ36vizOfAk4y3 -VK9cbLrPRGdaE8+aKy0eJMyB1tH8XT9EEh5/77SKWQHn1aVrurGbeidxVrPt3dAVqqzk6JBn3mpS -r8bS2h7piqUBWiHynURig6OOg/foOEjhCMXThSA+5zLQIb19Pg6leUlafnJKpVixRTDv1b4Il0g4 -CAO41Hg/8fq0ioMycqZzohC9XrwgRAfW98ZAPowuqaoDpdWlj6FYMf2LJNrpNNhL8I8eTRCyWxdx -eVtWU+PgDd0fxruktP1EhtB2jW+OzUQDSI9r9fe2uvNDYNFrZRxPTptEaOMM1jpAiAwD4jstgsDH -FDMQLQRlA9zCoDeFahieCHg/9615COVgfEtC+EFn4GR2S+F+rR09PmhR6A84yuO6NQgKng5nftpk -Oz37DS1WxzFMhEyxcIxReuYckGC4SQmsMbAJAnQ+7gA1p+s99ZGqMsqxXUD/fRKGUt9Nki5xUHlH -SSA7IhBGfZ5A1MNEDZVVWQoQk8hEOCLY70yM0KPfsvjM4gQvFmoou9FgSGqv+T87pV6RhG/f2pCv -P6OOJPKrTt2+eRwgt9s/AToRDF6wRC3iV1igI+iG73d6mFZAagnDzDx5UpfjAR0aQu1fHDnuj79z -Pg7JdgF9vHlp5vSH/fj6J30azXrCUaXyBsA9L2cAFwBrL+KU4fCepCqnyqVr7FDSCTnnjhG+qqsN -WTN7us9Ak7fHnaEkXFbqhJfXL20Byzo1HUiZ2lhF+GysrYxAtcHxoIlyGtMXqt3YjhSdT+eChNcz -NPWIwAg3jw0OnW9f2drLn2uvGDk7r9rAKmm3IQFwklEjaaJIe1UPWgtlTGz7KfxdWIw80tsIkv4+ -2OuZnSJwkxIFauBWQ1ba2YUnnT8/AAxM13Q+yN0sgpi7/P+zP9gkJa2gnKZlcMiSUSA7v5UBMU8M -U97qy8cAEsItpEzdpEtW/fsDEp6c9NXlxYOlAPuN8h48f3LrC3ahz8PLOLDX1a6UtEbfKNNqAXll -UyhS8zYhBClGj9T7rfB02Ku8OJFOj6v/IDjp859LBT5Kh9URPxX17S6gVpTZyQYZmKup3PqXZsnz -/1PCPU1f86t9czX1FKdNbpWGYVcXert7upnfFtPENiqFNMyOuaIoCYsU4LO+K3YU9ulVWu9pZz/6 -avfY0YDaysHHJyeuCKiBZ4JypKzrCtbzDFyGrp1FDNo3JII4vNPqBfFwVHkw6VqnrorNCEGBlCqB -20VtY8xWmI2uF+cD48qK//d++NZh2y3FJ6L9SXnRbdaEALR32b9Q18TwiWStRPaWOQruGB0eBgIj -34e9/S0mNDJMAm1Ye2hYX23S6FX7aYq4Nu+HaCv11TQe+ky3vaR8FkPMMOsxS1iidkiDJQnetAiG -7LPXRcwaAX2Ux535EWLH4FPBIC6RWn7Ja5WHuJt1Rcgp9Qcp7iDbpw8bsjYDi5menH/9lJwGVaee -5LxuNQc0oEZmph0Efb/SMxZF9j7QlmxlAFAc31EZBW7j7hnw1bbdrTIAfT7Trh1jv/pA5KCpONGf -8oicrPEP+4UDrGaeGSLIg9igFXz8LvDIdijy6GcDs9FycXXzij6HPiQBImFaEj00Ujx2j+zi3dOy -ZoaxtwCXSUf5AOXJU8NCdavQKFCCr+rgn+5vrVD8iX8LhB6XG9OAOjvR6eevUc4xMCQZvkgzKDNK -q1rKEt6El6Holb7dYfyYvPF/5+bCtugSzY2e16Vj8gkP+DYHb1EqPNVD3Fgdl0gSRew5i6opZYnw -rK3FcgqvpjRkgHo5y3JsdflURCPh63EbjMNTjqqBAlSpgIsxf8Os5vizBa68Iu06fZp+q5Lk4aSR -CcW6yIUAWzsKD0OeGja/U1XYH6P5gbi8d49Getm8lvHRveiHIfqCwvJrMigBn3fef9HcOltNhUMR -4ASPvCu7egDUzg1pMx+q1ici6Cu4DV+xQN9w1XvVBOpCfKqTC0xYP7qU7SmOfWlYpnBPObXukfdm -7ofH62RUVrzcFkbRFD1KPDG4ZHZjX/kA8Bt3Ict1mAt+PiAhegqz/+e8svRjGNlpASoQYuIvPVzb -IBnXhZKBeEXvvALd8S98nkvaS3nIe8PNCoUBgaCiGIYTMa1ZjXw4rUWmd1WPaAcz3MQeSbs1G5A6 -E/pwzffagW5lsKFEnN0Ijm49w4XXUTjhKoZ07/6XKJNzbyRr8FLDxwHrnBf2gw8UKbuNen26ufzX -QiK82LVBUHMo7wqanat7/qd8J+FHSsnCMO/wPEV+93PaGIg9eCQ+MzDkdSiWKXRBRbxu819RDama -7Y/KLuRNVn9rcPBaJI3slU8OVc65l/ehFY9EcRs2ZQ3wHMyABb3dU3i/542UkPSXVvBwhCAGCL3t -Lw13wSpP+wEuHsT5/p66nx/upeYHLq3/gpSJwyRVJGD+kOW+LxTeCxH3hGGe4U5x4S/HPbeOrolM -Q8pjKN+GVnimk24W4k8ULllatVndLul07s0pksDWh3lv3MJQMJ42Uvm5iBEqS9NJyYMQoq81bcL0 -FrmtxuxuUL7Mud7zAGodyDihDyZ4i7Ru4vhbct8mEWS9kFY8NwzymEn3X4dilCv+czeDu4r2TU3L -Bm93fRRMYaVHiJ1d2X5/9WH62O5X6dkunBKWYnJdCWoLZoSGpX25/nPRYRu/NyQOgHwHkZkX/z1w -wFQiXX8KDPLcyhzEnw0PHZ3epY56vnasGF6s0rVIN8xt8uLgdYZ/dCHfd9ojC4hNSH1w9e1CntZS -c3u3f6YIo32wkI+OBYg7UXAQsi18UbSNz0tPiK9no8nVmdqj40+6IlLq2Tnamt/9xuRudMj7sDh5 -cJ/0TVsusVGW7GvM+kB0wjA/5Nxq0E3nwDkbOlHBZMB9oKprGvjmly7do7lfotQqoCvV9XzDSjEy -nrgTxLQr3py6SI+MuzhpyLUKP6j+xv6N3SBJ92iMuM+LH1d3u94Km9KdCyNgsueVh4xUThaGgVoK -3KffReytV3TP4mZBOT3emrOKVIJHB5lo1QxHQr+wzLj+a6hRw06qQO5Wr+eXWz0IQnrqPoOoKxRd -BbV2AaLvHxWR5pi97wRNDEvA9jXYIXREjBKyAV4f1YdVvhUGyBMHE5G0OctpJGG+54R6/eiXlTHS -mmZI0Eop92uyx3rmJbqrXlLsv4ci1ckpNL9QeHfGQ3uiDW7XdGgvhZ/UYMLhTGeO2WXHby6McjYf -zFgUFzvMX5vlQwwhfdYp48WnKmSLy7kzZBQFuCu5H3ihYwwt3ZrOeYoO+xjXvPz491tvGAZcWV+M -QpWawzPef0b/7yPSqsTwbzn8sJ11fWJXMv5P3fU4QHCeEWnNq89Yso2SfOrB3V7S4hR185etXPoA -yNIRGtAbxNJhv9PUTi07IHDX7sbmGMH3GMGlOxAaIMuSGuEiizG780aV596raS5DnaOJuBowsa40 -Jx6n11VBUE6TV3j+p0fCt6l/9T9sdHm1CMB6s0gqCRVffNw0t/5yUGHg66KL+fB/7FaWzdWXu1eD -hWBDZigwtqVi0rkljrpLNIJQkaLXBG7aw+vSj/3SgB66zZfvyP+LtfRpFBkHuRvjNtIk8HSuMJY3 -q0taOu+Y681Za+zomPOZ3jAfhcrCzi0C5fyaiBELFAyEaz/OY47m8yw69VWaA0zigJlgGC6eB0N8 -JupCWLlWGqvLNTCOc48dkTJToliczeBaNRAFI7WEhHHhbBb8k93e/kD3MGo6kZN6pirbRZi/9q5X -WJMO72u/42tYWOY2inVKPDYiWgOMecVCzJXFpgKK4pgWVZcws+GtOXZgBfQRLHTVJop762+bFchx -k803pfYm2yFS5qcAZmOvqok/zdofXpCcOGOu4GD6cHrV8N/0S2k1hR2vN+8sg2KQXAqLlCCiIdLz -ZQtT0McMENI9wre9bUMk6T01ZQUkUJ+KN+G39/zQrXYU1XE3Mx2SPWgQxNLKY0vZ072Es6f9Mnri -riUWj8eoPZKSJoclLOSqQouBxqDze1mgwEI3if74l9sQn3+nstdqq/Ti4Ubt1rOBzfwtd1DzEyk7 -ferELAXU4oN/rirDXOgjysbgkeQsD/xWotx6xCezYgSCXycZWjLF5UmWnfPZfyh7VoGQnVjMYU0f -gDj/t/8bzIejOuPdLujHxPUCw4L++xhEPmQpk7eAJU0XiBfnzf6P58V8Zw/dvv6yZ6dY69+B412M -TXgN28qPhJc45KE3tiBnGYoeUh4OBDegk0U13N0ZjEFrnf5BBFPDwjjLa/hbmi1EeB1rIbg4Rjg4 -nyky2VgHDUFs/aCPi3OQLo/jbBASohQYeNuvSh+GEgGQTWLixBWxCEZCiNtq6KrbZjTdBqUYa1rB -Fr5ZIopeGnIw2/5S1dIjO+JBnVceNpbRI7redmGR6Zdl3gVnXNC5DhdsB7Pwbvi4q09d5Txs5L2D -jvwHOtShs+wBeJLeABvfuUz78W2SQo1/+LG7JpAkAMj2Vy4bmU6iyCzJET86mb6gZcyLrFELsuZD -eW5m9ZqQ922bjBAFfs0PH0M5hXEd5tD/n1N4HJLHiizp5g1CtOVgjl494wxjYB++RXmxardkHdkL -C+Bc+pDtto5pb+ZO7YhrOdfOlrWqFGAC8XcZtlciyl0ZcPZIO9MRWslDyt3OSyUwDmiKuG7/fAez -0OFM2Upt9gnAnugDH8WeJ1l3DEZMaID7qDQfGiTSkp+ARe6A3D4vzzss3WsuasVzdXyAtwtJ0EGo -R1GMXG5XqA69UB7fiTz91UicZr4HvDWQlpRRTph/kAKUPjkHE3iBlCWOzHggjSeiyqpFvVkG1umi -IqKcEUTK4+FoUOZPq6nV1ZzlU9j4s8hqPxTKXyVxszS/0zJiTHXYAHLuu4PSvdM7lnPG73OandaD -Oc8mcsnuZqP9q/hDvN4qs2N46sFoOCllnFkr0UblZTpC3WcEzbCy+Nvwbu/Y7e5X9MEzdZGABnwy -tjxSokymZzwNOvCIIWZL4q49gR79vqtdqGsQgZwkTHAtCxeD6iR45W+laNKxHIbcVicCaDaDD02q -dLgeDiS3m3UyRZB9TBXajWGXNvRhpvN848qodzmAHgavSrmC5kEempedqjn5F0jHAOnBvfnzSpFE -YKcYMZTxWXPW8/+9gY2TFASLZhgdO2zL5BotKVygaYcX9CRf55cbVxUS9d7Zj9mcIZWHnEgdd/qs -3bEjimma2N9IBvWIewo7p51vCT8/tLECVdpqdfOOqxapHGw9Eo1LKmecEb6/SuajeXOCOsFxGSVs -zqU0D+zQQtSFhWhQa2wWmsYHpnGJEhClw1w27gbjrOP0/tLwfmk+rBuIPBuTk6EQT4+egA+kfqD8 -JK5lHJz1bUxmbYRJp4dS3gy+ZMFPVpEQpSRGqSwCBBILxfx7lEIhn/W/k1veR43LI5mbfcXDQi1K -gINBv4tC6ZN7YRYKBTmEOf/IhbL8KOrIWXmSjI343h5bve+TLz0k64lL6/4TzhP6VEyXDFXhBD2y -4xpTmTy8HifjTbMRdTQznxVxvsDp6P/CQ59grPntNZ3MUqPOgbDMKJlhbyS5VsQrwOzfBse+09YB -TAN5tkx6Wz+YMCWsFnb/9UeKeFfBo8kx2TqcrjRnDALaM7s7osYLdKRKlIx4d/JNW/mUsdLxJ1EW -vH47fR4BpN/u/dp5EduBNIqPrNJOauFeBZdCXHw9Ml2d9mzS5ZPFBlOlApqitRKTlx968ZX2d0Wb -gyhTg5npfkh4K/0R2GtdeUKwgnAuzRq3XAkU6CFX7nc1xxKuabo5wbvR9ZhVkHExqHiMFBzWToV2 -EJi3w3yDmnbI4cj6i+HXcLuhoWXgMWs8vuak4dfX5LdwOCoCCVESllRXBdf6FkekJznpqlduJVUc -erI3OrntcPKPovZsFmJpUhJQSeqYTYQRBzLmrhh38GTVYM2syI3Df6opzIOn2OfuPmvKjERPQURc -eTQe9T2XOhT5AatJ4lV56/6z6ORDCKHUCv/h+kGyQ9SUK0CXmk6A7qSAI/VeN9/4O4qkCkVJ1clh -4HGAnldHgDC8fCaQI9FAVvnkNTAwTs2C0vvl1bN1reSIkENhNYOuXpLLZWaK9Ar6Z4vt6I70mroD -+8uJYnFbPKLV6CRZxzH3A35b1tA634nDQ7J9/MjKvoSkktg6zvdxdAnirVGrZlfadC6rZehwaeQp -zdXSqrawF+MtwKJVqb3D9adhbvU56KRJdsAOjx1Hse7YYWPR5f/39OZjqRe0Qp3/X6p14MzxLk7r -arpdRFUftCClTNI5Zzr10Q8kzGjAFfVNDZSFxLtS7dLNJuoNkz7g/ejFJBC4c7VCq8+ducHZC/A8 -0nhKg8+z+H9SI+aGgh4TEqYFPGD73+StHVFCjnKQ/xiiiZGHeFDclAoXmvRnNnxQ0RWpSq4USwBX -e8mx2WajBLUVSYXbytuYaJ79l/QlObhLv0lg3GhhTQBco7UunTl8fQavt0pJZft25QLEe+voE4e8 -xQp0TdTGqMv7J25W9oDI8f3hJ5pzND4ywJZr1/CvR3kXmfc9zKgoOv8tvEM9w6BunzlQwgj1MswF -TLcPiM+FcwP2ijnLtBSKSfRFizFML1kXi66t3xvBUGANHYiArMRSJUPEmn4NBW6AMfBnVn7GGMO0 -HrhkI72nK2aIfdGGi4HwtU0kmSNryHxtp1UyGKuOCO3jh2g/IRXjiwIh6775PNcx6s2yKh7+zU8+ -k1fSd0AOsKuq0OWb41Eq0LNSrHogUa8Gr0+Qfx0wlDxbbludpiuLcYSRK/A3+6FtJaA4/KKjTkZl -1FpZu1bvt0KbpTnI+Tiw5K+D3iU8Lx3R7HmBfoU9884B7qfaWOPf75hKMRrimOGUHinE6vEbbBNz -sSRfMpCo/Xlon65BewTy+WTYcoTIfQBI04ka7AgIN4SfIyBUvQVWxZTeNc5+aFJLSbFIncukL7bJ -Zx1EljWl15qZ+8eJymIZdxKqEEpqYcLTcmVSKMHU7Xk5lgnDgOnAlUqYABqGEChF46UOEz9LM3+F -g58osYyvo1CXbljmWRsYswTF5kk25aAiVUmLPcQzJe7yn906bXBJjIxXl8cD/+IJA/a1SF5qsLiU -9r2QzsAeOayGcaPfygjB4ff6/0rDMHg3hpmgIXDBgQGGc+ulvD5HcMlWFnmrBVSMKxw8Vvt95zLj -furVO7Xd1P5K+ogfN14kOhAIaVl7OLNR3jOW3sFID1/S6Rg/evL5+koDfnuHpFrWbFjVNllJobIl -f1kHdQPI2nPsa5+37BubCTcwWS4Tu5xkbOwtE/sfbXvkiPD6zAL8HUvlESqyuHG+2X3kPHdxIs/u -EWkNphaWbWkiwmF5yuq/W91O6fc8ir7jlaLZqCz2Z/wfsEX+Ht05MPkWDgxveLXWl6SoaUb/83cA -ve7yuvS/yLyDWXtD+ndEjdYnunYgBZ0nj2bRGrf3qW1lICA29oNcUFBap/R1IWOkNufXgNcywDxo -SP5Wc119xGk19CWPvTbRFpylZixOZfK53M4ro15n0BiZOCIqNVwMMU8EBjE+RQpu4DU6ILucnYKE -9YHT9cFIoMj1wWvH+lTVrezIuJgSv6gpp1akf7IbkX/gJy1QLyrEFn9dTNq/w9BuOx+FFLLocrjM -UCKQPxn/LWooeJZ0rguXsiU0hxpSKIAogSkMZJSQ5xkAymCQKm8Z1Jf44hrtxriAMb5qaDWuwdI9 -Nz3087GI9H05njNNcOQVKTUOOHYXO27lGtpr6Iqv46ie7H17kw93xQzgKj41LtFBGR4FIAQUdxtq -KNL/NMHAxRdPwOj65DldqikG3j6cfywMg1+AZzGc4QxV9HmfXQLLSGSlsz4/RCDf2MeGD8e7VFBb -RDCd8HefNm3Kok9bPOB+XFQu6M2AtfO36Ujnvd/K2s4OR39Vg8dbjBel0ln3DeoWh8ABulNbkIcf -fEZrSsgcUSL214Df2sl95BnEAnWeL9FJrNj8krlbEyQceBWw66z7W3Sz86JKTelz9gtCdcyVHla6 -HAWJZ2lMI/nNyjFn9HiIB2fPSn1fVelI8dQvTMl0Ac+uy/myLPOdY2UwRm0sJADJpY4ReBlku4BM -4JkXj2rcnkQ/AKejqYdK7NS9OvgT6o4J5a+c3xGvI+xaV9Uv9tYzcV5myj13iAChvg6mLV2SNEKm -G0BD9j/o9AvhKEv2aAydZypn9U2K2MODwd8qCGXn5WwjEqU06Ye3atGwhhVggFA1WahE86D2WTAm -kPEv752praCYQer/cJVcrJyMukSTeBbhCGx875LB4RhhWDJSMeoe94fw1bqkQ08imC0QVVJQnNMR -AynZB7xHygvsHJwuIVWSt7Sim7OoGlohizv5Or5+cVRiIbRpX91VTxyA53y+F1cU99UsYIAzyxkv -G9HuEExLdKS2BWlPft4U0oYqskjHV7ePiHmVXPfM4bPAU6YbpagEEv34lUZ2zwMAvoAxohRrIPFN -37kgh+t99z9yBvEpUAOpqFBRlgA7pwBrsSdlj8qNHISsItbNnpjkvHYntyrEWS9P5A//AkVI/3ik -OjgwVTANDDPZX+KvDQZIOcNFOVTGxG/hT569WvEI0CmGqpfHDqXRrsPyJUH9hENxhHZpzBNjwr/K -oDrnWjdk9tG2MsT0AiN1X8vBZiJ79AYO0O7SwIVW5TFWe2/puB+kBCBGSeYiorH9ZRTkabX4PIeJ -wFWIRquKpSBQFjIadqN6NbfxlFxWLCeYlOmZc4oDdyDffMUlAJODlNpK1UOCCknLgTWrEQRynHCF -bZUCdKbtPVJ18uzKk3Gue1aIwHIDstpyrodGZQ/2LDY0+FZTLMEwhso8T2dcUq7fW2pT5VveW+M7 -SRaQlAnRxjF4pll3PJxO6vp/XE8AZsv0GTWgTjM12NzKtsAXRPaJvn7FYfI8kGts2EyW8IPmI9vR -KCcwL031zkNLvvz731xi7tZ2KujnQGG4Qaq4Td/Cpu8qgGHmrhk9Glo/uBwgVbHZA3H0LY7kr/RH -qviVBJwOPoaP6Lipl1dCQn2JBBpsJ/TXaikROkA6g4jZNeSrQPqMWqMxQeO7pgHoeyWobu1EGiJ2 -+VuSy7Y3jGhT8Z7HLcfggEo7fMkXOaPX++57AGNV52bxk4IeaqFGNcN5uCp+NdkkHtLULhzdyKmi -tUrXH+5v672y0LHEqlfFURLouJW3FuwyHaTuDK0mEvgO4fG3zz+zCaHyUvfVviL1yD9+P8uxD6cP -90swBONPhEqmRILdk7UGPo1R9skkglMGfultOMgkGDNQn1De3MoFaDFDhuNjSg9bRQh3j4kRZ+KI -eFgVxVtJheFOG0yxifPYzdj5yq0Dd8pQlUV1IJcxFgiUw4OOEFSm/IWwYnN23LectgCq45mrG28L -g0XOD5DCNYtUqSXcgvm/7bmzILVYCj3qecJ3XYzjG9ffa29/KbQagU3M+U3EuuWSaP3BouUmc8aD -bVDXhdnrPPMVdF9ydiq+1WdwxGUhp1+2zJ9X7hWqfA5XgdEGFM45j+36ljy4T2/exHaHcnxeONZe -G4lG47c+SXEhi3QUsa4Z/zoASifcQXKXXrAyevSrkFQNnLCq7MFJ8+UoswR/WzEhBT0BaO7cGLQH -oiJn+5Lmj40oyQ8sbM5I95BEI2cKV0qZI2c9zmiseW8vID7gwaGKv5s/8IxCv0EsUTx6Brr6rZIE -khFqafIoXN1ckGFsHcN/hM2Go8eVZYlIz2RAfLD1f5djJVEZv23UCkSDR4TbKqzPCoLfCFTnvIi9 -FpoaMfeJy2qEm/16PXLir+OLSwxM38rbWb5cGbTT9s9fDn0bqhzerozTdJq0mmQd4Ph/EdfBbAUa -oRpqntMdO7cPGSy/VQjuxDBhtpIi4Zd4KnFR9hN/1VgeN3eHB9AlIduTgJWFFMiJfRJV1pHZ2vtd -YGAWSnU4zx6/gwoqKpHsMK8E0lU82SptfHwmx9VfMwcKxqo0B+vEPFwdzbGl2B6O95qRhtElHavn -sOCN/ZqdjNAcLoLEHjbHrW+/t8vf96jeI0pGsJ2PXGVjwuxhwtC9zmvoU2fUWS2Amn7hUAISjpeB -bFn8e4dzdqDy9NMUvWF0ok/dPfF1WQqcdxfW190pRmeuIVaMBeYyEOntXu0/txvIzgYxUzSRDjfL -n2Duu6KPYLfu87/E4XXMV8+xI57qPLaTyvnUcO3mfid1GrFs3ZyQoFhaHPkjB/awm009Ba1yIuQB -YP7UaDZZwNR5L8ljCZnbScdEgtWNEGDeGo9vIC96dTlDk+A541otazdSpDr9fwfWWDDy19xnlv5G -3VP4buAAvtqTwmmftcGCjMmvOzc7IL3UtOTJgDM3sHZpyaHc6uo4pU4IFBwopMzw7FcSMwThZg6y -Dmz9U36XRwI9KnScVpXmM4Mp0RhQejj6hzkHHrVQhqeqssjJV+9Vza2QAUM0yYBAobREcyg5Xju+ -sjkaCJD9wuVxz94PzlyKOV7zF5pP8st28BUh/dTlBcZfalAFLOr13t2hTxWM9vfdl+3ES+EWrXQ3 -b/4y76n5rPQVmUmjDNj1nT8na0eAjAwgHeC8X2C03SAdrI0bbTfrJ28IYb5sZ99PV8zxEQ2FkBRw -CwcJ8NplA5hRZqYEgxqHu/U4Nvxx8ZHm12bqw/IW53AsMAv/Z45FZGjQUJOmuCEhIiVB9lQM3XOH -8Og/tOKPCj4Ln7+dAd2nzqw4+Yqlfs5beS3T8EGVDz9wpZD9nBfFr1a21MPk1kfxN8CjscTHN+8k -sqZ3+YRZMH45QzxmnZZwymCUuunBamupook0znp8g1phviulbQMghQ9LbOqrj90B3vqOGBq14cHp -Xnpkzv//l7wYEbWsSvjA+2Oju7fx6HnLKFFOVZDgrNKJhRVE09vE1FY0Ng652crznUIw/N2p6GkE -HnUbLhENQ5G/XRYZ1bAe57Q+1g64+reUDIl8JvxXzzgFGz9nN7Z1xoXX7gnqgmsiFANXvi1v0Lbo -S1NXNsytq+w3OvbcBvnjmxKCvgs3/6QOkvDxFZsA1dhz7hKJroiMiZOvLe5Mz7GF8AzY0AvczymE -AKereUdAcWkTWvCuwdS9QVXfKy9seKu/jWmcsULfgugtPsl80MVRW6LUvkCzo5inrthRlJQrolxG -7JsPqLKzNmCLJ0z15jD12OjIt+BeuU4zq/Qyby4zSqZ73uDhyk3OJcXLJC4Qxk2fitu90bU1n3lx -gcbGYNbtMnKBQ99hy0wBcBjXBu53MEKwCYCHEkwVcd+v/68Zpb7XGudyACMkiNBMKKsuTusf8pPM -lW7OZdzOaqUuHEZvvUDzq2eqDw5fJPklNpxMRDGtDM7qQEuicFEPP3kcBAGPZuB+fM6eVrC+/NDx -Qb2UeVppCOzJLINW1zsySFoejh7p1OpooJ25C3UNgWuV1LJCZQ6o1ofECbGELEkjRPdhn7LoDImR -1J0lEqfQb95A/xlzpgajXHT3x2KwvKbLtORmtebQTg0SgrnOlbmjDks7dHup+oHehM3l6YszY7N/ -TZSlHO1jQ6kNS7EHK1mAdtW6/CkHdZND3+RIKMa3At+0lbGSv07pT1DwIsKag4EXuMAdUPeeR5/N -p8hJYn2dyhk9MadqtEcKcNvgSs3sXY6sRdbeGn1SfET+oM4XXCpBmZd2s9Ww+NaP3DhBDDw/c5L+ -munKFud2ZwTgDhD116CZqSCL4DyjZpGNPGwGPf5cynmqCBM/PsadR4216dGk0qx6X8I5UU0ohh6b -hM1VaRfvH8tG4x6edawiLIL/Q5gszj0HokuBr+AxduXekyMgPraqa5b+XqINq9VwjyqCND8rOcW/ -QUoWbLJDgxKqg4xs9qHsL8NJ0+qdBcuxv96ELQg1E5mmIRJIhOngHH3ocd4bGaur1jge+FfbsnNV -Dc2Tr+duikIeaL2B9Q3/0wx5fTq4m53o8sFHHg+2OLJKdByxuyvLKgf62VB7OCf97s64jkzCyR7I -Kr5VmuXsJodkhmuORprSTcJ+wDbYI1q5+LjjCjebruT235nSo5M8Ay65Rm6De42D2KqrFQdycszh -BWOP3bbmQ2L152WnvJAfVZPtbvHtM1e8RUxsfh0bRkBuFHKhtpHWYqvkMg7Sxo30aQ/815Qnu4+m -sdZW11zjlfZtUF5cIhP05srfvrMO/Sa9tSJczmwpegfJ2PlFNOig37rWAP4O6fKEgnOanEtsw1DP -jj4F71/ZnnNYPsCRiZRo7g5SFF3ZX9IfJfbANyEVmwbvniPDrVrtmfx4Mj0lTSdETjw3qFp0Fp7k -hc1AQnlfNk22yITGv2gMJGmHtT0W8oKVyWg7IRb0hga+bBeBmONE/V4yQ8Wi95HuqwExjhAsFseE -Ui0f9UsG0tMol+RlIyqllzAhdeJgENFm8R1snVg5aiuh45GjbXqa2amqoif/TSLWOI70uBQjVmoW -izr0BLhCiV7G3MDnuqxWmrSPHgNF7YK+HcQiu/VsmYSmos55pPAToiuHxQk8HWpko/cjF1qz2fHN -BhQW39v4PqhHMAeiQwZmUTGhoLU5rrKDvNhnEomVNXVgil3CZiSaMpMs2Ru/qFfApCr4N76uYU/p -no3YbXsWQCChj4GO0afU0UnYPrvt8XVQ8RAaVMFNxss5YIfjDfmFmSM03+GeIPVYEsKfiQ+HgJfy -KpLL4oRpO2G4u9jtCJzd4jKVbAv66S+FJwFm2LH5JxfJ8T8cxd6CeDdYnVlteUXuymzgmd5GUypQ -FZ7GzU877emwcYShYBp3sVqJUaGCnVc6I2L4GEfeyNxPunS+EE0Cdp8vba5tt1M9yfpiIbQuKKHP -aaBXQkFku0OJQTkHX0byDrPUt7lqtB0NO9A3xxuHMcfhMpwWzDJ1VlZw4BLVKu/rkyU0OMb/jTAN -qKp1z2b8IEPE+oIGtmOmGWcJzS8+C00tQh5nWG3u6KhWW6UROTBjAi8pDul0sB1+f6Jkw2ssMZRe -tLEF2sMQ84n8KlbWERl8wP/fxBK5LObDsCdkaWeakdURy1lMzQptIbPyji3RJFP5Y+QC2sGOvXf9 -OndPYIAM8aW2tSlEQVnZzToQmSHNQDZJjZ6HCYLe3zW81atu3+OIgrNLLx3iNLgpOBJvhBhpGGL2 -CuoxDMFSlmcaKUGqBz335zEyeCul5H4oXMWZFNYNjghVAh+T9rTHB0SvZUlJqZTh4OZE1sYoDydC -w83w0h2DCUDaa0fXins6KDryLQjYv50gYYoo7gyXv+XTpgiwgWCzRkf0wn3AEJX6vh0+ycBsefKK -HxLsaOoiqdLNbgPcu8e6KNv/uTsTLAf9wUwR68bZMwza4qKkyQ2MCQyGwLzMxUBNm03HrFAgE25N -v8oDh/Nl1PliwPqq1FsTCWnTtAbaqwoGTnz0Y+ht/DBLLYswImRVTWXjBNWbYkNXFZPnYrf5lO89 -puErPlYDrtDyZJLwoKUl266Q7tR0ccASb/zXfYW8yfxiyI2SND2D6A7Z847xwnqyanCDBRa8pKUh -tl4up+Zw7CFWFQUtatDSeszILVpJViUdsJPVUwCa+zTe2+Yi98gGmGQUaoa46fwN0qwJDHHLtn0e -3RGDbMcogvFiwIgvQwV5JeHMxNI+nzYiCSEkFszMrLRhEuS6CB3+eCmezW76kv9KHWyEkDomFV6o -bdx4OXrDHwX8njyWfhRpYx62N5Y8DQ8diwJe50jIKk+s+/dKQnK2SbzwcHTJC9d0QTqM9FoXO+Oi -eqE9uBHZw6AM169Nnbq/FNWR+40IIAH9r25lU4sQsPl6LRE8xk4G+bCqh0/ZlDwEmj9PrXg4B0mo -YDNGNJqW2JOoRsUKbVfpE5cjqESplAbYdXe68qkmv/6SdS2QTJjwo7EycCr+WPPewQBMn0hKrEBA -p/kXoY1uzLFGr+N97n/si16tf+f6x1RczJ1+QeArU8i2P1dO4EH3KM6kW/Evw5O9DhlcUoVk+9wI -Ef+a3JNb8oJWKTITwTiTkHpQq5eOlt/MzyJ1aF7hFsXCfSs/8capDQeIC6bc6uHVqjVaOcZlsWqx -jONyQwfF6U2HDQwGU/vhi88q1PfYQYliW9KrzpV1CuZ0SntokYSuHWO11R2ezZQyHlS5jrRlhOhg -7AYEeXZKxC80ePl5rh0gmAnC4q0oLY05oj921vP7szSkmXHMFrHrFAmoDHoKr5dFSU9A1KEFCf68 -54cC1QJsoohfpHqZe4fl3dEgxSpH8XrAUyMd9EdEX7ItNXYYXtjiTZIGb5hEgHfNq1gQ26u6X+gP -isaGPAK/SQhfJktFgJok2/65Jj4HPZslLScnN49DJHGivENGbQOSPpjXBAiuPipl2ShOk4Bw3IBI -vgGy8eBEJCYBfO+tlXZJJcDkoDzTgw93uPSNaR4torhWkSNOIGmKfs41Sv0kcLUSYxMrWYbktjEL -6mYFFj+99ZE67cCUiLXEz7yGS2YpwmwsVB5vmbx1dFOkJx2klizzccgjxJC4RzxIx5jGssZvQS+r -u5Tq8bVj1w5/PUoU99PrkQS5I/e+c6w+zoGVm//LWKl1DqA5HNNdrfyW6m6PbvXMcHqdxCAzzHyA -mmIzvF3jptvhMh+m6QHF8UUHdgZA5ZO2Zzo1eRsaIOZ/kG5U6CR0Z1gwz+q57iUqqn0tLyeHPsx0 -v1ObC6gZH8xdfOAGdQdXe04e57Eee1UgSWMyL14azGsChcK8DYXfDzOUaQgMRonlQpiY4NzyMLMz -RMpMecf01Lu4ETqn425vK9+P7ViwUywrr5DvuFx54OWbW7IYBuIKgnipwb+IOe9RQujNlDZJxFPv -L5pPl0z92wrZGTr6Lc/kYjZIe7FK1W1dvEyDAYqA8yXEMpv/Chxd6+FfSXTtE1mSiB58QYTGK/Lf -WpL3AcHxDNK5aLeWCE3XPR2FMe7ka+2QrmGPE2PcO/BFvitNooBKJjpvCejNDbfybZg+FuTFdnLX -hR7z7POTWUVUVRn+q9POBogA/f0DPw1Hm5XJtvm+GwxseSgBEFcm+kbY3UqJP8DLyWlnM6dLccx9 -U3HFHzxwMXDRtWx4xQLOc8+BqKRIuPiOnH+ZxWxTgg4AlBiU/RZ3vDbRYzP8/tJETIkgw30yGqWG -H5iQ2E7BFf1NlD3t+Dwus254dvPw9WwTJJuSVihIRzZ3NQcPh+dfVaCwxCg40wrbdHPIT9+gNtoi -HhdYExHJSz3ydTUHq0xG76EIbLZbP6SLdJ7LP1mgbFO7bc7BDYzdept7LvmGKDHufItebCcmkk+y -zhjVT9E2A4XUWJ5082OZZ2weFaOyqENoGgCoodK0ycKsJcjfrRzHNLesaJCGC0cI51otOswtRbaq -bex3alaVTmMBZ5FTqUJSWPIIk0GevY46YE57zRnVuUENDCPbJ6QJ91Zl3mXMgmM1C5XQrK6Wlp3N -Iw0V4gzng7YCrFXJ9RlJB5XUmlm4Ae/Hryx9SrTD1x9ZBeA5lyS9cIqc+AwxOHpiNzKo4Xs6wgHv -AHhG+QbEsFO0CtrmeaMMktZ/CALVnqbgTlZzCQ0oOwneSlPB7A/dBixlY82n86675hKBMp3gmwmt -MSUCVLaPSZhZuN3mbFG47pPMX+gMlTR5meVssZKxIbMoEVqiS8GWGX30x74fbrO66iiOsJ795+Rp -ZQElGEk4LAr2ylmJ+rjPEbLfoJPVs6jC7Pw6ncRX57eK/BcdPzFYJX19tDG2DP7FNfj9+//PeD4B -o1b8hhGKwrqJHfWcZISMoxDUSmwZQVwnfXmwcMiRuILY2cHryRIkcaXteEJhftNM23DUMSviiZhu -/pGBIoZBe2YFyR6snq0AI1b4rxfSbQHU1YXbGoW333NeaMM8GIW5ZE2osrQcbi/ycTasJS4NvT1l -J64vRQuyP/A8qPaDXbC3PB58ttyyjPvZX8xZISenAiuPm6Apvm7Yh5iL5ZMBxM9M1ACQ8lY77o7Z -yW1lmzecOoQKobBjU/yqeM/sQFpiuHnrd5qHBlfcTqzB6+27IyUmXDQTELXxOBW9WnOg+EnkPsqh -1VNPTLvHuRYYqvbsnDjBkm0jdPbbn2/ctAzVMKbHEFrNN7W5x8ceky+QJ0NOc0VbK5z31n/y0sf1 -ehi+uCtABAf8l6Ji1vGfRtEyLgV8yElyTwoZbUXgtOPyT8KyJRf8YeGYGiOr6WZAsFsL6N8/aKqB -IfRP8tNrmnaWqyBcvmhmlIfl5/wzwtONDlxR40Lgkv8BHgSiHCmdLHluIrmmrmWwDcKTtz/tWPz8 -SI1FX6L51qjDNmF5h3OAUmewNEAgFHm42qGOy8Jgw8KKBkMXcMTDjiH5Q2twDr8/892GDkw/LJEO -ovwEUQFBuLw737yCmQvKTR7cEnIIYkBw27D6z01TMPIsFaiXZXuso6LtOEOvuzSA13dxJvpuLN5E -Gu4+2vZOLyD8gtEU2cVP6Q4wceiWypmFDkfl/cga/3iqZAs5/jllMLtm3xKDYCIKetEDZ32mPHo3 -kDbxCm/lk+qmz4MCeX5Giht8uM2A8n1zpJGfB6z8vx/POq9VtvB1lfWDuMqGAKpL8ARNLVSEpMl/ -vGYrC9SQguXWOW5FcTpenft/CTkFZ2YFRAv79coHDxAQE+I5uNj9N/0Ldw+0YS3h8s+JY/C8qdWr -yDmOxHXmtIsOe9GMyU+pc0+OQ3wZRT0lxyCzpHMOSVQxqc7bnfqgb+NpuwZ9WAt704IvFxOxvK4i -bS4y6dOOufP330qznjabkd5hcSs1M6KoYAymCtCbgKOg8V1P4fItkng8bVQLul9DT58QnXJpg/rG -T8wIxkc2DpjLXs3XyXN55SktBNyhwi92wtz2cnN8PTtdBiAnIr5wZe3s4dbfZzpBqsxIx4nRX+cn -4GeGcLCKX6ZeOVSDgGWl5bAduEMp2YuYjCSYdjhKaKufWiA8SSBS0AaBehRk/Ahftf2QCOCpknQO -hX9D05kbbLC/v9MLNNjAulwV0tUxyDw/f3aLYamuNARzfCAIRb491N14kEFIzcNC5B+I/Ipy4rJn -83TtdMvUMordSGkmcUqwykcbcVgk24Ok8qFnp0t2AbAR+yLLc9b8VY7nmnkro8/ch4yIsFDCbwRU -QvrcXt8HKHkBoBsOtcw1DNl4k7k4Z5v2YkOHZ1NydBQ4Qboc6bksWdi7bmN1LP5WlcV0OkPxivoV -/65Axul21uY5McR8VkVHOk3MCTUH/U/BqZT+tRaqsRhkg749ar2YKZp51KgkRZd8RL9khb7SOGgy -hSn/ixze696EbOBA84MZ0L9CB5T0xa/rDyuXUYGP2GveMc7Z6b7Lb2x5KINPCY3uxPf2/UdRwIeN -ZIh0zrRJEAIyWb+DPaQjiT9sxCk/lnqfRgMb17afEU9XAgVBLDCSi0PO36PwRZml5FDEc2oBDtY3 -qiiteU5cg3FxoWD2B1yHRpKpHrWD0NpA6uEOeslMj8+o2QDg4Vpfj9tbzNTBZCljA4vluY7H34+R -sviZKfikItHjMeMbFi3cTVAVxWoPaBwiXmCyEpsR/NzcEm3YTS7phugCfLukdP2ZQntmVYxysK9m -kJz+J6GeghyyVX7JtFQIhweUvPTdCJOMQkqpuLaBtiVZON1q527zDmcGrWU0nhgS6xN75x6yFMch -KKwekgV1fignTlkYfJ3NqS0zf/0GKwDHkJL9k4ir9RoRUrfkDgHdifZte07uAe3MrDAJBbk7eQvC -H2DJiuVhS9P/DD0NnpksqObyqmgQGTtxJZFJEY2P+Ubw0kId+A17jp3ZftnGGYKuktGhJelKKJh3 -G2FKOLy3OuzUolk0jgBXSKZmbG7uk5/jmJFq+eeBLImgyStzWql9gqfmqbJVfYfsWrGGGumzTbps -olOXMgEgcYyTeUp/EwwmvHJxQcDc+PaIaoxvQm44lWdn7oVnBRVoXtOOaSrQSbm1bSWQOjVjHmPG -hl4pMEqBMu/qJoWZrm89LYs+NfFkPIpfnbeNcw1jN9osJehaHQRKG73/AWS0rWL2QcwXCNVM0Bdu -mFDmI5p1qmV8/bsQJOTohuJc+k8WfqyXqf2lmgTL5gSe/jNfwgi2AymgCaDs/IpaFGXTAIfq2kAZ -msw5/r2ug+bkx0k9FJ9BS2URZZiDHRMB0U/jmfvG/lyDA66+Uj8LBWpA/bq/QEGZRg7yBBphsU1b -xr1YmfuvtVXs6AbBt3ne55xzXXrIRjRyXdWQjmq2p0GS8acWoxmC+v9gv2FjorpEBjwIMEB/8bRK -x0Q3TboeASuK2ST274aP8Pq+c3KPBdSe7RysoG+rPlSPPHZa5M/VCKm/N5130B5faRpqrA7o9cAz -5+s6ltQcJHwzS1yleWQ0AVkUgoDbFEI+NK3vt8hPAlA1q4ZNcFNybuDfFp9AIKkDw43RzhGnP9VS -3acY38PSm8Z/TV19MV3w8q7XO+5HO8GHVYFSj98QDjcxVlGlo+RSWU41hxeBO13O4EnH7fKw6EGU -qrnOd8o6NM0NDAjvV2tkZZXbpmZMsnjTdGfTRxeqx+B2fUXM2lUcDY30ywlg2Uy5Tl24KelFum7s -3YbDNPyBGkCYO9g31LKhRwSbrkzPS2XiP8l59muzt2qPdvxGBOwBxqWCPMgrbNVBu4HERVZ4o5Ah -UIxy0r4OuORUTZSLdr0InmHFeWyaME4Kis8cS9ggcZkdc6aCp1Bxus1+U045YayG80DVdL/TGLJc -ClSOYLh2qqyIgDaxQCaCfYMt/MAKWYze+jZef53XVxjzSIF7NYgiRPMJxAE84yiNwPHN6xi7BP8J -B4OjatwdCZVMBuVomcgZcmeqbBfofYoOdB+gEdH2K1ccPU12GkUyUtKZBr0AmaoJQ7XRizhVSMdc -/qzGSzPv+q3GBfQ+TpXdJiJtAtjGkIEtzHvMI7GMtXOOjJMm0GL0GaI6sTW18C30GWdttZY54P17 -iI9LhGFzbdwZI9lzNssMvfQtEVccTFAfEahlnoISfWTwfxRaoZGRSQt/s5+uiIG4Co1TvQOlfMBS -k19orACal8W2KGW/itOvJmI+HnDgXgX7MGMzTjo0ECJIp9TnBHaFpHU+nEqCaP5TyOkIXtf/kbBz -f5G9IjDwaLW+yzK+Dih7jWhWE4aXSeanbqA6QTdeahDz7AaHlrTR1bue5ktMGFgvLmyL0f5AROzu -9VLoEooEGj5nzQht8WTJziNN10CjCDW5Z3Ugld/Mq9ZrVXEIAq7v1n3Xx7KpVkgTpb0liJSEp8Vp -HkwbVIhwNdhN9UUJG4CjtxJyGBX35m7dfP4R7T9rsfiwaR3EgrX1poANpae03Hseq1ktggmScmhx -jSq45wmRFVSxLp/m+C/xSIO3m8hGtTojpUXq2GCHqEbCVbIhuroHeRODirgeaka+nu54CQSWBNDy -Grdfx1V8gyldaOLicsYifrLWLu+TDSpUWhC3ywjeg3NaRQUjRsuknTMwbqo9viqLng6gJ8PmCkNE -b6BZKxM4MhFmhgZzPoZLxLEjJG2KtR2RxM7PnGxMtL0CvexbuoO8CAzi5OkpK/cM28/OwoChcju+ -WpzGNTobsnlXAu838g/40T7LxgvMhT6Rq97rxEVuz36cjsPqW6uPsqNYKdAI4vrG4e/YOnFaxZzk -gmPvLYBDb8K+ZEOFEOhWMpNvV1L0V5OtudD+9+Z8Gl9U4qM7KZQ6EBlX0vnHNXt8U9ZzKOHJJQzD -eUOoGDxEylovqnHdQchwzy9Sd2Njb1oDxtgLuUCIHqYORpY7ElBxZhdZ5xKn7ID67WB1JESWyUM7 -arfQqLnTC32QYLLdfOOa0dampY2pIv/zMvRgpUhm1/40nt5rKxr232lLQIhruDoHh+Bf5PI0Q/ZZ -03OU2u45v3VsulkKaZpFFYe8u9nP+v8wy6gzB6Sh8m0PUsb/EBgllK1/V8yr3O8G6n6lQeMgiJQO -9YzddH/9agY+87VcNfkqPU2SD6JzUKcdzCOyuqn59PMKmAY19VymypFgB4EfUsdLQLmBTvSg9qoo -FfHq2y8pu+HHFzlD46KXjbZ9qAe9c89f4qR/vOEUnc2nO+Vy7VelS4XcYpSm4ZzhE9mqUu9b02dl -MmpSJwK0EoYdj30Et66olIDeEMcaQtmbUKq8OSqaw4CLFj7J4LQUH2EdVTHyN6GdPHIzhcDWDfkr -arN/zgYSIddaRSR0K7sPwXfrAhWrCsVTKsWSnasy73yNa68qbs/ZmCtm1p2JdeMQwi4Q2FDK7U80 -LrDyCiVD2OFwno76ZbuL51SA5uYLWR92zNnZUoI/iJxSIUjo4gdJHUXPY5NkWDXa1L+L7Gtp1yTD -rsw9JFGk01dZc/m2CohduwrPMcH65B0qbxF58vmkRc9UEUeOAWpYZhmLl6CBi+mgTYHLvx35/JOs -TX/6gj8/1yPfOrf72csMCZerWPuPXNqIJN3VZ7QOVwFM9UJqb3JfC67Ff2xxRRGKKxOKu4XunlhY -adNOUjeIQmYMjimPu6V6gh42ndSbfwe6zIEc+w5YE/j8Rjd43oM+YNWG+JhqKysxHz3dm/Hyu1Rq -+evus888oPZ2h3oWf/mNlN6WlLYMihXbODkYzdSiFwUNEAzJKyQsoUxpES9V+AjL+CmFfwk0NCMS -5UOSVx2BQ8Q32wUtGHWyaNBN2f0z+1pFmUsAD66llwRNnQI/CG0sgKtBQh5lRDRv3Gc+WDA2scm0 -Gj6sKhVxVyXBWucuUuVsxbQlfvvBNUbSQ2wSOjCO2Oq1G+xmAmdFg5dFncUNT79l+Ib3atxXINGX -rYxH3vvEwejPgpZ3k1XB2RUNryxPW71uK40JEb4CWsq+aTGnT18H5+Gt4Nhl3tHz2aI1DFLWjm6F -vQ7j8HrZ9ST49vQAioBVj8MoNGZAvY39iwOx1egXxmAqrJmqzL5e/TOSky3/gAcPB8MtAD1+h8l3 -9u+4EOOxL83H0PECsHRKcUHq/fPFO2s58YxXeJcQFlRl3b24bS9dqghhhBnpmHs0N+vByvy6GXMk -0M+8oSXZI7YvBiri6wcYDL/YdE46+yjDk3FA8u9BkP10n+CH2Bu7c0OhWYIbhd987ASYv8hVnSFH -VLf2GFpsZHXoOpxAs9401n9vyL3S4NaQ40IsSWWJcBBYNNsruVvlckYMBAXd3zKOut9TiayF4xIA -2Jw0P+vghNHg8xw4pINqq8mww5MrGzc7ng72/kpvR+XLl9qXgPOETvcqgkmb7UwbKotNQy4ZR4oh -KyRrHh/6EMtgFGbmg6Ny0cjnvS5HHm9tXtJ5o11craHMV5t/QBZ2N02FwX3OMIBK1Wr0rb+DdeiQ -8s8OEITQEushaxtqjCcdKXYIeQfs/XABz1/VsjXqJAbBp7tunvdnBxSn5i9BcsoPzL0Ie/09r8Up -8sfp/3tKzYINmScThxjMkU0vZCMr1h6y+d8MMzuGKf4hRY1aE+vPT9dpbbzX4O89sFmRXO39Mznp -LCqbM8ZYIgpVnBqPVqNpXcIw2hBTbZmgnkK/aTGd2iwk5ENCDrHyGCvcpYTzioSuXlX6szmrLGkc -OOPDDw73cLyNXsaWuF1vSleYF9iYOSXZHo85QBD3joPrLOHBcz4VEfnsFCKI3YukgxS34QI9Ugi8 -OS2gVoY1tZIQIRU58lNHrLM1CSgSKvDmfRj00RArLNui/qUxonYLpc7vBHyKve1Wehs4eAXBLC4X -h3lI+BVE9XyZ7PRW+FPL3E/Y/HGxgQlXyGjJU+iocBiZXbY/MEusvq5T0n9JmGPnCLNaMQQjMmYT -qVnhZ2sidT1R5rGGuB9+4Xn56Z5NDZvo0VaBEXbeZLVlGszJKOQ1z+wRO41IHl1FAu19P9xg8MVQ -Z5+xL+NFDqVgoeN5VeFpbhY9c2b/XwXOhXtngKRGLArdtvupURIc9A9YWfCBfCSex7Cavvttlbm6 -tgINyagkIckkKu513wMDwTUPdEXRU4Qo6eN4XOsM0t/Cr1pPMbH7YvYjRhS9Y1KuuVy8+vuRc4vR -JcQD8w8D7jxJp2E6CSBjaSQYDqpYdg5+IakbaVoMehMM4vXXtR2ftLzgsw6ilMiTG44WpI1WQxae -inxt1CPn1z4yAPjAa26E0czKjucEsadZiTS8hI0kD1O/+sh1nqB6mtoeYnyysMwgd2AjgyJPjUUO -YJqUzicbBl5WJuYDU8/wn9Z5Q4Qh7UmyYhvxXpRSv3qAxigVZ8KqihBBxDOcGsLetkSTPg+oFDrj -feOtPOpgO1kqsmSuorzje7Dc381EJaCSn8V2j+KUI4PcqXHYYVh28QH54gvhSnoeaw+cmv+T2Ytn -Hu0lcA1eqCcDVaVmcGXZgwLct/8UpJoOJJHtCHfNNrSJttp9wi6/83IlT0jdEljR1rmdeXJLTlM2 -sPqHJgACTz4GC3qwsiUNbrryHCCaeNOizBbHl5TwPZpSt+DuVciz5ITnleMyHnszgf+vX3ukwNfB -2EvGxQHxqNlQ1hgIcd8+SVwLMHa4+xAn58hRwbcdZhGI3VymzQZmRbcl+34ZO6B2LrgDy+WJbcug -cxTL69+q7TciqRxhmy5kuZQ+2c8v40DnnVtN4bS58Aa5VVXaOKxFhKulo7rwFOmgp1daFO6jZ8OT -YE8VpUO2IEKMQBDrg2uqaWYXrJWQ6R7vc4Klgkcf+P2dlXcG4SwQnZKhRRbZyy/WJcA43QWJeCUP -d096M6xBmXZkEBlTKmo68vV/zi4FPWgWPj6DSl+LfUxp4AdnfHAfrzdAdYMvU57UBEFWvOyW/PYy -m1ErcsftaFLkonIt/zXCnKvWto02RvJHpA3zxl64va/4OaaOGJIRTFJiGoIr7+nbJoSx4m+YAZOt -jin1P4sJ2Ym3PRw50ytgnqjR89RYmxKuzhKwzoe1w8+tzoKZv6S9hXZhjbVjt6nmmx5+hzA31bEB -VtRxHwOp4kn5bqgGK1O+YhAUZBLdFEFoMHfCcp3c4Z+k1X+if3jv17PbwLZRX8ItD6p6N+Gg1FPb -ODRJNXgglpcNHfbNCl7ivXi3S3Ms+RFzwIkp45xhAmNTFu+CszmJHpsh0MHB1Ko+NXiUgbZXgExB -iVtsfUhIULTkpMl077KfDGkApxd5WokRUHKr+/UFZhQsRmhXN8+DWIz3XoZOD+L5GaVan3lvMwPr -XuSUeD0jDS2nRTlE+mGeeQ77MOJ0Ry2iqpcESrVlQhMoUca7oIU/3y4oTr6q14Vje35iKA9TqK24 -QTWLASiQXUZDYQJuWxN5Qq+3rP6GptBvMU7JXa0iQUI120/10h2Zv55D5d5BWhSdjNAie6nbByWy -Tmp2nger09b22UVTxEnhBWa4UpZES/cBsabfhZyqubJvj++tKHu7p2t0BinNggWYs1Lovwz0yihJ -LrA8CBTVesnz4o8UP8n3V09/IvfN9HSlShh43X+PshSrUA6gn8IXSWthKnwAIheRLdqclE0e/j+3 -vIsxqp53fGvxEkZcSH8WAXm/gzejsxYSfvFeu7lfvY4/Iulp68ugImc5a9GIHfmnSjJNj29eB3pQ -rXjOR+zWOKNafTVWeFKxugiK6p+Q3IB2F4vtTCFl6yZIOt+HPw+mFbmZ/qcW6B46N2wDjK3Q5Zzk -i9ApBCjEj9pG7kexb1RQXjS+hcQ1AZu4Uqe4vGQmbo+yjCaKzLa6PnDMxBoFM7W3AenJSCsQVnBB -wPvLvm2yOTTXDy2bcWomr1S40e3VW1AS2ZOFW+kDqMZqeLfDO3p0Mbyv1lOFtvYGXruXQEJsGQxx -ZjnfC12qrB4fOltEGB2weJG0qY9cAjKrtGw7JTwisL9+CbZw6JiTTCmJnb6BneIeh8xqtX6E4YmO -dMxXrEmwZw7CBPxTLPc/w9h82T5uSXZV39/UJlNrc8pQAUCsTuucohUOFdsQ9q1W0K8GB8BmxoUc -P5V0SVu7DFqbx4+367o7FBq0P9d1o68LAjz2cTsjMeZgbNv7A7jb5KAgTB4Re9a9qprnxfSVdzDo -yErtVqDUeSYP/CaQsnS+jO3FonwADq1P2PaRNRXCMUodT/QbsTbC84GdiTsosguZvvNqF5rBu9fW -uPBXJOSVJpXc9rnn2quVfW8xJEdytri6D2JPoib6B4NK+2NGkI9FENgP5orBK/GwRpW/Bo2Krk2i -zp7zOEUAaH39G50StXdJwTfYfiIOHy2zUXdkGKlNxpW0SiDET/o3l/aCZ9kOS0g7LERD0mzyF69+ -adr7AANNPUIkn21JR8omIOdGkxc/ywgbLPznqO9gv6JKEzIN1uenXYQuBB+Oos7jhh/ZzTHd9sdF -Z7dCE+0kJYgj2hynWAD2/OgysR62tVRbUM7guNeO5I/JyjBaEJn4dSpz0H1lf/AMoN4VH1PSjiKt -WET/HiRInDcrHTto4HE8xsThYRV6EcFZyj6QaZw1Mm46QyTX+4Hq0MU6nV46GQIxDLQod+Ib8Oej -dbWiomgJg3RFdB1JwBE3yGFUvITnXF1tVUBIuYUJrd104Ea42Cx84awBQxPme4Cgp22H9OYTcIUp -ha4w2GBiBmGx6e1lcoGm1yj1PyZCk1fYMq2AzkYkQXtxXM+frYA86+u/RcKn/n0ebdnqnpTQ7pM4 -wPYQQFztv6esJve9MhoZC7UknnZ4aOGbUmb6CAmzIWKWw8QmP4rfPwCC3J4nkKwZONolSGqYNpyl -tUlWqodmg6RA7EwM2BphItykvvuHkFi0MVzkAybjOMA+3s3glWGjZmgFytTA568bj29AEeKSS46X -ydnPKlBgcoglIn4f9xkAPIvmhBYeJk8NiAr4l0dEKQKdlmQItkjN/PbWGAOchcT1dvTiLFNNABFT -P3pX7cxxLG2mVQMUs4ley3McijDIYUxqCBuutTtiLu9RnMx0370U/VJLSkAfxCaLS3Aeh2x1Iflg -TmoV9JaZv8xY36a0fT693NKl8ygxUq73+56gWSfVCEXTUyTadqIefjOhdF4wTR0Q12GV6BLmFoAG -/XDCSMV5P0T332s8/DSyMW10cv61mxJFc9e8kzAxMBuM4tyhbY+Hgwk0H+8s3jQvwpwjL/wbDvOY -d7zPYEAt8gZp2ZxJIssYSPrb5IIv/HIvx7Xziy5VCONkymWoJNQ7cpTzV6VjpCil5H97L52j+Ui7 -2VVQFY1zbqN4shaiEIBcDb5oquorih+gjo+6f22xjNSwm7VlGeJ40X9hThOUaMSqDBFRz7mGuqxi -c5Kj+syUIt45wkus/TOgSXMsZXu+jFfOxFgB7jxiDq/fNSurZuEi3+UmWKPnCuBSQFxs208Fy4TV -uvg47FZNmsWT/975kNt01nYFMN32AuzH26EVw1kKBikv2eaEug+hGJ699Sj4xFIJLM2mEZw54G8b -7tTymwI7CWy+oylLbEIUdKrtylGW4EWMQYzYGu30udz6x+gY407YXl5eDc3rzxmtg8qn6j6XxoEE -uSaneV2x5myKEia2ac2rpzsKQfQoXguTsVExf2KDKemivS/YuZxYwWnGaVHxjrP408cgQmJHsx7U -52pbUTLdHQY5qdWg+yyi2b+Nyj89QcC0TXsLClLZhoDFLsvWVFDtwgcVIt72dnDF9Ve+VgLFOU3x -ooY3Uqrci8F/7TlJdPC7/yN+E4sYdI/vqQPcncRLKKmKfFWo+kOP3hsv0cS1xT5egcAHeDEueRmI -FR52FtDy2x9s7tsvDoQNYJHTyc3iFb9UG1XotuTF5OTd+9LzNT5lkQHqT0z9Jog38qfdCmM3q1Ak -cy4LHs6EwOwtjhPBLnP3mGv+/Lu0PlwS8cxo71VQenpW8P3J/TERnewF/bTtQk3m8q7AS+LW1rMh -6EWt745J1e6AKrgax1IY2fTsVrW4YIaDoYSihzTi+Ii6N5Z/kBcBbAm2NqZRQ3TNkfyuI4X6EmEQ -yxFqvAkerKGn2Tt2BM/p0SlS/LiJYHsa1rboDP020fvKB7z1trK6KjkxPxseKZkipdlBemuU6A95 -xPBDe6WwXto+KkbqJHR8IqKP5GdfHm9z7q47aH9sG1nti8g2SInVGw8jnCuS/Ud6De/HpJ0GN14C -wiD1ObcL3QFxuqxo+7uoGn6qj0t/0IdC5hsuAfe9p3PdNLeqdfxRVtgsGTyEKr4mXXTQ4sTUFlmA -Y6nBdKlyFT7emeAeHdjccPzYGDyHRPOCGWCqjxOZtwlpZEAKirtylrqjchW2XqiLf6Cix/6KKbig -x2r45oNAM+6/lmJqc4N74pu/RWmxbxHQ8rrxKP8YoRmiYrTJY+VvVowu8OjT4xlMEtJHGBFr0iqe -y1qYpOy904LDetDWj7AXViOSFj4W88yTjyfLOV4T+WRAzfC5vftjhJFa12g9lAohdc/43PtTV52C -I7Zc/oDjIpNzJNekYukitiurD+AaYwaHg2mkIBMlLeo+u+y7+5RpiMgTi2Ohw+B1yDXfgkvhj+2l -o152+KAzuHXyqkLdZR0Wdub3DH9DcgSRJu9y05Pcq8A4Wv+wBSw0SY6ZUHP+3JoefOZIYmuL83f9 -2t54/iHR+FLJuXTinCo02wyPLffHGGvsCbFCaY793txcsuWjXnPCA1M2qOcTN90rWc1mUNMXbp1e -PCW1qV0Jl1jhe004UjtvVquPKcoLyRfaHRFxRy1Q1whFLhGy2AHyCr49qX0NWCuCiY6OL+qFToFL -0O75lFMbc+zPMuwTBptYChNdcnsRDH26ZIG6y7ZzdXYdXdUG70Zq0fd0O/AWAOp5bEw0xEy35um+ -AU3a6O9khGdmBKGz7wMRM+oZuwj1HKo8U6o2VzgI4U9z5fGCMpnYycO3cV6U99azFxKlu54s+IOK -V6pkBYg1IaRBozFd3SA1MKGLTsGaZ/vtftH1nYy8mqDNoNpFQwDU0QtImNoWfUBn9rapMRI+pZkV -v4tIOkej5YVL2IjD+zfPml/kThWsuwyBfQn6ZWlWZzfid8j9vycml4KaGIPVoPzUEsPU6w7pXh2F -0KtGm2eF1NY9xenLtwCQ0GeUw855rPJG2gROiZvSYoMpDcdlXSxR9oduc8rk46EhuP0vtJOLidN7 -RThAemwWWWR0RqYwByW/Cet2CWMW1SLDCWCExa3cuWjbSsTdN4YmmnYUjEMmK9uWPnOqNQcawdLt -mjSgcSUlqUNL2hbgoBbOErZ4EAdJDhkLt/q37IqUreVfXJujzLHuVshGH5BHFSd/eMcfzVQRBdgN -vz9mshMKxaRnTXnX0anGJ+Wa9hAWYdxNl8VIIF4IYgQ+t/Mk7fx++uItJKaL8ZvkflN3S5ZDaluE -wFaKmlgq+5c66AxLdeIs1MsPvCRFTLmE/EWH6q3zNAoP9OO/d4pchLr/lFPmXfAQnmvkph+U/pOR -RfO4dYptRrWfpEdicrMKw6YTebgzot15bbkZ+kiGZKf81cxLJjFyIKBBEf+Q8yCKgCAk45M4DBSw -VfmWZEfCay3e+5Hs/YVmk7R3yZOfVWIak9Y1hSEwbiNGOzlmcoYx7JSfgXsDqTOyeIV+EQfKelZV -B7bal8u8D4yluWMaxE0gmV5AA23RbZtFt4MwjwUu3fRgKPd9i2KQUmNL/OX83k5rJFfEByfK7pmQ -LoH8IdX27fbo46U9iq0e42fdUYt3hTfHqKDJIBHvM9v/L3QgHZjiXDQCJn6sttcXKfKJ430RfZgg -sSTxhHCo0AEpwo9QHFVxeq8cgnkVBdbQd1YRVB/TJ5QCG/WyCD/ZWVZgXapa0FucvillUHf2kVNx -OUQgMs1PHSbw+/D5kdLmsCy4OBy0nwfaebZkJAolMQJV/McTzy73ENQG2Bj14+whmHMoq0+2vRDh -NY7CdNhFcHgDFb5lV+LAd8Mantze/CUl4vX6HviHrAy8CLnvd4LtD80znmGffM7W9/cUWpyskP1o -stnpT14dVW3GRANd9+IctCf7JV6ClCS0Wq7UP6rNHZH5DqZW72C8JiOjo5d7KoZyKIlPtuzIO4Aq -MDMO+9sJR1Vo5sOt9AkHrzPaDjXVfY512PAoFLiR3pFHer8Bc0cnPOeTMqjI2CJRTW+N+zd1yumB -K/gS6QteypEuZRLhaptnnuAyARFk91s/JlGNEY9ugxWaK6vSRK2burbwwnTF15j6G8NYwhoXPRvU -9MhBYvWc/hpL+/yg1Xt7JFe59b033irZW2toDvLjMYkKlxBV67T6IeV20yO2guDGERTQ4dtf0NOJ -fQuCK6vC9VE+ThDI8HPFVE61xT5QDiDDhFYjSLE/U6TaZTi479NLiSAlXPJL0yVH5B/NORI/WYru -I6SUc1LBxS8a5DvnziWGmUH7DIH6Zo6ezl5DsmICiOvQozL11z9x2fwJAaAFMMxhuaH39G4x5Q+E -YdhtmFqm7GltXhPxPctU9ElICrpGPDPOmuZgz46HBBC5pF7rO9mAFIPLBB3bUb/rC1cNMUWL144u -Pd+mB9UjWaKmDmhTrv7KHeV/w/ISgasUNe9xqwxPQI6XUPxgQZQtsjdIgH6CUQw+lwWFmAgC0rLJ -PAZMYPMU5qbyocIoB7bd+GE3hJh3lEI8ZGMrQFZj7SqtW8MSANLR8SRJ0bHGqsip+9JdXNXjfjp2 -+m6ftoWbRuFF/5TMNLmmvSaSbAHa62MO7HBYeQdIJj9pWYYn2Ru7DHbl0Fk42a0fn5OHKWG2UFmg -AAexIFghyk63VTs7NSkwc4qz70aU1Uwx9n+216za4IE12oC0Fs7ctkN2EYFwEkG15YhJTt3VNVZ/ -VxBacC0fGTyKSFCoIcfJTGD9kyp967lzxCD2Yszj2XN1MDskl7fHlzwa6vyET+IsKVKyQdBM2L6+ -PUrXzGm+2D1aCsoZpEeAkZccvIAQA8t5GBEBqKjUpjT2lulP+O5royUNgD5gfS+plTRzlU2qLJIY -KoTrU1mxqkjGGgOPrv9PZjQixyDf14qBBPYm/R8UlA6jozt0R5Wi07BAX2rMssvvZ/zNMMMQXA0M -eWCbCQRmie27SiS5Jx20XFvM3EiTfnLAuWDg7lUeZJjT8aCtr0Hc96BG4RUvb+J/AqJ9iv7l5bq2 -8XDtNNaOd92OCtIPUBJQ8syF6uLtqM24b+0JEIs4JNQtPRFuKW0Y2p6kPvkzLog/KPBPHFRONs4D -zyXjQ1EEmRO6LT3JLjX2HcAhsuJ/xnQHARx0/WrisWdNX/tl3iht6/4TvHhQAXcqrnqXj0R4wIea -jrPI5Ths8npXFrEXpCqMBoOsklOuYUf7/j+eQBlMpzMkuHW/yETtwBrBa7+xPAVcUQae6bSxGKlc -8fyrRRZD7ulO7AZGea6jJu3jPPrCeMjUpLrYG6ZoWaF47YWqjBsczvVEF/O2yPWouwnfdTcYu5Tc -ejL/ObgWvhYShH051nNwSS4LrmElvCOc8cr4TZEROP7DFWRjTLsb7Ibp9ErHGAi7P5bSijvgKeoR -ACIVqra6J+qx+D+q5dlRwqE2kJKc+oyM3/6IDeeP6P3QaY6HReLSimf1yBk2Ira/GyqQ1SKDZE3b -GvlJjT1VPy2ZTWJv3mFiF6KNGasILu2BvUo/3AsPlyjkcTJVpPdtOBU1izYDANIc/gPQXtUsXde8 -SF2AZNzgW6hi9Pf9JbCl9+UwTrK1jBzeJkCA2S5f1F1K5Dt1H0hAJu+O8fNO3mrCry/QmPmd3fuU -T/teUfPVzlkWBZnAHW+rFsf+WM9y4kMhdlTR2N2QpONX5En4pxycbL6yDhzXtE4zFFEeSCNjzriu -vfrDeWYdRqG0Q+LQjCLpQxrhQ9FqAn948d7JzPRf0VOqAcsR5XgwzEEYY5KbOa27I71kFRNjf8ul -JN//nyYwNAorvnsEFITA+thnpVi7NNLPFJevFtFpJzyIocGJ85O6qh2mFWBR0gmMrCYZ8f06eeS4 -1EB6gZdl2CEfmFLwfCW/zaxkxwTU+shh7dL7E0/DwOxoehsY8WoGM8oOUQIM/ft/kX+qxxZmVapT -xwKfESkQfsiSMD+C4LB4hUISXHFKgghf0NSf3QIlUnD6vqcfliuUteedq7hhY6vJn3p0Fdf9icyd -GlavAFLdYvyO/SopTSpoxuS4F+3rGNeqB3stt0+1Twx5PMFdcJjIPfxw8fk0zVGIvKNfWoF6OQe4 -2Q+8q7e1IAX9hkQnxyHr+rxyjvwvx3HwD8KaFPh+S3rG1H1a3OsI8hWM8hBSATDkHyLvqyw7q/Vy -ixcJbf2y/MNelTY8lVI7aHhs2xDKdkD2AJ5p1DY6HsH/P/HF5cxmHcZ/89zS+VWnK0Q7cilm5FQy -3Zt9C3lyemjZ5Sk+xaSaVq8VAdpwn3wMawfZ8xMloS34zyEAG0RJ1YLPiXL7qKN/4EwxahIvIYJ5 -nq300VAKjBetzMuw3pYKG1OoeXK4UYy8cAU6AYhn14vcW+DH5RDVpLDbtU0TvVq/kt9Y4q9uQdf2 -p7Iw77jc1N3etyN8k97fAgm5DjYjM8582zuRr9cWFzxRsfevVnJ0gdd0je7iX72QBFjqnRQUUxnm -6LpUA3Ueq+fl2So9dUDJ003LECHmXAycsuXKjhKweGtQsWAPKdUyloWjaXqAMeylYw1KQYaSUe1A -luoB9oNssnvX+BynBi7Ej4wz0e9E1OuTSDaIcPqzB3DItkGw53VbPDUywL63Kiq/vk/u7XXS5Xsq -XO2sLXA+T+WM3R5nYnMUOzrc5n+/E8jfXF7IBegXo/ZDJD6rrPt6Qy4DBSv61tv5GV2sNrdCsQBz -qO/NtzPar+P/78ap4AcM1n8dZ1hR8qhCLUwepmPY4w1Gq3sF+QQmJ9EgpKsTCmxEKu8beaw7SQle -yKdOeedIRlCaFKWL2zg6cFkQHGI+sCGrGyAr9yDOPp/qx5ApoHJNre5qesosBR5EPin/1ecugl45 -2sl4RwSMsGybk1YNeYwBLSIMAgmL9+TrldhL+cMhjJOaUU3vBi0L+XAlJ6pzYphakVpyZwGe9i46 -CMYdqJow1Vz73kY4hD+adtDOFlMwxOBd78l0S2IcbaRZab86HZmsAI/RTckL1IXPRYexZ39pvCFH -Lk/FhLUJSIBi5J3s1D+Q8HfWRAWDAVk5/e+6WrpiOt2Nei8dGKZCFowGCUnKKyRJdKaSjj3vgScv -kleF+Vk5ZaSg6bDmGeJ18bbFtX909lKa8CGrDRvjnnEqw5ldyt83wShl+s3g8prRPOebJ3ufzIob -JPqLLtdSrVzDG0Dks5dwV1/T4/WVev8SYGybrTYO4+L649AZ1GjQHPnBgUCLV8JL1bspX9zI2mAm -7t2TjpJrfE59IQol2ImT3S0WQaHPCUNn0eFicirErEOPJkQsXWbfh6SSUJG0iVlErVTt0EWKKfvE -Dwxl68ysgPA7HKC0Fn5PQKzEUBxNB5MjzGiWaUHBO5TObgpUz9uJcF/IAouHp4+fJLM9DNjDQPVG -yfBn4dTWRPxGcz/5GYXKz3zpxaQs9+mAcSnoAiKBQkotpftOxbhODNyciaiEEwCBoWGAOcS9dVc6 -ASEz+uz4iKjf1jPlU/vSxbWs1nHRc1LeL/gI1M2h/baKRBI0d/0AUJKB7zcq3qmpq0aLCMWf8C70 -W39J+WLRWnY8OSUYNHbqT6Yk4WJVfnCd5rQiWoF42skMsax41x/t3HuNBeT8f+bU8Doh0FZd7H+A -mKuR04MIyBilJrYfXlkpYsXdbtCn63IT0qlIBJpFExDDgdSr7+vGSl+oJVq2zhWCVlgONyJsh4Ze -Uea9hnhaVPD8oN0Mqe/9teaDZCSRM85CyGmWYaWsEG5DQHW9kBRCPaZlq9kcSgsld5vBnh0xheiG -kGHeAZsioZ3yoBQuGf5SrbqBNzsmI6yYVaF/Bcxg19mkzqK5f+tqIhg8xMMmGPGXYm0Ikod8wZkD -SgTeLaTr+rSM8h19aKVtBNeNKmMSomRxQ97sSVDNcL2ru4l5BSGfPzD00g8FpXS0Jxfwzazzt29q -jMGtogGS1q3Nk0m9lbnBCo6AsAlqIWDtzMFmrbAy8EHSGLaBWzbZretRIldr2Iy3E/kWUxu6eQOw -xXoTAqzIJet2t1Q9Z9MKxW5PlOpelaCPU7WfntE8Jr9xPKWognPdkZFCOB1LtUZG9/fy01+mlYbk -CRyhWGOtDywPINWbkbiLg8Ed/V7tpYidf+i8xX7Fn1o3ZSyKi5SH3YNwLU0kkJ6gEFlbUAMaLF9w -HreyzgvSESZs1OJNCBidc/Xnqd34jevQpYJ8dGNHEd3R9Wr8y5y207GeLjTwSL8hj1wONjiSBx4S -bB+xN1ZU47eDOzaVtozmQN/yNS+F1h2LfW+FUaTMO9NJISJ10C899bvObatwW/zbcWWhAFjQMkIk -4+1JuVpMYER1YvMY6CNsB49cwihLqvxTWCB2BTG5uHxBcxWJ0E1TiApr/I2j+IZOdH/Nw9q3vlEv -4FB5k2OlrgReTrUt25TomQVB44HyEx1YStWXdAw2af/H49tXmeuPYqWjNrLheMQ+mYFD8OG6WVuC -rPpYCw0NYyi3kpxb2a2MCGbIK8b9orV9yAs5HkNQ9QneZvWuLjkaV1w6fSCUhyoKiXRTGa2VJNRX -qCj6mQCybv8ur1j2M4cFIZYVtK217YTGlalIH4z6PCaKiImGBATvAKWIUIqJyWAu5PRirn9sCTIe -v/kCs4X5rLyKZZdPKHVt4YeRYjtb+eBmDSH80ywzpDFebx63UnIiyozHX0hwkbfl744HcXDtV0i8 -tsYWUn6XS31VLWvkF6OOIY+MRxbc7Pza2NmLrfTKmZzckOhMbrdBX3vmsbbOnP5O/BhXYbom7eXw -YBaVIY009xH3qRULjFhfHhAoxhWihmjeqJ7NbHhea0p8oSEQXGk46x8JSYpTpUTAPHuae/AavsYR -oPfGOWUojLLtm+vzs00vZZvkeM4e49yjOb4N6fHU/MC7ioXTbGvG3/A9ZoXfma9F36iwljR9f92t -qeJDOf0e7cGhvpth6XJCtPvMvIbbnH1vAVsaYDD9Gf33GGzYNwSso/LFnScnVxnN0CezqRtlu1ui -oYdnciuiv+vyhj1sMjcKRIx8qN3KCx3aSTx9F6w1FWYPWcC7w+ILsobJEdi2q5neWr6mE/Hm58C2 -3Vp0fXmDHUDfLj0zd7zY0iK184JavulKrC8Pynxi6Fs87h9lGGS03brHpKW8LNB9OEuPnOgjCCpV -6YYCekMvS+t5UgnahgF/Noe2FsP4Ug0rDSEQsPoDYNWlLjryL4hmlFQvMFILwk9dKtte2wsCyajE -R9bOYgpD9AWG5zCBlmktVGqiOJSuZhONFGuY7FRzr9v0paS0HWV0jc15tq3oXm45CzXTCr4UtQNT -KRanl85pxtvDet+5QmVXs9qr0kN0nBg6srjlybxhF9zLcN1RzEJLERsE+z6/FOcxpBAwO98Nc8Mh -J7BhXsym71yTHBcmi1pzikfo08uF/XfpV2uQ3dZe4GVnSMSM2J1Lue7Fbz8VrWxfsPcegAswTy4Q -qEmlnZ4XiCTy3O8YigTWJDyNb11b3k1e+cX5TmOW4XictO+9le3DY5xh64J704fZBBoMoo+mJPoL -O109w5k0HwSoR/Pl4nnYzcAbriSjGfPu+wSYZbMI9F4g0s0GKh2DcwMUvz55nKFjD8MPEdCBocZ1 -UC/U2E/37m7P9WEMkU3ByJ2o5DSqdsCIYbwmSSoUR/a1Fyp7iqmiSXWnsf23HzFw+M/a5QEIv0nP -g0aaJQCaWPpx20BGUrje837PxF1pd/r+qAMDBDVbas8xfYSgAxMv6wuKPTz+BigR2oZEkOVsUK/q -U0xSR4C5P2Ydh+vB3EX96HVK/QtX6AM3YM+194gYx1/YtfSWFgcOoP0Ch63vgEhOce8Kx7BRvu1J -1gmFLzZ4vwqYhw+SvTze2uegB6I7f4TL1TCPe+nUnHXTf//DmoUbx68+JTdIQbMiha0g7Iz0NpxH -J7OJPCNmgDXhhfqfuUfHt4gTSbc3Db1SlKg3ali5C1+lQE29WTSyFlqjFC7SHDhPWKOg7MUevV/z -9w59gpegOJXzCZZ0X/pUkg5PGOow+JJyCP3pSsy4HThkpqShIBjEKx72vrQNRAL/mJ91lBnGfGyl -9QIxNDkbvMTiJIVfUoQGynW52bNgFAnhHYyXnlt5VU73NiMb+2O3OYV3HMEVYlHBZvuxfcEcZefT -3PB32QPxSPQT1u70mTErsoqLiEP/3aLQWfC0KHrPsffXx+xR9bNZm5tTWBjWu9PdRnxGrlP3pUPn -7Hmy9LOY+6gWAVmnhTV2OUSg/wHhrAoXmUfJMZmTRB08CMvLGVpEkNPQTbASoKjTkmD4VUxTNuo0 -BvI4pgcSy1n7qsFypuRXodzfhy5ORZ5Px70ezbdaHVaLcGQrEsECU7xbaEwu1DjEUTvk9Nn41tjV -9k3o00Q63CporYtd3BT4D2X0J/1uQ9qdFEPeNlh4NeYH6VBYmuLY8sN9bMZmQPZ9liQ8Vp+ATsJG -vq46JxfzhnudBvg9IB/5dU45nUdcp5BZL/+7LGiglb97lJKMxS9ND77XY6nymxWFXFo+yK6qCJbb -r+omplDlP+X1NGD/tE3kkCcbt4k0WErfd5uZTIjsOCAj8KPTkoFlhOdBd6tNKDyFaJ/ADvwL3ZVE -hJm7oRnmP5g4LvzIqkpYgTvBMiV7EH3wsD9BlSm72rnD2N3Lql8ZQ8W72VoxEIT16nJyAz9NVxc3 -fht3g9fXQ1b8CmCEdqTKIAA7DNe7JvYNIO/K2mtHHk6GPabnykWxUUPDGHlnIX9tC6F7q/yDzwU1 -tVQV/Wb4lBOqP81uTa8dqnXz+0MNfo0LZ9posYjXzKqEVRU7gMnEmdC925D+SACCAG0q0Rfmz5iX -tqgI3pFf/vFo2aSf3YUzSi3PlIBWUw/Eood0TVZjzPkddwMVWDTfwfsCDfFp358BOjYTcuSoaobr -esV1UGbGp2N7So/yLFAovBe37kKi3i54s7NhtfUN2KQH0gOaEl4x0+Y03Xieja2badHZIcSA9jr/ -6UJt/Djp+A6wVLYSRmLHMLi3lMO/7KZL0HA7k1ADVlBOUAMjiJuxbuwACLFfl8ltWAOAgPzj58rY -TLMmDHtg8loODYKMD5m4GuojcauwOGkiaL/wqvUqWNMH8+Uch8CjhjP/stlel7kG+URY2IgV3HeS -sTKqQ+GMYjDC1+fxPejDK3LpRRjwKEoRzNa90iUJU1JHmm12/MGeCbuiD1kaulrJiz22SaXzSQ/b -jkfhK9NAfJRv0DM82R2rV7zKEuWF5MAb1cytqrRPO3VHBg1XlEZZZcyYUpF2LNwf2xE2iFfcdd4w -C7/noGYLJgYBtlyYbgkVCHEAmXdk+S1Y7DdGJNBEdwvNHNvvSRdMxrJ3xzPrbr+5hYRyKpLyXnW4 -dSUgrxq/jbqnwbnFrL6wWQlcE7YoMw1F+sshSgNLUizwfJFzB/cwow8nrdZhVekZGJHJNsSkaQWT -r9sVV4BDhwZtUmKwQjPbcJbvV9TLzjwu0HL1fYVnCUHeaUQNyhGXmQjPb0pdlueNqzcj5e+pZCsP -WpY444bccKGATXcMVEl/sTwoUYJCYjsXCxUAl2EwBsNAsKwt8zAw0RkdJ/4oT/dIDTQcPdwuq8sA -I6wAi2XHl9xjQDDME5uLRmEiVsDY5fqHdnnwyzFum6EaIzDbuNXmLLVOBImZdl3Kf440YB0x38DL -EkZy5x6jfrk6INvwyTlCAbqhwY0We24k5WgR4+8Wjiknsq1+bClyILGrNQG5Y8lEoSiIPhEK42KV -hXHwHxYmW9q2NV05PIgs4xv+NzeoLwHLH0YfJiouWGJqzwIpV7aLbeC8MQ1/qYNe7fDwympFxA9+ -8xpu2aZf0sXJTBcFEi4lFZNOcTAYhnnS0vvhCVyWLZi+7V55tBJEo2C74X4b1nffLQg6gNo7sjev -8UVKgf8p9nk4E9qaFAYBpW965XjFwPKaIMXuQ+dNQD8QCBV1yTFVZFjeBZ3MdoUCSKzCdEd1Q84Y -k5dVml/uKxsNAY+/bsHHKp9l8E12Et7THIXMZLqE4HJLywH2IZFGR4FbhnQbHjqjAGUeOywlkawE -ZpK83zphr7npNOLsM7p2REO7CiQ4LKVN+VpMYXu3Lo+E/qNe8JcyXdoOngqJrnuDLS05NkD0207y -d/M9cX+GNuMbmMkvU9OCOH94u4DZFaiPPrGE9+koKkrcMaorH7ck+06BQuF8DRtNRVdBAy49eUKI -VLuW7Vw4ys4y5hBiVWry+YpuZ6VHkjNO7MpyQQfnrTjJnEbrPslndSHOt6tmUTJyylQnculB/acL -drdHM7weyeAz99zTQtOWVjwI60MwMbLweZBnXcbRw0Brnm7enCusFR4br34oxxhNf+/aUM+lZyLR -aQBlXcNpB22Nh3n+7ZRNKow3zMn2dL1rX+szezptajJvmBrjcqnJunQitYw4j/IeWvg/nG3H/AdG -WJzjFry2yiU5VVPjolZQeZbfY85VO7MFfYAlMbTj0idV4bfiNrHTT049ANiB6/FX/Z8zpE6ImA+o -aZZpHgh3k1yIazySmPMpkdxXu04NIPf/waDACwpmEc/Fx1weo5nr8cEVA401sFPXcfV1pHiCXAw6 -UARemDF2It4MZ7MnlbQf9cKXgNb0yaLMn8NlTLx0y1PEe8WZVqYepQDPYvX+rJMvDwPiMtgdFLTA -rwDsy/t0cxKmT51HVn5NwqBUop/mo+VUockwe3Lq0wTJ/gJMn4oZP1TCVJIYzgxuVHaA3VhjMnBu -5UO4ZtRQSN1xSbjZsFXqbW7pvikOXVmrxbBOzxoLyMTmElsCn6f16jx7f0YMnI2eyVmA64oJNQC8 -vJ9/3v2ExZuFJCXxc2iPhhivHk/lrtDm/27GLnnXi2knHYXO0YQM4D+sqFOXY1eZj5foqLe2TLay -6DERZAFErhmdOkCuTn8sqfUnnhJjH8EVjnScJBrZSn1nOWSeaN/C0ggQfj/dIjbFCM+R5F6+GoCl -dGzNRreWGMdHlIoRD7ZZ3HzoDbBZky6ETjckdhIFRfLFi41ZaDP4R1/Zt+YjY4wbwk3jiRpJ0Qk6 -e83zdy3DSbXsW6p7YElvysSN/0S/BqI+1RnanSLgyibHijxg7TRtWRY2QjUfEqJXM0fkFcrQYsxt -mlU9xzlI+sBFAMqM9OacUQIsSy2p2wdLh/sGDC1EH3SYq0iWBQTmHm9LzVKsClFphRkkB3aokwaL -V0LRXK/6jCO78O2PYlkKjNgAyNoqLQto1J5t/4L8kk9g679jl1EgS4wgjWT4iKa2431feD1Y7IZo -wmD82+0WVQq0DIQJT2gagDO1GcLm4K7SquSUtY9jITeFlOVlxeNzbX6PqUgh1x6ahUesuWITVCKg -iHQxYsZqKIBUBAnLDgx3FRUqeKTSsM7eZmV07APYaBU1AYZyMIqQyp0R6XrJh1aNroel0QE0nmpe -L7xhU+t0loPLBU34MGuXn7FcCo75TLPUwM4L1wwoM6F8/RqjheXBjfaq4rrnfhjSlYY7k5eLXJHd -n/chtpXZowCj5QN64SSX79zWU+0YF2ud/8w0HMVH+RsdKKZdm7Fb+uY2y/6w3Kaqyd1oz6+VtNR3 -27D6Wb6gG4OnwxtorpGe//1bwynQhJTLBCzh2Bogix8nE/E6WyPaz5S6JRpd0UegzWZesyN8Y8sf -U5l7iH5G0U26nW2xwoJCUwCPH9e7fwe2o6oqWEkl44AM3+6ZjVK8+SC3YwjnTRs5QXuj+GQl0xMU -P67PUUTo8kA8ePBgfBp8f0NbXCnMIVVBCk94jJBkonKzeMBI3HSowgiZYpJrZJYCNTgxpTGbFBJi -vUCnyR7X+WDBqFS5MbtP9w/JJ65CbTbnqGEMc0GWQ0fdaW5JxB+5MCwKB1q1rc/oZ5TKmZxCfMI5 -Znk7osrStC16IC+N7Ssu0ej5RyR7x5pdYgwPiD+DVs2WrKnXx3yLN7mZw8/NqApZyCg78/EvScxl -C9gHenVmbM+rWiS6jFzUtJiRIjjxDJz40G84RbcHPspmX7Dazxq8MEwWYpvjChfrlsBsyuZQ8C/6 -G157Hu+teC9fc2IkoglIQD4EMpIsKJ2dIi1Q/5R9h5I4O5NzTVGBtskcSaLw3ikQelUew0T8saz4 -BOuxBTAUDL0vXBmHCKKEweiECC2djLe4qyIf25SAETDIjW3vTDKnc9sB6egUgn399NJ0F3SOcsCQ -4VOPw78Y0b0zCusiKqInp285dkPtD6nDrTXq6PmAKQOp9okbE+e4P30g+DLZeUfAzQcycCjc4ORG -c4L+ffZHaFWXp8bYJSDy8vVe/so/zPJkrM63Pp828Gos7FD594wc/nCHBb/hg+VEmr98LgRolXbV -2uJAxyPUO1oWu7jpIcVIL5KK89oYepQgUtX+vCJQ3J8woZCnBo8EOJjpbBRHbVNWepXtA8uIcywQ -rHgauuR+OkYvDUOJHXY99fO3wJHI6Pvd40cJYxw2FsOXKnswvitLd69/CgOeUirUAcLgZFuaZd0N -nXG9FYJT5vb7jAlTAQoZBviYsfXo0z5rzBbE17GHm2RTiRHVeyn+6s8k/p7g7BtWeGMssFiXaMVv -LK4PSz8kjxh7dOupnEJMx5eJ68yqNxFhowwu6YmW+SwDdJ9R3kPAl1Jtthja3Mr0hyCiUKadfgs0 -r+vOpo40T0GOEEb6qsNODaeoynNLD5RYFu1p+Gv5+gPcSvJcr+uR+o+Pedg9AkyQZMVUXQ7RS9eq -0zy0lIZxm9AQLBdUTp9SjjtGyr1dzusAdLNAfFyezlx2kaRLxBKdQc2iGzKFnl9/oWiBiTZ76nI2 -hD+OsNm0+rk45zFplyfny9oSb0HGT1AC7Bn9KhtiKM8I2VXq5gDuBRCT6AEt8XKKap2yE2PDCUVr -SVYkO6pHyU2X+/GY6lBw7kO3RmcBx3ItkoTiMuZdkAuJt6UiwQXG31qJ6mYJQmh7QsX+WBdTZPsY -mmP934nYn8Yd0IlFOV/m2UTW2mTkIhpDhzpXgbdFKK8EUk+iYc9Rbxw702MLQwn++qHLhcGZ0PCz -ZPIDeYSDQD7eWWruOf/QOaQht5Kz9GXwzt75gWTW39SW1/eNSS3+xPpGAv0mSbsCDQfSYKCV5ZkJ -Wt18gR94ikKFPFCLiV20In4UHxHu/kFi+REOi8/i7dQiFY0nk83tLGMLGBMrTG0hZ0IEzVjMkdS3 -DaY8k/796V/GkzdH+rtjXZLUhAJAHig8oyB7IyPByf1UR7b2+6dT2MBMcrjdAiKk6KC5Wihj3An5 -cisgD8lAgnRFRLd5n8rhxEjawHnpsHg17gnGfechk985EuPwaMDzHzKhXZm+Tir9bv3EE6IC21f6 -9BmWRUgRlU9lgCr4g1TI+T/xUAjTZJ/3wiheZkNG0Ha44i5/AQeWixj8atIl8CbF6cQ9hGwY+CQJ -XXOqPAkhyQfVVt1mar3tgsYKP3SlAJd3PfB24EHle+CFWrPHAdkxm/qX2btRaIwQwKtOBGMOi0JG -Q82nATmo+5+kI+1xqGU1IiQouPBpWk6SuaCOUuS/jGvmjul+ExtPgpwemW8vrrSlx7aSpR71md0w -RjumNLQXlZkw2rMql80l/L2cl2n5ox1RY9XhrnLRJfliDzulAzlnvyl25Czd9Qz7t9ue9z+iJzik -atx0HloUYZPWHc7jag6IJ9zFI8l3Q4qSeass3JHyWTNJrtNJ6oViY3oFj6Sevtbco5M8mTro5XhE -I4aZGUKQz7LBKzj4fhUU7ytC8JMxavd3xeIVmUKbn/R3Y/2sdVaufWSXNJeZ8FyI9gV4LuMNnM1W -MoYo7/ISNw6JVSrzjWYddR3GVNKKnL70/2kYG8+Z00OKY4MfxbDCrOqwK17Zn9tfnqdI6SyKTrHS -jU2vEsgamXUxlsOGG+Ddf1IfsE4tUV6sLRbE7AHLazszkDo8/DaDARhgFMOAAIjX1tQB0UmQ5BhX -EyvWk+NCJvo4uZnpliHAjJMnl4hk/jP36raOC17InL69bcUGcNbCmGQePn6dlVL46kfJFORXwmha -GgO5LLYT73okUNyBF0NcrPBvFRlDK4FdQ+Bohdjw7aEOj3X/4mZ5NVC0NWrmCQgEy3SZ/4WJA/pW -rBoeXHb6+KKllXXh1wanSxmdv8oHbn/MSpATDrnNIExiqmu5GTchwIeQLNOF0czWa5tBPAgEdtCw -1GASxrD5LkLogYvgPzmvG0rc9iQ4yTlwFfhD3ByFbbolAGzg+kLEoE2EyrZ9BGaIejNfQdtuwAM7 -7OSmXw3QcCmLd53vG4jfWft/PU4O7pXE7qjSw5DbDMwJO7TNdsMEpzb589bAg565mGiMKGJCpcuv -tsduNRswL9DNp6d7au4QEqR8YVNieNePfBvN0ulpeK0ytCERb2n5CZOPredGlm0n1cRr+upctNyL -/YOlx4Q6ReXl9WJt4u+NSq3Yea95jcRmy1doTSi/Tzj4msfpOc6ZgQXjedpoI8xfGhC1UDe9VD0L -ilZWIm3kJXegm6mymXTEvZJO/g9aIaeBzRSN9+f4WQaRgrgsszntDrWjDHUhabCZ8FE2nHKYntaB -lIqqqo0yxuuGzEVVjPBKlc79TuBvmry9eJQT3xj7QP4HTZSUUuR3fs3Z22YIY21sFeDBjc8hxw/d -iwWTGPKOW/fJWTeQ3Pyvm6jmQGYdNjfqOlnGfS+aCYWvnpVTxeXc61Jtuf6NpEuHhRKRmvvytyb3 -vwpxUTCXSW0ETFrGy+nvwJZwAYqR6eAqWTOiIyb1KXRJs3RQE8CFFpLbT2DdBvbMWr8353li3TMl -pPrz8dd+L8DTY56qhEH53+ArT22i/lvfdZjnlge1pg3zUiEQLhNe/3xLq1dBqczCeIgzlMkhz5jF -dFg+/e5evWt+4F0cWShoGiYPLwwGb5Zx4Iviqc1Gx+pXI8bH46FR5KMmHnYlRq21Yjk5YGA1J+0c -hYKTDK8hiUYBMPVdGVdbjJ32ph4cNVV4J+sWj3a7hoHIgB2JCGUiHJoy2h7H+ZO6G/pWGtgxU+j7 -JdW1lNvRMJqbsYHi8URUYy+OzCkLo+dN8bg5OWubxU9jE0Estl8PGXYXmh2cCA4LwRQ/8IwXYmx/ -QF/7XROKsby2IRcQvPGFyc0YCl7uFUPMuw5WIiO28BgfuQDS/6YyXNj/dCfOZ3Qzs3mq0WrFzSNz -XiUJbh/ssEe0mZqNmmj/26uRFpf2QhZvJkPGuGRYObPAWUs7v2MDUJO7KLjDA9xN1mS+bS3ebAgq -b4xJAT35dEriAx0QrhiyLUAJajI6XaAKm2KhusrYfEpVITv9YcG7gaTMk83vyrppa/NC2L270dw+ -TcxP+XuWRZSRyC+s2Q25+YUcEuDEEN1XlOY8fq5vRbE8wwlo7un6DXbkeq6CJe3WcS4yaiCh8u1r -jiemxkY/j4iVSqZxJ4CMO/8urUaDiuNGmZb6ocLvKi8v2deXhvJfbhMf8N53VUNxq6FHx/6TH0eI -xQg+VeKQG2doUT75bKCwjf1475nEnq5kb/mMsYZgYZrj6bkvG/nKBZOsX0Ej4W5PhJGa7ytC18RJ -BBRM9Pxwk7eT0gIciVEuGSYMM55vDTuXrten2mMVXCvlswOwvlntCJ66UFUdYoQZhFh6nRXh+kxn -qRi7ZXsu8RPDMVir1/P+DoddqYenXf+Pkh9SdnUPDCyDWFXfV8WeNpStMIUf/y5Ju2RFTdVx/ldg -SP8xgn78h1YeRUj+kfE0rk+imp1PWxMoyNzNKxYx9fCzd290GuljTfgO8zaU/n/BUb9izC9Sa2tS -9QShGiLfiV/+NGyskZ2ZzymBtpCOdPqcf5BIlLrkPPBJTP7bUOXm+ni02xRzc0kBCxtGprOPx694 -Ybks0LaPcpZVKOFWy5l1lra+TuWZMcI4uWIFCoyTm5ISEGv8F7ozQFozrJ3eHJfyT5Cok4Y7k3mV -pZXDCzBQpq3OgjJIPzIJiUfyI79fqFHfnEcKUeVu3pgDow+ba2Jz0OZVLcqdslcUR4hWlQv2nVeK -myQcxYeriw5ePBybdvWW8GyZYKmZyAsAM5rNn2FJPfTyLvY+HBR3uceQ6XuAyxV98cFl4GhzGxQ6 -qj14hNlLz8AjFA/+Fa6UkMyw90jmytU1nrIYID3qACtZwl/TQuco3Kn9u7/UoYRXq+sXH3S8dbbD -8jmEXCWMya20pbXQErbw4lFsTQgIFyBQO87NbayMml9tbQRFHESCVSKzZSd1/WCSg8US+xmbQyzJ -HFTBBgHIOR/VcC0qeiqFiMbdNftzaCdaPqehY9ngGcnB6/5KbpIGX7GoZLxzQYdGwpnzMYoZZJwR -MdtfgL89jdTJKCYmrCxxM5ov6vOGw2UBZ1ilThiaza/XuGqUq3+N861OLDzp9VzgZq1bVNSpSCJN -3WZ9N+k4gNb+5vck+laDVySfC/jWRCDVVS1vVhSejHzKZDSOpmMlALKfrlJ/andbEBwezYvUEz2j -EVT9U9GGwCKDGCv+PRSjfZ6UaIUWRMUIcontzE4jj4S8OvzAvj9KGE3IqwbfX2hmRhvXW49K1MfF -oiQ4TWxo+QG1E7K84Q+6x/a9UIeI5TP9qFQm7SQpx6nxo1UK2OU3ugjKcpfPLwNA/o+GJAk6emOr -cp0z2r9J1aAbptsnTzXWOvW7Wm7K7NPaw08m2hEHggt6xb2MzNxwr45zkbtiE35P+Typvl4cVjIg -39qzzg3UP9p0NHMNE0/UCP7Riymz97AuHJBpcaW+PteM8X8FpTkEnSgKB8oXtpDMgZSmr5xHlXCF -FtuAFjEQTSQZ1LdEo8OuHcuoK7YKiNj9Q22JOL0GzxyEN1LILz/rLVAuafRgEheek4juL/Sqx4xM -yLVA27r2GfzBKUalMVesj5v1b5+6ZEEI1+u3hAovQi6FB3iazD0bUX6DxCSGb6eagnvN5uPohOsM -4jaNBsYbi7egtmfPvHwTSvnwLCQn8AIJcNT+2yBoYxi8Selz9Y79vdE+RVBAg4Kk1n8P2a3Hx+/T -VtgkZdWYzKiZ1zemVqjCLP+nZjUktpm1GyPFUtp+7p8udON9aRc+EFwnZZfEBs1DsfkazY5W+2ai -s19CkxEclRSaDyfG46Vv6c+DyVxLG+AfVkQNqHHj+NJLHFNuOjJHvaMO6WN1fWT+OeQJ0LTSaJk3 -2l7PO4dL6l201h6KNzdlDEkn0bliV0LL3Z1wSvtmZu9sT7MRvvpQc7tY/L+jwYqjTPpBo/TK6lP/ -7Jl/w0OapH/O8eGMFLC++nWiN9ubqhgbF04V1kw8vIzbMrBkcKpFtTxHTPwQ5su2iH/bdT5YAmGw -q7268IFt2c19t0t4bCUpWR/4cqGCqoYEG2jvvRUBGgelEx5egWuV/qBhOkLvf/LwTQRniXA11kc6 -mg6tay/ypmuwk3OfFltEt1fwp51aAekJeH+aL3WRqVeKXOfLWZNj44jsj7Vg4jdLP4SgmONCoKHY -XGpnYCvqoX1Z/Vqa15bSJMa1e4sLK17DRgsYMajAIZu9VClfD62cYjqyeSsY7vDleJyKVA4yHejH -bmBgiTV2+aJSf+WQ9IFlyD4DccMVTDd6aftUkOTF1oSZmIhs2xo4XqfwfW2tsF3pwVdgidC9Dpj8 -KPGx7jy7wzW9SwVqBOZO5mZuRygo/Z1sbTPqyeXHsXidB2LUjqHoRCpC6lPZd/bszbQ7O//3hqXz -CnEGvuNPktX/+0D4/9CuFSKc84AE7hVuHmIqpf+uLL63ftfPYALMJcaKuuNpYpl4X7T2wGJcLUdn -r76WX3MwtzNllkfYP8yON1yjRNTJvOnKqza+MyE9ukFZACllcRXrrOWRq01240Fl8oUADoOuND8c -qFAlqThUu+GQwg90NRjgyjmOGnV3uwq4/jO6YugssYHkogBeBWIwDwmPqdQfC7ncc/65Dd9dT4GC -RAIT7UR7EeriawYJY78pebA8vOnevIQ+xYBkajtAui1ZVQHdKLTeghxaqWJHUClJORqKsfJrXTvh -KwymdDWQAdv4X/mI464zdQR9TeHSuHc8XUT6NqNtZkqgGyD7f/vehCTN7+TUoqJWlYm7af3u6+hS -2gDf4d17GzozPmVjNOcnCthc4oPkQDJfAfad5jKh5lXZ3T/Z2rHozbkYkwttgpZX5iaeUXW1LTow -lK2yl8YriX9haylS8+rX8RMuVpQiT9yFxurdVRjpWGtFQMPnkZ8HAG9CrDJ/tF73K8lonAQbIl0F -ndXRnMjetMCVwh2/OAerqHkTsnvffA6SM2NpHs+hUYLI+ZsMZ+9M4E+gmipGQk4fHiX5thBJADIL -vc+YMSl2pRuHu2LtdVrPOISkZ1PZM4cXE5xx4luTGNVrKjVbHZagBluLOjqg3+rBOBsv+blMbYdb -IOCzuUgwziB50QaY6aDcGA8kxVawBxaT9ocI8aNDUOFvTBg0tkGMSzxunyAFQYxy5dlHshYWxRVW -a4KmUB4Nha7SSdHlxAdzEz49Tt/GmCMYtMIGAW/HscNKGdG3r9miQjZr0JjGplRjBzy12Fmq8QE4 -YiYtij/Y1oAWLM3T+hYqvQlCnh1WA4TZtimR6bOxghr26CcKABxa7uw79VKQKImRBo1jj42MZTcI -7oxy375Iw+gKmrKmuVYJ04eguwn8sjzt3Koo+hnEX43iX7WaRKvWNyWDKYb/codWJ3ujnGpmrpJg -mE4W3Ug7aK6qRRqtmI+HNbeAHkbsx5sRGS6GE75DdWMQ8jH/hoN07sH0GsYbYBqkDG8KwwmXrfpK -mqHlG3qS7r+BmKtXL6Z1fx6XBcaeYM0h/ls6y6MYIruXTjDrRUIlFhIUuIOJ1C9znqekITh23hvw -FAgpzmLfd3sIeFY7PF6Q090DXvCrDgtPMRZ2NLULvamuEgjfU+v0GrMAd3NYH+/K5UlqrDE1lk9b -7LB73M88/QO0pJi0Jji/TYUEe6lES+iVkvpT+2+anY+GZvbIik2haESwTTso8lkbAx6lP2ORLdQd -g74tg1uupClR26Hl7Bu7vKPhav/teqASeDegD5WSAacgBw6pqr6HNk0VSYhgHZjO+KU27eRsR5j4 -0XfWRb8akYZsW9txsY61B91bxegSh9mYDebSJqpXuLZ2Bw1pBTK4W1pl9XtUX8d+gYvhz9P/oYCl -TgCOLYQQqARTHhibnMu0ELoN+COGiZYOcMNPqizB6VpvssU5uu3p8n/E3FwkokMGLd0cuEVUM19z -eL/pddOqFRRIX3njZYP9Gj0eHZjRhvJ+BFTW729GSXBtOfK8Mruqp5eptKL+nZ8oFp/1UkPQ7v1h -voA+E4CS8oc1YGKjEi8s2f6nbQ9upIVr03uZi3cGAtFa//Ds/GVrvdXFUYjmSX8C4FOEeNN5AAUd -2qWq8K3YOrxtwmLe4R7O6wgST2BntQIQVuSzIjhC+4ifEzB3NCuTirsXPzhV+TumBOcAoVlyD3iv -Ukm3H4x4NqmWa5IIW8JbQOakSPanDX7h/StFkvU7oBleRCnjqcBH/8KiarSMmS2L0pJc1mwniKLW -7Buel1Ayf9VdbGuRmZkkSis4gYT6cUnehp8aiUuh1t/rW6d4whF9XpEAXms0mlz0y0YFVzq7twpo -bFOrLoqAbgJD5l5BayGHZemqM4JJi7TSc3Yw4AcXjplrdqc+sJjFZo+qRKSksFyuV+lrRux4Olrx -ugt4qcoNSKpZ+Oihez4BuICXNDERl42sRa/gdG5GmVzMhJLj1klVun1GVJWwnWrEYcPuYA+GlvJQ -i95BDVN4bal1/jeFiG6zPFuUqa3+AH27L6kPZmqh7E6ejnHxbfdx4Hz6G3naaSG0H1hzt1KpjQMf -Wd3+ZpUA9PGzCm62Mqwjxr6NVtOb7scLUeuWeLOQCo59FQhrr9ajeabMR5sZpedwQfmuy64T5Rhu -PWKXj1ultAvLcsr6F5dUmffGyHbNoNcy+VbWPnBGQNHzxHzgapRLUWZ4iMndUyXjjcoQtxCm6HiS -n3Ok+PMWy+vPqwMj273CMINVFaMwOFPq8KIwILN9wA+yBGypXb/S2ZRFfxU+MPWrI5fZGDgstb/e -5IyBsIs4iTyCMDYwRJH4KXDTHaNAx33BH3R0jnEZdeq6oA6z+rl/SL7qJGa8jqI+pjX58Dp9fh5z -PqBwldW/wN8BsblPKPekh2p8qngJ3p7+ptVwg92bl04vIfeNtzrumT+uBMssLgBtngwmD/zvGX9V -qGQjOPqTvmS8rjqCKCah8zBB2ZtRscgXA+Ub2ubk1RFZlVrbEkjZG9hAmRPTiceeNf4Y14MudmhZ -9TmPQEKpFKyZLEfl3UklygnG4gDFRdYL8rKUgx2mSoooR7cztRzDd+RS1XvHx7TDt7JKJkYL0yla -QWz+GD/x1iM7T5NxvnCv62Ypc90Q5sU1lpGc7EAh8lW/7CXNwBrRE6iVwC+2vRXRrKU2kUPtwlTJ -+oH49C5RhO0/SPgK/KKYLcmT/07k+8K2413DXNANtfs6YMQza4CHOQoUotQvFm1Hl0V7MuY8YIHD -Y7TCmg1R4RkDEEnxN7aKU3GVF95mQvNEXoSlwXJ2nc2gG5cLce/vqkbJqbUDukhNiyhzKfEGJ0P7 -sx5pca40FYaJudkv6ZBSoS0smg1xfbF29loj2fTOdGuf/KJceS5WtmvybwWvWXTisSHCtcCzHhtH -sWbdmp/p/k4dCsbaX4ZWqNk2i2sCI0UqPv6evK72+PeWOerNvg8wMnTAD6J+IB/zDXijJMleO/Th -VL078rszYZBpVwH/yZflVj6MvQiHwwBntjvkZt9tbzqLPCc5OSBlcURPkoHqvP94vz1xJ9aXamVK -i0njU8hi3yBUXw+meJPDFgbAuEZGd1hr92h6yjwbIo3FZZMIkrrppH9t+d7y/mVYaPFhuFzJhOkX -2SE+vlV5x1GXoDQqS4Hx1LIaF/4CyYDK4BDnddyDpB6X7pGTcxdNQp3WmrAkLj0kxb6iBe9MvMlW -JIuN3iNHGSMxfYTTcEFamjsiPRbtQgdycZehrlzshhmTuQxzBRf1e0LY2sBuShhkP/6keztr/qWg -cJsxIzxdnNgVqR2YTKLgJ6azwBn4/ksOC3Q9sfM7rD+iRED3Gxi0cYVyqLplzXHe2hBCctI5Vuwg -T6/QtUDxfGAXjDHjp7UGnEde58V1NtY8f+XlRtrncmrDTLLJZ7Y9uiPE7ZXNFi5XJraK74PEBU03 -8Xfwh/Z3YAzHVLrLi3zXO9zXEoMY/bcDBvB9FApJLw7oc2n0X1I8+tn1pJRYdeD25b9+f6DoucRP -3V2e+E2ulbAljJMITMDOveCxfolAqS0LMte4qmmv5pzDhRFO9JnLYiBCZfHpOe44f2rUjjiKLyzW -tlrZlzAZADTbFwKPlHe3s2c6LaolF8F0aM7elG7gbSzXnSHbFIPv2OlkAlCGhlJS/itbXYkCWEqN -EjX9qLgA6vB6DWtC4mlo8uBj+E9Ni7x/BYg6QAWw0142fIu9FZdIal8NlXpeDwFpCbwtU0btdwG/ -ww7I3C4o5wL9nq++1DH/mAES1bGftc1M6W0129yFeC9dh7HsEcCVlV7FQw8WGXxJ3ciEaGcRoUWD -vkpVMZlVyN6lrGmqZNvDnYlH96fx0zuUXz+ZgyycL9ubx27IsohgdVVrL96sFJVmTlPWhemZdoYZ -Qz4Zx+tWSBn8+iFqej/kFonhW77LCEHWnsUZTyz3RvbMRILfqkWifNh/985VmTNzOiYVsLZpex9h -r4KRV+zuRnJ623S713c8yV7B3XTzyFcqS1vcCjeNAaHIjXMeo+M0n0U6J57JiNLHqTh0deWKZb3f -u2cNLFz1jF9eZ0cIblo1mx7QzE43uMBaLqBMFVNxRFNM9zH/6InQNIlbrATHFU7d5rEzIJ88FA1c -k/R4afCJITVW6odefFnuQ4hCboXnjZsAW3VWuzgYaD6DhrQmcZ+3znwbKviGt2mevgklK5gC6B4/ -RY5CF62UD3EcLftgDVhm87YuBqHVvzSUIEMmNLSDiQJuiF9tZ34WfIXjfR0mrhzxUdTLuEcUHqH2 -SfPaX4Xa3RnK7FHNsQqbzajs9Bcclbft66QwRqQEkl2KG6ZBH2Oj/n3tWRyO6uIDVoRl5onZ8lNx -YQf7aXOpCrXKU1XTeZQx1UeKUbcgrnpOFXrY1NdQo0lRWzNpp9Q1H3vnzq2qgDRsIRKcvZXTNAwg -sHjCXM3Dht1lBxXLiyVQT8c2eqhxa3eMppNtNDVlGAc+4o/7XgXEEKUDZkKpsqUcXjTS4rjE7oMq -yqCKKv6xFaS3pTZXGaBfIt0DBzBgWZs4p1Ri+TT0M5kBU17QGty4p62Xjwc3AcRipx/CWJzY1vTE -41EAdHdQduBhyH8aXh5S/Ephun4T1SMILJTKUhV4JTrg4GAr2D8PPR11l2jzm/XzUssDzy7+NW11 -0VyrYMG1C7lkjnjd5WZXknRl5SaEo+A05HTYP1wZQdM22SkesM4gVJJpCs053NtIfZLhfO5APNzx -//1ODCZrqgGE61JWbUWtKst2COy+boRw1QXzoUn9NPAGviyIt+7iCsMg3SzfbZHscF1qTXQXJKOX -k5klO8B53FwD+S1WQPGj+DutpYt4M2ucqb+M9EPqesz8f4v7wZqQ7mR+7jzjnJiu9mIT/vXlMFAR -819STYKCk6ryHWl/y83F+W8cXurJ+ZBJlK6gR34TisUnnf+joWFrCnP/R8pcCsFQJhZIGUlUiDzE -i6fOeC97Blq9RVvPPk/7d0ZK1dpE3T6E46DAONnEbrqHENU2iT32TWRQCjoFSgo/G/HiEWPBycgd -MsOH9YAN6QsI9hYY/BiSsmCSnKmk2MrimbBpEkt8/jM+MUhCbAuZP6XIY49AuBYwDmlmtP1kubUF -vHIa2BsyKAGn8Ims657TZ3mSNPWW/18zB5tj+RFskjGiS1wsAMxIcPT1tGHl48veCPaof/kkDMvQ -KkC+jOW61D67xcd6jYDI8OAcH+SXL9OBRUzbb62qrreCeAFJg4LG9a0aWsGldLfJO+9yl0AVH3dK -TSCsY+0yxONT+o3Mi9Ay9rwD8Ok0zFMPvY2aTwgjk2nOyHx++6IIuIN2YxUtqI9RKFjZZkcocaxC -t3n8Yrrg4fNtMLhxrgLYHwCOwoh/Igq+QaiFBpKwCfpnlFco5m85r86shGX9aHrTqrdTtI1otAv5 -fNyVPepee2vrom6DOhM+VF0eJEm3e1xTHoBq/jAQ/VB4z1QzU2Tz54G4duenxtgQyZXCKoidtZJD -2jedexuVDcJ27bSacwQ2hMPt+txja40p1LTaGOCSgUt1/4qS921xsxE9Ub6HowFW2Mo0whbewgdr -lSUdly7GSyIORPrzkkaPoB46bkEn+Xc22ToWwieJigPTCC83ZQTWn0biyaDg4N5gJbzXrlNkvupI -sfraGQD3PMteCF+gdovn0lmzYR3Uzi72NRsqUotJOb7Ye4uQM39aEtJqMOFOLrtzq09L2FfEnxBB -dBhbkpKJrLnOvyU/nevq3dUWUlZI/wkYViLL5iMJ61karrfaqS/9XuLrLxFwX04horrDSrInMnwq -C1Y0mqs5XgILlGhuKuYWrybigKAiBluzQe8NAwedCBcYjl9ABY0NDpBOFrAyGI2y4HOon6fYp2I1 -Kk/CibQeU8KkRHpv9TEcIcDKNWuJZJEeM5Idwzdpw0X1WAkD6OfnhRRupQ0hoEfoiaVTcQu6icjP -g/F3wMre5QZJicrSxFtOJiYF8sOQfVLVp3bH+jd8Kc3KB6+lKNC+cgpAmOO533xb0SVsLqs4GvIi -JC73wijpKeEWiH7rcKG49vIsrM4q/QDgvkumoxyHy9K9B+KDTuKyHMJc6Jk6hYfIDD+TeT5DgA1U -vgExvdcJuJ7VSoMDj64T0UfeKcQOT+RZWYgtIvqUCUpG75e9GLezl5cnwdQj0/I7PJCDmNUqu5+q -1x3I9x0G5g6D5rteo8CKm9PjftAWgllNb1gPeDF1klqC1yHHTaRyAbjm4fkrrepAa3sYuMKXuFTb -Ua3FovFSfwe50lHBxAkZBwpIyCH8aMcGL2JwSFNbZ0nRhx0vb+NFOSRpwa/WU6Ovr7UmhVqRHvBv -RXrFPEg0R+PeTpnWk0e7Y+Pq0auhWvjRRVkUx9OYJ93RmcAsGnWpgASCLRcUWVyU7uCNy2psVPo3 -7CynBMFsAZXkVOT7eOakTUHHLr4A+GeekLAhM07vKmsFxuXeSy5kpXOTFAATGb5gvyTXbP5WB/eA -9owpSGSkweZFQ0XMlxYvjvR65lRYBd8a9VpifGHHfpKBQCxkIS9AFSdFskCxwCbiSsQ8G9pOVhmd -X3+5ttA7HkoxMll2+ZoCQ2R3wMRGBr7LLNZJxPJTBLzqr6Pzq3N1ta/4Wvata6mxDXfID+oB2XT0 -Dh0UxH0xSNk6mlVzaHWxv4p04pk7r4LPql2LeudVbZbRQS2975/FcZWwbYxBqDaU/XpM997/lK/7 -q5d7g0j2lI0HUiDPsKe/EM5iO0LqQAgXO9TEftXJWTB8bzO/rITR/bHXtnDAqMW4sJj1Fx3cY9we -0LSDrdfa+uFzEKLFt6JWiyDT96pKTfyMUIMfS1TRdVBHoBkY+1UOf2e3/EAWE6Fdmt/iaHJacqhM -YxSA9PAciScQeoTBjcp535Lp+J1uc/nefz+5jWF2obLwgAhu2Ipb99U6fiJ5pZvQRe+vYrKJcYdd -xbhG52wdGkmzJ/upUw+1LWxmVcaO+Je/8+m1/CVdk4I6RD6jWcr7zgaYzwruZSjLc2NPvVRhxAyc -PyIy7dOlMnkuoavKGN88C608uicWQ94xdW0X5IKpoW49xJZB3f+ZB6y4C6SJ7vK1nCBipBGpE8yG -Ku0wF2TXnTfbS8MxYDZLRJXg5PB5gpp/S3lTrcSQy40WD8U+O5z29umC87SKqT+HGE2+2OaoYKic -Qu/hG0HbXcSJM6gjFHxW9EL2Db1t6v80bPas3gVJXmv4i86qPMD1yU5CgKpvaUJ9NPjF8X5aGtH8 -vBgNiRNLLLmEgUtJ0r1fq7Ki+KxTPYXjrehCkNdk80DYODr7WakjQ3aBBZ7mZWVt2Ujqz/O6rQzw -m681a0Rv42pOuKHDFZMgd2Xo6IXNS2qtma3SfGb6KrYAkBSI/IK1S1XQHsvLXZUGtQco0lvL3UuJ -UgGhJhGKKUUoKbcFtzt8H5tpGK0pMv18HcxjgS6iJxG72wP56cDYk89q9oYKTlnrmUozYT64mhh1 -MfNG/Xh9RABzGHQ3hDXE9YITr0iPIMVDoJ8X3Ini663MD2p8HCJ9etXK6ZjNeuhtalZb2sUo+eNs -/7Zu4pgsaY7S8ydyQ381zRMk1atTCWz84VJHwIou015uF/WGAWKQZe0s5SawMDoC0ceHGDeQlLfh -FAEM2RgM0w0qDYFU+0OjIJZKpzyr7uAPNiDDBu0JR7DV0sA6wCpmq2hmQNSQkvZ6Oxoua5AkwF8N -VLm9xf0vT9b5SRZD9NXjhLMgX28JHQMv/4yR5FBFtxw4TM1O3qScb+s6UXEJG5LOSsLjtZTMYefa -9R0CbU2Z4k4H2cWiR9SlHdnQdz8QvnyacLNgqpLQvjbdVPg0r1lRe1dRce0An7ynBY2IuoFuFehy -Y3tihPEzFl6WxFsdEaVCHWNx3+3asjQuMA5jrPBbAMqceAiZf35rh6UWXEWTf/BOmHxFmvJXqusL -nK9rgUR3U92D3vL4z8dES0x16TjAAd7g8GBxLE2sijDSbKToAym7bmNjOEztEYZbIt1GnZixs3pK -BOtETyREWWB0aifvocpMEA8DnOcna547Ge20huRkzrdHI2OJcaI5dyArNxnnSPrpXUry9Esn4wig -7fwI/D+LUKZlkD2/+U/ha51sp2BgUNoQjSEtpNjp3EZY6hICw8x9fE8fycfKmZXXdolV0RsgVWRZ -q7TL12AKLbeRIrwO//DWt/ATVh8wzo4g9eDefDE3qkPL0CUEnaHSzDYn+u/yJISG20r74LtWlQrN -2vne2AsOJf0KgMjOvs8z/7EqEbWZvjffaZphpoJvENQACa6U3vd0t5KAdNuL8G3eFTPj+WdPyLHV -i1ZBnbyV5MxQ542H6rJxF8Ye08AscfR+ADHRhjT3M6+O4RBTj1iEOWuPrlFYUoEVP/BpA+gd8kHA -nKTJH6ung9CZAPC2EQ/hEGix9smZcBCx2p3rleEsY4GIsvPDNiL0QmTlCqGKdQnhrTjPTn5pMBPn -F6NYPruI/fWApHSNRxvV1TqKfqVaPcyXkpHl4sGuC9G9CCakObwMv0riB/wlaFBi8n3MX2FIqtO9 -wntBakHPuoIY8o67+ChnUbZNvvSj92UMWRuobjBK45tY7riheFfvKvN2ktnm3CFPUsR8eMWO0TTu -tO1x26OKqPY4NxALVYUbU7Y/Yigsn0OTpdsULsYeorKHh8JS/yri/cHaY1cbZUvv5sD9mU+zPvMv -A35V/GxgciJYl7itJaqKN3Y9+kq04meSKyhU+mRaYoc3Hh7YomNMU5GBZSicf9mjChTtFw4XhGBW -2VUlkczx8SgECgCST0uR2l2Fi71SZQJ1K/RlqdGJcIs5LyeFdNRH/uMMx4h7OVAkj3/WpjcDPs7I -OEei9rAqufVxfgVEfbxGxYF14MfBM371iD2ttVWY3XdhoOJXBsqncEFbXcAXZSXTkH2ncS+ivysh -+8ByEp+1LqC8LmrjOFEJM2IZLdrF8JNP7E4bt4tBrwFGgXI9jjaEilvGesBd87is5s/xriJH+UW+ -3qspDJrwbcVUh9dquTD+z5TaH9LD8387Wovai6Q3vFoDwz5wcvSI4383bBCWhG2cjrcbJCLSJ09E -dWyOs8xzX4j7BG1jXT8FYeDYmQMcpeX1CE5aLpTpr+9xnQQ1lHz1kxZqkzXzYuCZeJQXWJMVT3o6 -BcI27QuPe/nJA8zF3p7+Cw59xK9/uRjtXHpXFNy9ij+ainZ8Lcn0imX43Y5VdOuQJcI3BclmKczv -vTQH2INA765ek5YdFyHYwS+6vSw2RAsNupicTTuXipgQEwo4lekBhhgjlZEEp29OKLS84tnrzXqG -LPX86ZcTs80V0IzxXNQ2CerkKXGT/fB7zLxmaZ5+P5B3g49yhg1t8nS/s5J/27L4wYRnXx0kbjeE -fxj34ytJmlaqhl3ytM8hnwjgv+rWHhCiR0IsNXrd2WBHW61TO6BDRWCq+u3QYccJCgx95UokoIrd -xe2CvWoC37/Rb9YOIgvcWeO9T5uNc1XS80wlGkiiwzXVnqaF8OA8rFGWXZDHjJy4TR3sFjBXAEU4 -4c85qtbLpdObTbpTf4M0e3b7l2kRXkzMUaazzcUa0QQtBeEfOMD51jaiTWJpqA3hUaZW+J2cI5q/ -qfmAMQFbg24Of7Uodj7OLeBpOfT+x3mejy57/zujqwMCQ6k0TP3e80oeJq0MMrY/KeuF4fTo/rD1 -OEqk7SJzo+DuDnay8gQwj4TsvpK6TGUcooi8QtJBvdfQGF8jtoQ/nFdqfPsYjBJaPuRKSKOf/gys -NUk5LTvrku57i+23PZKbt1qJe01MewJN/bzXz51hQcAEvC060UmNc1A4NbjF/4Bj7LsClQZhoRSU -mxOSiSOnx9W6rNH+lOudFAcOTviJ+9euXWz/2REZHnfL9rjXTsRT0mpIh0SEUih1+wJfuvlduyDZ -XBICynvOLnCmMXZndUmPJEQjJgULr0qhP0W/6yIxn/lqSYTvTPK1PPY2EVA/71yDTza8aqwVx4BM -RakQGGo63mqEReOYDsaqTHy4P0ap5HdI27DDmNp+gUs6de4dDE4ks5QjnackiANFnKZ4HBUkjJKi -rkctzU2n9mlOsQKl6CSX5CPq5DKzC7LicmTZf7ihhyfDQxiqczx5a0GQujafO3uDFh1PgbLPEvCH -1FnprWkRWJ7MlEfYHauJB6ii0AJB/r3QABkmxT3jKwl94dwzK6mr5+Rh1Qv83SGis+GOwdL5jp6e -LC7eDEIGettrlKbQhcDts+8EsC347j/hu1En0Ohku4SLSAEZxnR17Ci9Fdrz5WBxUdLBhKBLmzoc -RuHZTgAuBfgQd6L+SQ+P0WYaKday9o4p1Dp6OLqsfcZen4FbdrCLnkg7Ax2L9b2P2y09vVsb+2vv -jNzuK8Df64SszotL7sY9d59sOGMNREtrkqq7Tdtv1g6wRzn92hqJzycuiyFxEN9ZQNN/yZrSosU5 -BvmOV9+QUaOlbocB2gmd2Aga2IUY4+JdC6EA1fa4UQWxdLv7jkKmW3SlL0vHIufIr2tyBKSWQOWY -lhSqpMN2VzFCB51/Yk7Ve5CXqdES8GXhxkQhbyVM57hv8J3Kz9qs7QWjWa5vvypi19oQx4L9Zr9j -Ge/0xfGO4LjTmY2VzSQ64TldWmBZP+sMcYLbMxqMGU425xS22rAL+p2Sk0UmWfKf20hCoNyyscb+ -2H3mUbl6d5vGCw6+btVBCXL0q1p2uLbcFNtQ1llORaW/HIwV0w1HXIFRH+dUQyM6gEWkzd/VsnKU -TdvVm+zCzCMNgKauocT26RQQzC6i7XrqA42h4tGzbXA+Z2f6S89SOM75o9NMZ+3C32BF/FjxAjLI -09ecb7PN1uXsTxQ7abNnjprYfOQo7RpIQ+DEc/fO72F5Gg+JhBMd4Z+gaOk3yvWfRsiXTtXS2mUZ -FBUW8qToQXmG4INEI9Q0+uB1xOoTKnOx6Qn8BoOqc2aDPVSKFmfjcKYanhjjczg9FKXXzBx9SFrU -33LPTqXRzpt1NkRcU+TmhMVqqfwFhq8o0NzCw1GA+FZHpq1G/+ORjtn1E7nDF2ayuBVInnURkBwN -nWiU/6UrhR53G3aN1PAvyQE7apVzqJmWFp42dUrFCGdqeT16y6uLpTTXpJKScBNIDAtu8gj+eS7W -GzzuMC//LAZh/WNdazGCrrBp77cw1zBXmuVFrj2NsDp4NXMU7GYMa+9gIWTT0I8lmY9ZMFIYi6s/ -gJG0XswjllNKWyLyhZMIm4Zm0SJXl10vvn7HK2fbo0zZkSYbxFDIJSiDeBBZrkpaTUCAcvOG2E2a -8bctIUXePwjH0HR4cPNA/7WAvFpAVLqpdoiktJuDxzp0VqVDadmUuSwKBqKF0JwEOyYIrXpLZ8Ye -1Q/+LZxtI3M+r+1NIhpgW867chP7QqOYmGytWl472jpfnJ3pwFDs5/3I2C5fbQS5G28QGwFyRRru -rkoomNk/0je+Nd3Tmpz5dINDKsIGQgD3LjSwkvSc5Cqaohs55vf+nFgcRZfDLLGHt0Qetmzr19G1 -UkFAx19r4U+bvrXO7UtzZqsS1JrzrhEJ2z1M7Qd8JwfrO0TnPlZfNsk5Xtx383JvhgIqJNmg7zL4 -8HAmUZkV9DHdVlYLVGYt7rAW2ZEKNaO5eZWZefpSd1v8gZH9w3x+R/1Jhoa+Ma7oOIqsYK/sVDEs -zjFa12EpQmOMktqbzi1NANhe2pkZWR2x9w0a4C9u+Qnep+kDjeUtG3DiJHC9f7CCwikGe+ZsHKTz -5sUuk5PtCitZQPyvbGPvMJcsoEgIa/ghPZ1fO1tV5t5VvLni/ds9mfytq39ab3k5to0aFl0k/e0t -G9zzTmirQrLw3M1/+GaNRbFX+kUmgfgkqpT0eoZSH3rS42kWGEgu7wLddAiR/BDO64PmZqNT7m8k -C+0HOQhopv48Ns+I4tT3jeSc0ch9IDW5TgT03na8/45MIVYP6iG1LrJjSE+C+QWuYfZH270mEtF/ -pvyuoH2iqayDdQ4gF568p7o6tlLVrWHZcDF6hYrrLEq44d3NNBvPN6GV3Yajvq2vyn1B1BmWkTba -OhMP+Hgqn84fWV1DddLvfjj9sxZQaFd+crXtBu/veVnLpyj5f5p3qLmcHM4KRuJDrUqaJu6q4ocE -oLutbpkvD1JRobeC+Q8R+QlklwkDld1LN3Zr/qpx366JtVGiPJmc31oIVBshN5g7+1rsIux39oP8 -YD0EIxtwjj4Z70M21lcTLlHFv6cC4/DxIcZHOB5QN+7fzFzEOwdBN3bkcVX4j6FaUV6zI9ZNr9+s -vnDNHq53xPoFZbKIkcdyUfvfVyRF78H+BgWIwBeL2RiyktxQLXKwX5z5COT1FL2FE5NDgmsXjsXa -1jfWvrjJbysffmaHrqonhfV2r+xDi6mQUB81T64ms619pouVTGN8xoInBm/DENjcwj+jeegG+kSC -TPs5zHq5ZQX5dNetMlgMtUndWGOFsL/9or8cXad2xYsKhJf5ZRUzuSqqqEqBK1bNYlsDE9kdT4Bn -nlaRa6QVoH34oNoM2m+EITDBRNPSknGcltyEjAEw4l9BTnKzcOrRjV5CgafGjI9qtrh/rxwz+su2 -Kc/B/HY3qfWgqUWvot8BBV/VTGls7H+q01bZ96bOPEur7pDb+J4KgHtMm7vobKFPRyCwSgejEUdI -e+1L2B2hblZHtKtt3O5orFFXG/9zN9cekdIkhGzlOtWCZxNBGQGqQyAnkjjdwFDB454g6kb5rwzT -OoqhsH8sgK6m3esB5x1AoG8rqrfz0WpBNbJ/MGx5foFz1/NNwZq2mZXeRvl9ftYvdFmVUdDgRLXp -ICKz+goUZVFjs2E35qHB7rWCVsidcTyL+dTFhXjFvHJ2O1cfiwdlXlZcOrDO1LwcPcbLpOTlkn2Z -Uzuv/0a9qnq6Vi6ER444sVHudZwQ5dJMpIP48xM1sr+8Vs6ZH72nArjqjASITLg5dHeHKktPpGUS -Sv/+rMqTE+frAPIbRs9nN4A7iiGKAqbS704r+IucFJ9ZTVvcPdkXjvCM0bZse3zObW3qE/D/KZ+4 -4GurSEh18tcxP2KhgxPCMB+TeH/DbIBl52bT0ADARFwFf0xxGjARuoTaa9H2ZceoBSVRUjkuYBh7 -GHSbHed4O3PpOdcIQvWCh/xVfkXFlCPuV2BIHKS8Xy/HGQ1Y8rTtwyIXwwqFtkeqgplQFArd//ac -EoF9uRRpbMZq1eBQrdr16r8QpAxaO/DpcHNagevInuYUzUO1ciHXo9/AoocovMnMeZrZ9f68Mf+O -EXxoDZ1ZazJmH0bRKiMGaniEOgzu1RHKZLAmNLqAYLZdeVPaJ/ZSJvl1xaw4XzX285IvF6JVGvSX -CLoU94N8h9q1ZB4CZ+N8TVSL3iUaHVXWHj+c0or74recv9GfiWbxVTVaZT4uutQXhgGNKGeFfX/8 -UvXKEKWBqlKRb/9hsNvqXCe0hE91dnpRkKMCfKg/NY1gZI5UtM7UIzDyA8BJSSqB+XfB1De/ruRi -0+6O1t83NGiDPjdsBECUy6dyOxwvsTOBDjM/6kJlbJ7sGRRvZo7jhx+y6aJ+qf1zPoA0QTuwD1p3 -cEBRnK9B+7KGolsFbakW7DKnibWfyMsS5f1WsOhvqqIy2rDoUnjHy5/vzq9iI7VO19TwjYXriali -W+cURS54TfxHMgwou2tyTYLLiOu8oSUdrDr/N/aeVW9S1/DEzMNKRvJCHj6c6znex0B7tT2VyIhT -sVkFKeEIuhIXEnqUo2bwZKgeC7xPl6wVM40R/ik0gyH6bQbgPM6IhiHgDdljX6E7EHnfkL8JhciY -k3RjMb9LZP1IQCPeli6+eLQ3JLs7HRTaldmoJyLnc9fh3xqxQloweficcimcohlANK3pL4lieHu8 -tlLcWj1lxdE3CnVQUVPFskviTFAhczdk/DKaPxl2l1OyeQErkMX+9JaFtQSQHefhQWd/3Ir4GB1+ -QPm+JZiCCnNq913hZ0OdFS6FeNypIb2I9EDtSPfvAXbvbfLoKRHN2rFyoNinuow7RO7wvBoP1ig0 -p8+BaQNJxLG4QXWBXbIzbVPNliXul9Z8lfwMSvYQ/4ov8K3NJVFA4DpiHWdPKKsdd13b65ZEsVoe -i7BgNVs75DQfQwl42nK11MeahlP8VXvJ48Hi4t65EoGlJ9CwdMWyAu3jyKOYoeJswpjflPfPwLj0 -fkaeAbRXgOdK93hBRjqkxM2VVlH8IpNMg/Rgkis+xYWzKrTfSzAJE6F43T3hd8fWdvBolw6o0Qs5 -WtRqWzmC9wbL7rQHOu+O66vTVLusK2eBkOFduVB1wC3ZI9xHgYJG5MRWTw34gU1gpFkPdHcljTmX -KYMDgqhqfIe6NQkojahKodWYXDMCbjGOic94rY7eCmkyiA6UciLeasBMwl/XxNJlKZWHu9CTOR1Q -qSd6MkzyoSAygbgQcniKidpo6WwJMBB5STwTmy/ry0Pg87FG1I5mtuhc8k8K018kTTm7n7YHxuqI -czvJ+ZdBf7+5Y0zvKaaOfdbaTNf/UKCzs7tXpgfXkUwdIXIFrhiim7CvJRKNM1Jk3XgurQGFlWCP -PlCgcSTocpm6yw+ay5DiQeHA33ZK1yWS/B9rUUzIGevuufKvQbk2nEZxWDXGEjI7nL1OQ8oI9Idc -SH8kDz927vS3ccedrcAa9/EwBb5cmWKpVlLZB2P5d4r+5LJWnFQG026Txda3/UpTEtH3x723yPyW -RlAWUEFKtbp+IaYZp2bQW+/aovuAp4BGwEmHgMLluNlcfD0MUYEZGM4FTdgrohwGHvJ8aCMiArs2 -i7xJMXM8sKRaRdLALD0bh8HlmFE9+1h2GutK7Mgf/kzSP7vvEby297YEXiS6sgLQC2Y5rJ2IKcml -q24FKtbs2s3EA0OhHXBimU5Q/ShHNcLiLI3l5Kf+4DMcVxv2naRFh3N8VBaRbnd6MzE/IZt7q0V0 -6Dz1rc2EWPCDtvARUIRxc6jGVAuk6wEq7NQAUKV675w61B+DTvXePq8asaECRDPHJk9e27VCPI8i -Jd8vWImufgPaSXSYAT9KCMHFaMhs9FuT9Mi1q8x7/se8Q1RrVIVOOZxmsf0zvOA4gqHTWfi78X3S -mEMkReMdlIehEdUvdFhwHJmjHQ7isJOXrij3QTGIPRBBdFlPdmzhMulYyHI8ADZ9Wri541L1fe1L -6Z9dVKT6NQFs2JuLhQuJ07qRYzJXTb6upB3m1k+B1rMHzXFinF+IJoVQeQ7sjwVNwgrEgV5oofql -0mr0ifsMHNmwMLVM4RfRlHuquYSU9eVbNL3HKj1r0l0GNChNrnUnWLFyevfjWmhRrpYyk8Sapa7y -S6HYR7mqTIJFMQF/ui4loFfQ/57Fo8FDS43+bY/4MdOYHWRkpoZhzbALxJ4QwWVYTptHzdF9XJjT -aiD8YfQ5iWT0TJetVysTnXFKN1FUFycY+mVO5A3Oye23yAtLsjSeYCeEYPqMWVqi1vEAHDbmnGy0 -jRW9Rk496A1KFHxeOhsqk3M2gBAufx3v3JyaFz5yDWQGwYsJ5sXaC7s0JqMJ3GhMSeCag46foRzO -0RZWZIQxYNGUN8uTIRsdzTG+ycnWJOwCdcipwomh2k2Sx8Mhdn2qMZgkBMM5d0LPW+OX7J3rngbm -0VgbcXx8pNnWW+zBi7ojzGsMmRaMNaeUQxS8F1Y2ybQgVb8txqh4/0VvEultHuF9KineEGSRIlIE -4Hm7uYaWiFLr0jqXPyRofN0RdJowzbXCQoJGmj1D2ZaSJjkrMWralKEpPEQcL4kU4sBgsXU+S0oj -zzR3FsiFvy5IYDIfjiZxRdlvX5tMXnIwIZPytbjMP+s2pJzJbF+rrEkkc51Qef3bPmWTVED+9F/v -wYuZSlGs9/AgmE55ibkNWYi6HfMcXYovwRg5N/Wu2/yEZUEShxTZTF+85EXNB1Eb5hAhGVZ4Ka0V -j2eDpnOuewKSgOMXtWSzpwofK+X5kRXhHFh80tIJfcXVxOrFWSYGPHHZHefg2koWoFqmDFl1rK+x -LCog2eV8t1kplIhOnITFZi3RjhYiVMfZs4No8eG7LjnIFc/ezjb5hNyETuNjH/umfoGU9IklZrkQ -ihTqMl/Gs+Xx3ifMxh+RKHv+h9nq7DhRMeBgwL4g2K8PYcgnWScS34WQt8gnDBpYwdyGj1YrNXL4 -kPmziju2LXsRRfmMWTZ62eIrJfyH1qXvVRh3kUP2cGZRK7E/Ch4U61l2JQ5JFx+dw8Md5b41RvpN -E6wGeLy4d8vLprNZZvMKy6LE92uZ0RvHirpkGx5FmMEaXwej2cB1inOm9dcSojfGPcboVTOxOfLc -3EvKLFcutyY8o68/1/VHJ5YyYn7q/fpI+CGRZ9pXMonJ0sPg1QzE8ooBGBYCqNQH0WVJgZKdJIqK -CKY5RgewMiMnbCCqJy9VK0Ugg5V8jcaWi+jclOt6CebwAbZzg3jYlgwlC+euFFD1T/E4ShAvRbGY -F3dtb5dRpS6mt/g3ewpFGKIVtkRIKejlx7r/RHJ2PyWb5dTL2mVXV4w97RQPP/IKSAGWlSTn3X/o -3+TAizz57VfSL1pO2xXOy9Jn7QPDKEqehQ+uMkto+w8q2885rxE5r6N7YEJk4aJgMgPybBKIv9nW -Ragg1N47+Dk5abqQItHqBJMDPF+N6svK2xbq9Sp8jpshtKS01dWwpanv+Z/QHreP9ye64u1NVMA+ -dW/x5Mde5HGAwxLWPM9UMj8ea1qPQxBwO41YXaRhpfUHc4q6fpPDT2hJUvtOJYN4c5uu9WpX9OMX -NrtOLfzwOhbKgYr55hthWStDjsRKGWe8RF9mDqKc4le5q9+VkiKcjfgSbIP/pP+OGs5G7WfHwTHg -sG1BhW6IDHWBGrFceMXz450CQ0JcmwsGE3O1caYL7ZJ/AxfgH6x5ZEWfM6xkWj3OrYXFwIoWJNBy -FbtfBJGaMnQ8mVV78ECR7auxZbvooWNZOPpjEoO+lNVfpn7XneSMBPDTv+ylsg8KlgxwJYuLQLj/ -Am3dLkuvLX+fyhi6vaLCg30yNe/hMeozk6I1q5X0/RscydF370NqXXwaZaisGi24k7tOPD+dlZI2 -a860XK/GRxlaqWOroBPhZvXMmLpmO3oyHJ2kJ/3xaY3CCke3rbl0lqVdl7Q/x2eFqfW1d7aDjkGB -ylhz9/ImKi/uBgUq1i1cSxNham8j5VnkpNDOp1pMCzUgsAc3xOZljRPUkgOvwUkdkhtgo9SvQG9Z -W5XUJ04EV5Oy17hTJ1TlIxuZ3V5J00bjtaKhw3lZy9T2iBHnh4FSAOZW+fy8yNfQ9qOaYp2iZ0VS -+eobWmOvMKVpbHo5NDT7ZzVwuAb1CDljLqv5RrwQsxcdXaK6hhJKOvJPS0cy5dzPna/KnzkcVi3o -T6fs3jjyPLtqdZV2xcrfEWYSdIljPW+fc/JkdzEY/K2nUzstbLyxn7al0lej6Wdi3zU3o+BzRRYu -cn+pdmBXorFVxR6Tq2aIDTTvQDEmZVFvbD4W8CigurNDbz9P39lynZi8HSsmJ2x81Tv5+fbkK8BH -sbNXZw9Xe+65Hd0YeFy+CpeFQptuUQr7QlVhCnsn8dOQ2tz2JnEmmcW9svA6ctXXiVAl+O4SMdJz -Jn/nkQ+Ica3vzFpLuBIJS11ylJTTv2Y27BfzH56i8obZCUug9EsgEMu+VN7rjDhEzMQI+1yVc1wN -yrMQdlicM6dF+svWEXbzWlYU1B6q2CXlBbTbBvWaQROmiT9TEG4r0ZW/L/+RWb0UJ9JBgW/wMJZA -kXtslBf2vYkLHyAKvp9vOeD8FzBTe5p1zZP5ewkMHbl0vXye4neNbUs05x0cvoyM/bsETBtmVxGP -aDYAsfA53OvYLyPNmdNDXh31e3tLAsGA8L0BkYRMzFXiSdgW/zbdLRgzRyGEFkGwk+zFM4kmcVaZ -LWUjuFL2dFKXQmsemWH/1yf3dOLFFo8UjXauRtOr66GmeKedeShIHa4BWv0jRIYS8Amuvo3/Q7ZC -f0+OjZ4b6JLZ4jcypSP//CcozvzMwEFcVkS3A5XOSdLgBLADqFSYSndAcf2gPEhTkGKLi+cr0o+u -zBwU/4ktF26XaUKLlIef4P4BrdZJYll5wT3M4U3UimpHOfmrQG08sYT0nDYS5rbD/+KTel2cFsnC -X63hrCmy2jSqrAw+u754h0G09u+03OzChrTSrSif2V1cMqG/HHVUYoz0+OFbC8+jkMK5OLRaxVem -z/ZqJohgkCf+M1vtB+ffPVrs1P2iXZ6Oe7N3MGtne2sq3CcE/vB+R/S+H87JX1/52CNSPE7hthyE -KvMPE/gRPK+9QOCl4IaXqPQnDoxsSgiJy8GitxlX3L56W2Z9BsyoqKJMrLoZMTIXpt0jUMBgkXgD -o4Rtpe5E0LX2fS/2FZW+3ZrXHT6/ZRoi3IF/GDwci8+2WDJSq+s/6uqmryTnzEMyc+xXnS8ANs5t -uyFsfW2bmO61f4fZEyPPdGG9jRLBgsRtKv2ti7jNSe5emH1+UqJIuSrLU262015GgroKxrScKhmS -Sk8u3iF0WDzNDhrUICve1r2B5rxpcuGKPvnaT91OejcEGkBraPwXPg9nfbMuQcLMG5+/zKGpiq14 -RYzkXfkn3kadf+/PX6Duepe9XbXXblVv5N4rUSW62eG3yxsP8yZAsmtarmjZk1aY+DB6B4ms5krw -TlOWHxFCcC5nKSETcoD5qxkJQ8j0mclZlaViDm4K6YhIp0F/8lwzDdBS9i3dj/uE78PAuMZ7c610 -MOEJsH4v6VV5oHjdR9owEIw5QfHXsRjpAUl5Z6Lv6gtPuj3G8d6CABGYLrQSnKlq/mUo7IltzlcO -MTtnuHTSeJlRSD1mcTlSG9kmvivdO5QWRzxQ6OKQf8/6BvRj54bXnkNb21hhPmsErWK38ZkQm7Xp -/f7NwW9SLWmf/dDCs9Sx78KES0ajaGhRv209guz0EYbml6sBfb91E2lr0gdJp5lcVRa6mrKxkVV2 -PTatnrX0I8q66Rgia96Iic9fvsdtcH+hf6xx9AJHOBtJWULXgcuAsSWY9ucJ+wFzK0OApX18ThoJ -QbtCV1cKP6YtU7VI4GuTRaPJkGGBMY+XHvz/wWbYrRBF8XzABZYWL1yUMhHvC0fmwu5SIYCFwJCI -oY0WHbRXwEO6JK3C3Puu2Eo4EhrRk0UQDMt5b5thiT6pioie/PUryNHfmM/KeBcKDUjOTyyOvP+I -bssZqGAOufLRNRVbfSNMRoME2JdZR5eX8uRkBJjR7HRWcwR7urhJHRTDND6xjlbSUaCvOa8QwR/n -eMQnb9AXTlAY5z/g5Kn0flzldVgwvVN4qw7/iWepgssCOwCcA9ZT53sXd9iyqf9H7436x4gGKwsW -54zlrAHzDKC8PefH7LEvN3mXnaenjpr6Q/O0VFYCZdc9KNRyGp//tBE+KSMgnRidaI3XUsHkgUny -OCsc14PBeBqSRqZf6m9bgXpQ/re4XfwnhrlJoiGKsqsAmnI0SDF9hJuAAnWpzdb3sqROcM18EmTH -nk6HxUDm0ysxxRlIRL0oeS72/SKtPUpXBBi4RFgN+Aqvvh4bPMRHcmTAz9hX/E6GmGBIbCThvCdy -O/NLEVVP4AD2kPHA2SqHOH5h/zo26HxxGwCTxfwv1Z4YFz9o8K3wwI3rgrQl38hot3fUGsJ8gEpZ -DGajiZkIJoyHVO7ehvgCwL1M3OG0zHP6R/rbzpRFm9TYOGId/UPDaj6HHeazwhXC+SOrBE4bk4l6 -2A38HeNvWxcxpLBuWfohQy/FI87zeNaNbb9ahnK5EFeJ2hALvJEBDcOoVZvn0wH8PpSGpE2DNAXE -xLD+5aZf6PkdAlVC3zrblyFk+yqZsnmgf/NsZel6v0kwcfmSZCzg6qUpjZESHry5ZN8JH8VigbsQ -mZ4YadY5NsKZ+GNJVlyMwJ2BKmDb6XpCZoYEsyNP0FzFwNxvsILKVuDzPrkW12iTjLe5sbJL/mEZ -QjN+qeAmtr+AtJxl9rDmxfM/lsz99mBpVnJUOi096beB3Id2Czcy60dDYafXxiSeZ+slKiRZWFpH -iNqOjpRLjncyzF11GPM7Qj3BRl4rv2XGpXVZtWHLUODnlQ5OmkDvcxuVWfSB63YI1H41dVK3b1eL -ez5Hk8uiRGtm9tKmE76W5adwIkPh21Y9gs6rL22aJYtAqtTCMUfsAnPncw2ubwidQYX+zhbOiH4X -dYzpWkWMJ0xWR/SCl0G23zLt5robkkM0gNSqPFFot1ruNMtUwh2B9Q9rsPltsEcU7JZvILIN6xB3 -i2rjASKbyHZt4EUIFq/r5dplHkLgZiEexv+xLSfphr/LT2zhFre/HrR786/Xyi0IYGEC2772Md7l -bLToWqE39diwOMxdeHPGywSqGqnenoAumzYH3JTbsztfCsuP1ld+3oCs5hQ4O3OJZm992+lxqrZt -QkdwESLLlBNW2YFG12+fPO7Cz7f7Ok2Vhk+Ti8j3CBHhX8TeUj0kDkcLZD+INH0XjcJ6DdOtldAI -J0M3FNDdtGXLsWHY1LuCm88jjWy4+HZHo3faBJHMqWMtVSFYW7AhB7LdDqZPHZxGyTRSwvFx1ZrR -HbzxCs1EauJBVkyG4OeSipI9iT14e/WKD7bqDCvqDKBHuOYog6eDzyaC7U52sY0TuWRKDSM3l6hh -90MfiAIbcj3bg7qUE9IHT4fjl9Js3UxHU0q9b3Wq8K3GaBrKd36PdEk5zk2JsUOvb5Wdb/ewJamZ -+gbu3EuQknb0mpiTvDgOyb1o+l8gkNx5AYG6vzniwY+BbXc2Wg3/P0t9MF6BkfK90GHPbcCkTOpq -Oo9TROOxyU/TwiMXAA9AtD5beZabjuxq/JNwXA2lir7tgLJLsvRMpWF2T0aZGm5oyZcuA8fUDx6V -MmhO9XS5phZezmtsdE+LxdSK4DZhe8R/2hKJmhsZVlWvd7778qcuzvhjGGG6d00K2Z8n8Spm5cjP -rnlO8IBjAQGZUKUrLlCkvWJ9/zpOQboNyfds21fz1vdatR7JJ/uUL1DqbgoZrYum38Mx8n4PsKGl -vnqgf3X4Sdv9eB2QsfG8b2K5sqmJhwdjbZ5BChzOl2LVK0U3mnu0X4krnLtYL5/ItL6K+t5IVOML -e6/ypN/hrZH9FBsXYe66jz6W3BxLEZkFpxRirRdCXZDmYX1YTcQx2mezxa0576IDtXD2dEeLZQlk -jVEBAynnlYbDXCWIb/R8s5Z5YmwyCPKpbfnKgsWduvr2B18ln/OhH/Aw80MPzngwT58Ws5K1g+Sc -1pH6wvaTMJrFbtqD6djtF71yvXbxFGBZnMhTwvJcf7URayv2WPqVHBrLXKA8ZjlxIePW9qfX04MD -Jn7P759crlqw4IkWObCGbSEe8WXsfzxtrBiQgGVDJU5T2OT1YnnBycLwbCuW/s8PY6vJnUK1Wh2Q -FLbdrTg3YSYpDeRFKeIgPgy5tMV2uEj9vJ5GiKv8pqL7KTQXHJ9MzzqbLwtyO0IqoKAkwlqQi0EC -1bamWStGIJy4TQjzGN2YcM8qtx29TguPXG6G/TYxs5P3N7AnOhk0MwFOcScfLUBlNfF3oKykIUn0 -y33g6Y7HTyCANevMjuw/rxNuMiojGmFcZvnQk11k/bJI76a8DQil1n+BvtRIUPIGL2E3xHajBGmH -bSsT7PfIWOJ5rNpgoqg1neEagJWh+rGLnIKMhrQO9ddqTV8GT2Kw/JjzJBo562Bh8sUj1wwjrrdI -tDEPz7Gk9dH5T/0OlWm0Mq16IyIXYxU5quHaHuGc8xNSWUG37tbmsedugiWmOxkEXdYCQi/BOFXZ -sXGukzd3d20eEddNcJJCg8Kz6n0mJhdwACS2qj5Y19CQEfcEDR5XBcSMiolTNB+uRnDT5L6XskaZ -9bujjolW6bP1vEEXyRAFOutMgS/p2+66gdfe8nNLV1OW9IMIviBT1TWPCsTfKQvA++UYtiYgoUb9 -rgH7YFgZMy1y2ja/5a7RX2sHlLgujh/hsxkRN8li1e7XLuSOByiXlWs5gnSHTkKzsvJadKo387sY -7BbBM/vsOZwsUgO3cOS6pH68at6b0bLb37hTp62Xb0DKMxUcZO/GAX1ewV8kqetyvl70dRlaen6z -lC1NTt4uy1noRtJfdkbHibPJ6lutOTZgUpuw5U66O2JooQyyY23IG3sD5G21AEMdDkRQv5PGGQYe -kuIW8CTidLTNMqy4Rs5WAKdvr4i0cLsDi3/cG84U6UD9ssP8VoLnOdO2/X2Hmm525eGTx4Tbce23 -ilfF7I2kWXhGQZjVDMrVl4rwW9o1h+sjDxm1+6UQMTh9qmUVjnm97GCWqU96dwGQ1ZJ4PTrpv9io -jZovl1Kmgak4zmjQlNPW1hI55ffIobW39GaJsQRWdt2yv5tCVlS29p1vDIfEuO6tVqg67u9vbVkd -W1+e41hKG7UjT8jcLfey5eKNexdZpEp46TCLi32kmNys8PMIhiGlwrQ3LUN2xGrgcovVl7LmmS8n -+XoRXWa3m9+9/aUy9CnT68Z/KU9srjDolowj9v7r+YpcScA76Ebt26ksknQyuoCzfdveHtRRWCwV -IAXLDL72A/wauvDihM03LjvKADKJPphokv0fNywHJGMenMlCpJrSxbt2SOQANktKK6k2+9Nluq7p -CgOKH4l8uxnaHSxNkdX00ZGNNu9gWvpsiLDMJXanH3UBkp6xYhN3Xd81lOsDO2/272u9VYSjEGag -9kAiNTnAVH9HUXmwIr+BIbgNeVgjgBNDiIzyrPZ8RFT79n3NfzR725d+Vr0v3EtHZcNPISuGAyRB -8zUqUXZyJqVjXxBDGzg96usgtvYEdohmlFf1xBCVLuN4cD9QHU/+XjArXiBSDuuq3iM8xNI8ZIMM -xUTyI9gf+GuxJlGLwNQLt7xZNEjYwiy9JnB0w6jOVV7FK8RfSadI727fakTJD0j8HiC1BfUfm6RP -SwEchZ0LK8DfjFPUO4CSvfB7EI4wRwskgbAUC/E+DE8ShdMq+7gSujCNJ01vdeBnnggWDyZbroH0 -exg9gkmyfDbfsLXQHDaR7poaLUDWu4cFrAMXSTTzD7aIIvHL4PvewMZOAuxDGjarQjGyUUcGideC -fz7nQUS44OJ3iO/NWVAZa3EuTdGbrYDsGfMPRlLkPAUcMFrPgGKWB3gsaGQUQeMwwNfBfc4MaqM9 -G5PsLJi9YjvpczsH6LpzuDERgkY2crukGl11tafPMVGrLbNK23wznxQh+sLNq6bbzAqWy2XjjQsu -OSq7zXL0IotAmK91dBikT1ubD2N7XMHzHFhCBdZTUyqkfa8zqGu5H6vg6KlkdNEmM/VyHXsFTHji -tsaE3jX5y51G0KtS9xbdRd7la51ihVSZVzI6tIm9S6eG3AZH1EgDRHEQqLS6sREcf+CYpsgVrh/w -mHE10Guq/sbky22TyBV/+RxUz+WsHGsyX8zUd01uKytiKtUW7ftbB4+L/DU/TXvWPDZ45G686Z6Z -9nLfvSuFypW0hm/TRhZUmCNaqS+eUwL03rPDp4/qa54k6tN9iJllLL5yuAof0ysdQWg3moYArREQ -8XYyhqhu376bOyq9NABCYaBGhIu8yVf4xpaXxB2PG7ucaSfudNtLS2vYvg6Gmq8mnOSsFp+8qEoH -/a46pNkJ86neG+tmHwDVHXrf1vCKvFFtO/J9RyFbtczw7Ek6NDq57Ii2DTyaaThCX3NcZrBhaCzv -3fEYW64a4mvAUSou/1x5Rblw46NMlTWhOXr2PA95d4jTVH6IsLXo/ULqwp8HkDjeapXenOqyTxyI -zUiTmC8zQtMnJHMIu6g982BMg/JMjzXj/ttH99qMaef0FoDnP2cZP7mz8GUONPjOpMQG+pXrwQcl -XWzgmw6xzjDszmyUcGmg8Zo2sfun2hJYHU+iBYzrsoaZSAP2JfhK185AK22SBmaXiOv/OXPlfU84 -6LyVpLZ/G6LOsvXrh5gMkkyHjPt2m0IuGHLkd/qru7MTOLhoELTPmPpwFEVDvyx6ZXwQ/M/bvnqJ -IfBxX71PAcQ1OMPIkRrT7YGPkPYmzzTczhritb+MJMCrEH2xIjcBFtAijSreWYhWKaYp+VaMiOv/ -m67xzX5bRMqyemaXatDW5f0yxjLNqTHf1WMtGr4ozr9NpywFn80VwhWb6T0R/av/ekHH5gEpi2PI -drx5GbvJ+uSsok/DAX+Bk0jxMqnadWoXvX8NWEf9xFTZkpb65hEGsDzZbNs3SDNqhVXdvifCcLma -PBnM5WObY8VE21BpzC6ceFkPhOXXpuWqgYPUs2qwO0DyQJWGwVyaWjppzeaax4ydSd9IVX0MvpGM -XQAjASVGz3lez2PFFfRnCfDpTa+3UPMqBn2777PofxYAJGNoPDBPWd02mV+3IuNrh6wgNxxjYWoc -Z4Wj5LxWVxlWrBpHA9Pod39agnpIYxsdUiZA23ZO42J1ev/mmdMnUfTnUzZlX/0TtLdQ+MX1D6Tu -m4t7HkGi/GLguAN/ZHzqtCUZ46vimmk/PBerUj6UU90LIUcRHHka8KWXIqgiMdGq0O6CYduesCRj -er3EjRYjPIljrMd5LpoZKpRwLyfingByI153wH4tKq2UAg6jUAo4ec9DbVGoo10mqoIzjAnb0qhJ -Ptgd4iIeWJkKeWOqdkT0tYrGQ6jIi5zIAiEofdloUX1fkj+Fpho1Qx3vBYJONRm7BhevE0F5Ppzj -4SENFWUAKr4zg8PyeXqvUAICOMc6eIJ4JJtcZMIXZzbzjdSUBUoj7FgY5y8/CnBWrI80lPcNGVY1 -AnT1rgalPatul89GsE/sFf0aw5k6rda93mNfTa+SxuX7gW+Rf2wexQq066kwgmQH8j7ui+5+a1Q9 -ZhCnSadzueh76fm7H14MHcmLrvr1xBOKekXOyJZwjmuH1/ufjb1ILuC8PFpk03Sc6ZWgelIoQNVY -4kWepWsxBn21kxhpWjeSjqnY1gMT1OHGTkRTpju5vDfHjRqJYtyJYd9w0C7R9QkfrK7aRThbUD9q -kXut2+F6S2t07NyW8RcOkVFQylLBlBO0a/DH0LSA9algJzWGOo6O3nTxwM3m0q2b6Hx6nzL2aYA6 -KFrYksZUyh3tHVFrqO8IYStHZQtlBRp3mAZv4Vr1DgzF0Bp04heL+Gl4L4a9cgs43MFojpQxAPKb -PuVs7r4bPiMbYNpca7oCiU+ovZ5bhc2t0RyjxLAE+vPL3q3H6U+aNUul5g2Wuc/maeuKTnb28tn2 -nSGXd5TjsImmWJRrXXJ0E2glS/Yp+I/A80xqnX9yY9P0/MaMfnom2l5G7zim4mwH7zzYxBZiFJ6v -ULyfhuSwvAJiFgT5wx8NpdcIVor1n0jzoYmMf1h9UX/PnvThA7sKyGCHMp1VRTn+VKPujxRjwm9k -UQ75ODdtESkwtmk9ugr/zgpnsHPcMXC1dDEtXI79IPAyVLpz/eRd4zVtQSENh2ZfSRWrveiI42LG -y72JVh34VK5RZZ6Jxq9qwRMa8t0cVk3UcA/j9J269hPL4oteD7eljRNB4OCf4pQ05qB4DvWcnnpo -CV2jmXZI7+c1zkLC3QitMGQlBOJ9RA784VnliYX4bknCA4An9OMDTWBRBNA3b7f5qOVCaXsBWtdn -3W8gYZjkUKP5NmUdXHhIIt64IMjJ57pReUFDUUk72jo6Us0WcdI+jx25d2wxAGmkiRiWquMqi8ZK -gR7txT+sw4i7gzQvtsEyp3XL083y5cjOoMnfLgWqH1DiBccKJDk88zMFu/DNyZ7kTrjlHGp299EJ -4/LxxYBYjsCK+bzOLWyz8Wp/6mRngi8TiLTNgIAAeO1+PkREIUN2NIN5v0WIZGVlZoWW1t7Pd/lp -ExJo2EpcPcjkx7UNBoRyD1GM35/vi6FjY8mmBPiTs5+J+XnXcyRms3P7fucYen0/nqhLv+v7P7l3 -uOIzF/S6hFVETZLFgu/TMTQqEKEDlOVPdhKIqTnsAvTD883Hgz/sFHoZ3OzAt9j2gIi4DNsKLt8J -G+NH7bf0kEEX8cZyurkwef1MPH5D1TMbjWPKJwyCUZhzCDZZ+CgqYPAD/kCYJqimwZ/dDQqABe3r -oBT/fIXOyceK6D7HOXL5AKpYHeFvtlZB+UzByEUFdwh67OiaTdQ2arOpyr/2iPgoObtnGKKjugpv -SMVIH0r9kQo1jP7BZDv2u2BQuWWPi7JDQbsmLetQ8sXHINREhAM2uXoBBkNY5fajBcqI9E2nmb71 -B4FzEJTRFUUuKKobNDbePLI+b7E7gSevMng8Y1SYZnL1wJs0xBoFMu89iX/2gIavNO5P7zRC/VD4 -pOPgJ+C0WKS5vt5LWEfG0DrMm4DwG5IWQ0UZifFOrUJj87U2vemon0V19lANl9ACrRnGFh50crg1 -SJA0a0vu10zaGisFlNhKmXieuB889sBkRof33rjRLw2vvGqMe+zvFSEBL/dQWRWjKn8nd2Br2lZD -sKDJs924bGDYArr8K0RIyg2M/NLW1lfS10vutN6ZWRvy6N9cDryl+/XNYf4UjtwBEkJHpB+tEXh0 -Gw6oyu2ajjIzeamkuW4+GKxop9xxgkLSMH/oTzxv2HmOB0LikqAZJnFLfOCJe1goY0m/NvBMKZpK -unOUs/LnSj6Hdeu7zV1Sv9tWNczzW4K+GKTnV4P8kq44I9AknkafDVaQAfFuSmhX5EBbfvT8xQQd -9seaVfblNC/YGFcwH8lEhpTUttatyfTcrGd9g9i+JZt/fzs2QLcdKMDTGfDwf/T8kQPagiLy70kf -78b8SV6uqRjLAh7NGuva8Kc5nl0wfWaTUrSTHU0Z1QDQWm5WoKtot81azk6beWIARogZ4WWq4IOO -4e8T7LSOKivoed0jaxCpVXGjXMSMgZhVwITN6WTt2wZ2ANLs28tqSOjPayOaqHKK/vGjrHR7+qC7 -XqS9F34Zj0KFKGFiiFcVhh8RLA3yFVQwoscTvqhif95JLHm09/1lnPv8Rbt3S89+s2HZAjQCh/x9 -Eovd1waXa1cg97FIvzgFnoKL6aCC0eXzXFg2ceVlvkw0P75WEW8ivxv34DfAh1TzI+/X4VCbls6x -c0uTAOFquMc8rAecPpzGh6h3loEz129NRuH/kxbVl/i2r6LIRb1NMSP2AUNpYNd+5xQ23i1yn31T -WTNsGqHrfxjk/s+vj/Mro7bKKEvTDl94+RW/uvzNNxRAE+YpzXSYPygbESXVJamZBoo9b5fdZ9xY -StKskw56aZojb2hNy5ztg3CqBGgEKLsDKXIG3fV87FSrM14xznWl5jjqEjtCXFvDHGchL2ooMXsa -tTgCArN3SgLxvprmgQ6xO5ZYZ/wJDJ1bMrakaJW0lRidCdz/FqA7R7M/OGCqUTPWIjrw0/XvVChZ -G7v4AREgWTBnR3jDuIO9Pwr6ApzvEbAWG1M5NgVvUoreHf4OatNe2l0xaqNg9ZSP6xHg7TvmIWCB -6kfCPhlCJZHAYoiXQOqzXRLDbQRm5dCjKbgco+H/0rU70ixQZne7zJZbZ7+6g89B2HpZ75iLw1JX -rt84nT/HBmQxn+9GPhY39PKz3n/EWUmDdbVlcS6WV0Sbb1Bdi3WS28rTXqCYRDZ3vZajZr4sn0ON -M8PCDSy9fIf/aGpm0460169Lkd/iOcQiynWFL4mXHObvuTLmfDJJx1qH8Jg/fp5qpKx3XhhP9/9+ -F+4F0QlT+6+ZK2ZCxnuUcSwib9smIqvqN0B2QWxJXpurj522jrOhGOgPRgBcqEjw1GRHXG/Zrz2J -y1dCA7L69MIWFsOttFWqldfgiDjMc4O9KgGYkh3fz0X7llkmL0AE2QHSHQguLO3q/IbtR9eCt/WG -CmOf8E1QqoybmnVFnGLdlEoB1+BRv67E5iUAx6MF2RQmgoAAitY7rzpp1KRrtiQLWcLubmM03FbX -P6Ec5XX5svCMStuucJnzMtc0eqEjZhCdaqhxFkdFFl3TyM3vGaxbIkQ3nCkCiOO8wQ/PX0B9ZYmP -Qdfym2sMRa2vx5ikPwtFHXgcHUbRUdfFUke6kBAui1G1M3ROmXxRHmWjv+IA9g1lmownAH9G1rEG -yIGb2zFUbu+Bs6hF5GfgigzEoG4QmhuHtcpAO4lu1zbzqiQILH9ZLYJmdnC8htFxbWnypZvzXE9l -Q3oTh3y5Ttlz0OYEJosZf904T+s0xq+gGqmGZ+60+XZ1RjSG4stcjuKK2HMUxYqBpTCSVfzfNJpa -ZBI5zU+Z9iTFSLQsRTi7yAfm1XDZO6ftNJ1pbVVwoNNTghfRu03JPfRXyrN1/WJZaebtWfJbLVBt -/tavvhW2ZNOnEE4KCRC1YZRESh6DOjviVZDnLyjl15XazcBuXL/XGHmqncC3ykARraM4HNPskzJX -lXDVsnJXZZVeUMFtK+ZsQnTWfyCz0w1lXKhkThCmwjMj99DF3xTfqKux4y97JSA5bT1cpAsr1XrQ -P+dwa2av5ro9AnEVfTMls2oZHRk+OJJYb9824yrV2+LeHsXB2w7vDaj/M3dGSDH5hWhqNSN3ikiy -tKEWwICG2IHdGycJstSyPxB3VALLRqaZrszRB3JXUNFEaoYQ++WCvr5gKhk7mQrAuvpEai6+LGVh -4nJgiFZgK9Kq20GBuh6T6k/TJw0NA5CHt80tHSk0R1y63q0Z3Oe3VNDYCQsCR1ivmSWDf3Qr0HF8 -B+HqVO/wzrNYn7VFBjxESECXkpzYyHTKvjtACJeAEiMYfZIreXhNk2gjL09h1q0tudFWVAkxSaV+ -ZH0gOC8mAB/b06cJsRv/NeVm2pykCikcBGCMB5U1LkfsEGpE8HWFFE2xrN29bVFuFMkZqTCwhG+6 -itY0uzsdemr9G/Auw7xIDUEK7qmGspgZ7/SFgcHMTeI8pUA30wCmacQoZfnHeYQMNjmo2ZO5j68I -nLOXbXz4RuYww2MoQCCkPqBNMMSGSLPoi1O73jWkB20o8JHxNYnKtQDT2G3dubZnZo/nSZy0HnYH -9TztGbMFSYVoVdRQhpze5+4ohwlblbGjvhHqCyZRu6CX/3oClIph5X4Lc/71oMOUJht7iTDnoV0F -Gcucrfk9TItbZRUXA/GLBezh2snffBelJrKOhVv0cXEpeA/LFyU3bPVwtJ/+K3YTi990aykDaPRk -HasN8jUKxVvMBNSSrFavJyRLPvAL00/Oo9jRtqgtfMzt8XJn7GWyMEcZSObJd89XDgeva7lY/lvw -BCX3ePQhjyj/xRdg9IZqX8r2i+9dKu9oz+8VbfbYFf6wLsWTQwxwRZGbVTS7q9v4D1vFouMrt7Ko -GaI6iEEP2sPEtKtEZhkjoC/w7PB9eUhFQ2PfZOLhhjx3XrOefxJD4c6fKE5kvYJ7XvoUikqyqBsL -Ye50MpvGVE0a6TERV/4q/zb1ULTdB9JzR71IHhBHVeadd+l6T/GM8NNIyW4BVwx4gjiYNHmlaJ6j -5FoRNRAAfNSJnxV0n5fGICpq45IdypYPLNpwxvbVsAS+zPWmwU0HrUeiTEkNK38//XjTgjAXNX7Z -VJ0q+SCiy6XCAK/BXLWw0AV2A5a+XUV/M9SPg/SwOhi+6ZGRWfb+bibaYlXeDLQdBxKh2TnLy/o4 -ZKqDE0bBv+ZB/knf9HKJp2wBixAy77ZvX7zFLMnFLlUP92O5iIfCdTBYocfI97KRKrAVihCXynUE -RT0/5wfudoZFgc4v0Ywbzu8uCZOHwz4NLvTCBRyfFh+UA4VduUp1VCMXrKiH4uvrZUWoVhkhvpJD -tKToYSeI+jnj/NRCa+3a5T2N8yo8ciOsmzZjYiYZ/vaodKf0NGBdoc6Ie6THnXy6IC1NlbWC2916 -cNX4IH4DVLP9Y2UauWh4efaF63mz+x3M60z8RH8/risaj08eUxntoqiV8laRXvAbCqQv0yFvx08l -/ma7uicV4jjBwuj9/rjb9ZHSr9+OPKqfIbaKiouK0uyZQMMfaJuKKKvJ54ek+0vfJuuUZHdVxUmB -4K9aOqr8pYcQ5BmgO49gXhXa4v7pIQOASv+0xgOQkY3AZRw2j+drW2Na3wslK0rUBEl3CXxnfGdq -Kp9byAf816/OTOcdh0sgY6ZKet16F6C8J9nt+krk5TzCivA+NLQevy2ErXgfNtScxG/GlhYoYAUO -mWXLLSKN9FPycRTmlfdSl4/UBhZHlZjt8yB441/E3v1K7pFasGh74Xs3/y97AIsyt1+PyZD/gjHv -QB/PokKNvhgUF09vtyb5I3+7m1lWwLl0gFq/0EtnUfCA3mgRqK0rvfEEZk9KG8tAAX/525YYe/rZ -gGI3lLRcoY9v+ffeUmdiMacHCd+kqGixrrMlm2FVzndUXcqxtk1OaWgHU6vFUTwjaXlnniWbQJCO -NWxcWvUuUPnw2fpsN7vuWbYDO+eEh5r3+iLn2rcGs0ELPwM8peDmZYRctX4XI/pyZ49bj0P1rIV/ -qUANwumvmkfPqN180uslcGNFKQs3iU9z1e5HhXsvD3+Jmy5Bt4GxC9b/MI3nL8vH46Ty+xn2gGwH -j5W8noI10Ws5wdMjPUaIGBIBxyRvfGDMPAKWjjAI/o0rzxGHDjNF1MpDUhpkoRyXh1zXJocdQPP8 -/SIKwRYizi6PhcNxt2IPepGFl9XnY3cZMJ2p+PfV+iVNb4jvZDNj5On7+WXLAXPaHwhrWNwBLg9s -sBiDlt/MdefflabY3cXE8c244z0lPpcZRL3F5FGMV75M8ukvlWhDSfwlD0XpAoHiaGUuWt28MNOt -v4RefipoCOsKMvsp6dEHM0HEf+LbaJXLb7z46o8PXfyoUBylhuU45Z2K4H9Z/kKHx/bTDuVtaS/q -z62EW1jMmIKbmzLL6vkAnLWTvJ7q/o4MtuFkqgRqkgKQIKRwui0U0B3SeYgXX81TYFQPuXloAPYW -YPXJ5p5KBW37mAzNgO6GTeWpSVGYQkLtkmu4fTTMup6jPoPgZvM9ai5BFrxf1gTPkTcnvJVL/WVX -/PDgjuewnApdrJZOQNX1Wo18jHYRwNdo92LGKyuxbuaLWBcNcA0mwOiGhRU8T2Cz/F5W+ghm4aoe -QK/WHGvHq57mPjbOSHm6FEsgGgQGFJctgcy+IcWuyx9LCrggPdCkDUGJeWbMHyDLMWaglvgvpuMJ -TuBbv77eQ2aUQdvMrbe3VA1ceuYG2adHaSB3Cj4zJ6ZD3WHqVYUFQTCREjO2Fpy8coU9De+C3lSu -ah83oAuaQDxLYYGt3bKEuXw0ipShD/JZoCWNE8Xstp1wEOl/ET3k/55PHGoiyQ96OJ3lrYv93VdF -+1divEaPDvNSd/LGlxqH0yfvlTn0u3Cdc1RN8PEsXzKJtTtkv+6QTWySa0NGkkViyZ9btRp5E7WV -ZyFl8nA4VsYAd9dpZb6FNvjtcyEDSuxAuTl3BVjCOdkQU8oXUU0ZAK7m/FzU9WcC7uJDMkeMHT6U -ry6eUHlAX87i4D1B9iiwisBsJKC2rWhg4wg8jmrV8YZk9aGnl3oqcixdF3676e503mfeIcGdf0ph -FQrq3dtCSpXvi/5gZvzvRuShaBwrbSofUpUbVgvmiUH9wQ5xmGRGDGXfNVKTfghodu4XLUEJkQZg -Q2IsbWG9z3u4jkt10UOQWyzVtMAb2xO4x2YP5cJ7IBMF3thNaNrpdhd7UjyoJ4PA8FYD1GxZM19Z -LsEkgupO/kYw1ElpQFpnXLqQF12y/MOJhDViDex/rMIeNHzanZUJwvPlFsmexueVJx8ojEmVV6x6 -IMKdkt/CECg3wkYpT/3HGGf6JrkFRS5pDBUv2wQTK2VimCxnHz4h6mgz5VqJhk1IPnE+aPaLeaAR -U7JBKhBlNPMAEF+dRG0zXb283LSYFYa5+Km7BLok+9I9AvX0G8njTYJyK/UYMHlVWpe0qBWTQAb7 -49UxMZ6MUU4Wczm/N5DT3IKWHAuKu9dUMWPAYnYTxYerYDF5ovvoOr79p2UIueb0oQ/pU8tv0kNu -RUmGt81CUFoEaT56lRsb63S6IQVrsAfjZ3p6ZjiC8xIN6VRsGO/QJAB2SLQcGvvHo2fNBajbIwEO -7O4udnOK42Ko+HUztYBbbDNSmZIhjDKptfrfTCBM6TixjJtYrfd5mAjNZdmIBLSJ4VMfkVkqaxuv -opIUEfVHlODj+KtFK2hMhPK1QvlKj0QBQD2ZnW3PVCeqZxuAwbI8k5Beqo5hVAlzcYHrQyJRTnqN -l29DTtszSTqtyj6R8kC7Sitnxws8T4tdTFyh7UHXtEsoYQqXQ2hjB+i2alcFjW0Cxw3Y8Rul0qrP -wiVdvCayS7zvuWDuAaYuS3gxO3COQctvgpYmIQXgwS6zqNMlPlzlT0wf2lno9fcjFeSLMTmLsVL8 -GeCmoD6SJWut385OQN8Zd/rm4CNKVD3aKQQ4XjQMjAjtp6IxkiaLgDwZcE/jXbR/0zdQVG3p0MuD -uQfdxnBD3uXkrai5ZIoFZkKLRA+n2IY+n70DzhKsvdqdx6DSa4Y56QTTZsfjpdeRLnou7lwAW+0x -hHT7QHUkoPXSuquYBvThx3/2oEawEp0cArorPEhhLbtd+qE/5IasGa0NFiSGYGwuPakp3YK9T+xK -Y+lfK8abSlHlqXV8KXUhqMV+q7Ib86ug6LDGwmJvnE72bGEuKPSIzPgesEBTb7GVXKGJpSocFXd+ -ctE0euTDxyjjWp6BuiMLcAl99L2SKkd21huHgxWjArbxRQPB9d8POAvS+0Prn/3mx0vFtGO0YNYi -XMAJOJAhvsebPuucZOhBW8S7yJaGJkcuwME4fl59l87pmQLUpl3mY2Ri3+EP1fp2rwbSjDA9icz/ -HFT0r5xzfhASX4dApIPvoJ7ndNBtNqoNRvzMZX+3Kw+PQGu/R0HSdKLsHlipo02cSYJbMOaO3Ldu -RqQArd4/FY1bhe4iyG6/0ZnNJUARui6e9RSbfx+xczycl9ir4yDDjfgwGbRMUisGLMbSfZgCohlA -0RisET1X9jFNUHpZnNVflVQUGvhp+t4h78C0BTuSdAZY2nvA7HXQM58XB2zL1RsoKhWQriSos7ym -ZL6epWNzlAih8jrCTnYgNpjMrMGUzjwEpPuNMiS42qKxt70T8IwBK6IvAaYxl/4IQi1PHFeD03Qh -bUQmaMv44loQlwIPf7x6UbaMr7lQxxWiFnEOtH/W80SKfo4wetk6C/GJceMjmcpet8OqWKUgO9qf -D0Ui+cF+Vaz6BgzUqlpEH5t/xIDJIMZyQAoH89mgAKI7UUaLcsdnQ8pFUTex+zWraBEg2qbs0c7D -6vo/Yf/bGkPYH+wqTIy1LsW8InEiDoan9wbtCL018zH6AClv2GvFxOxlhPtEZ0xEmseUkQi0hwvN -PAi3FFrVQt1DpG8TJw5VKWg0RkIiABRWc1rwh80g3OEdhyj1ZkydTQFrUeAdmXViJ1KDUOoyP+kZ -OyqOQ8i/+FDzSVF1hkDnatVUd1WcMgU7S0WQZ7TnGdsEHrMH8pvopQIlKqahah/21vkTYUupmVVN -6UK/aMBjiK/sKj8ZMaUR3KRakr+vgB7nbEt7yHC6DpqImGZETFpJnsD62GP/Yb01gEM+q1dKqVLt -hjBi4zQhQSiXyj2R+eutcu85OjHB4BfLwWDW4Q//3ZCXOF+/KZtoYphAUg4vVn/aNv80I2YN5eop -GRFYvDipmpHcPHoeF4EPVgqZt2o98324NdTM+HIPOBC182G97Dikx/BqNOKykp+NGxbPjDI6IF1+ -M1tDKvYtXCFJhMRXoU72gDRqe+kM8/+Iugi5w1Q8Z44yOASKND8EU3pAeaBjzGuCgLGLLhizMzoY -hA5m/Yjf/rAMKhy6ZkrSNq0TeFp3sM6dD1FnGlutl+BJAGwBZimSB9gUk1GeV1PqIYOltDbjOiaL -gQqZ8is5m68kmBPsoaWYkbTVUSLsi79o0bvUHe2hCkay1n1oNTTYkGMvpabpz9drF2PmnUVxl3xR -CbrcF28mkTPVkxV17t1TkCUz8RcaEQb44fXZKMVNVnssT+jX7+iJxVwbyqK9pzfRJ1OLrDcsdM/f -0A7fvu3oYhUr7g2rRn4EeASdnPDHFZ1O6+7OS98GFnK8FGlV7hwS52NAozm4+obcdV3JwR/hiPw9 -qdg8a4f9jH6I55Tjs65Jlx0Ksq+YhI3F+9FAVIaddJBy8STZ8E14gSCpEh6xS7ct4K+Xx18LsaN5 -09INHu9pzWPb/pIcjRMDLWiAmiHRESAtMKlVnPTtKuA6V//is6/P7Dmzpei3WYxmAsZbjQHhjlOG -D+NM9ZT3VOJuiwBJg20Hya70MNMj3BFexz/TEDdzyJLUdtlTR+DUlAELOgCMqHO8ltNqaG4Tjbps -KBlZZ/coX5CkJvHG+MLkah803g87x5qqFHR7EUJ/E6aNH/+XXBUJFG9UDAIcH7kmGdYCIAll56Az -p7yLmNBqT3oRld6Vn++KH5dR6SwweU07iyvwO94YCpzPKafMf9PTzykbhxla6r5xTwlEdXC05sGf -mQstjjjZrHmx3pY3pH+teMgg6QWlESs9kG4xD9/rGOp1j2EvXC4SrCUHh9/Y0LpZfj8tzOz6S8y5 -of8kffep905v7Vx7EwDTFYAPMr9Q9t7tR0+BJ0rd0n3PHAsUHDZzRZ2NCI22Hc9pcuSe3LKV5UJL -Uwp3ZLOS6NdXzmIJ4doAR0yC1vMMuNqEQj12/X7Mxhfw8pqpgXEUkLSsyxJRle6qhTFmmUZ4hJZd -0IYTUFHWYhAdWIrOikps5bcMoTp1fGNWWfI5eSLMZ1C9X92OFBwkpsw6P1CGg+04Q3fArAzLlezD -+rfABAQW0tZADhLnLuOynE0/uzn8QM2bS4en01zX8pVM7j5r8EIIoNU9mRDiMnqw7WguBHVoORFr -st2YHJ9QXuieN5v+H3bzVm2BaVrvDRz7oZB6j6A2ic8vHc2KLhTDBcbFgLtIKuVl8G8mgwiP95Af -dZM2cD5x/UlCmVL6ePl26EsQAVSy1rZrfaNXcy2PLsYSu0w88hsnYyYp3O4W63DdKVTwTdjGd7o3 -gof/GYgKQuYTso8w1VSEJ4yjU6qL8wFRfc6eB0H5zf5gAnWPFqA48PjP8y9O42qJil3rNW3rg6li -rbDAxk4gEeRnbKVLTFb9xNWEXfkUo3x69bcY84YdVyAfno3iUbfFyEfc19HQ8UO93gh+0iS0ZMX/ -Xx3ANphZqmJcMCXNRnbYGjoqpg5L2qxoOrH/s7nMuSnal2mUSSIL+N2g5966U9SvsBmQI6C8Qj+y -AO34Ikq3BcyomOJWJWiHM5EFTX2NkBFs7THSa9+3ztZGeDyc/FGspqfONigeWcLlIbFD6OG+8Mz9 -AnCiV0MXOvjFFMN4msoxGkfIB6gNN4eCuOvtwyK09Hc+3Vbp/JPLb/HL1mMMUEUsp5MZXKZocPoI -PM2W4RxxLlZvYss7mSIG8VXqyZje7m8iyHHGNEIvaVXeCND/wnLjGEcLccxJ4d3HqgQReQiM32FZ -DzM5VGWL9Nqlc8ZdG7SMyDdo8403oGUpe0c1Yt5i3p0UMkDP2npPKsl8C4v6kGvoLF6roAKE2DdC -DixKNWjWCVHW6iDUGMYkqppHs2SzSwT/96vpk6AyOiZn5pvMtPtbFJagIvK6OLxyRSovRZqP9Zz0 -pjahG73RfXMkyTQ2avcTKze00cMiv/trUaAM9adZXkOrPeJMx3bjsBylHj1LN/xcDgCDOlFX2ABo -KSgb0xF0B9YQX2qhxXbBVNQntxVa4ObLJeZZIXs3IINZFpJWZ/W3lpZb2KwNmIAPB+vZeFD/ruNP -JvmJujonr7wINXv9fLqka0GXAoubGVxLoO0z6bmSaFIFAglC04VL+2irBmQb1hrB/u1Lt//3G59Q -UMYkE8S2bZaq619mf0uI89VqjBcG+tkIZjnNLilOdIlN1A4TQCXDfxOePL2bhrT/oq8WgI4XVRXO -9dL3iW4UUmUW8GYukTq7Xe9liF9F2oRT2iTXLcls0Y8P+WnAn+JWIz8/tbZzRmmsjUJG+BPopwGB -GxJ4LlNfbYbdCZyz0gJmtwen+top49YJPTfrVABtoVUPwb2YhWwcluqGe/iHpv4CuunnPTJQnmkA -SRjm7HSUf1aKoWUkmgwpjPjRR2By+UUJdyLfHbvDW5AyU0GXaiZbS+aTg9LhunslIK1JQKsbE9Gv -zwfYAn5Iydp92bJsrz45e7BJLYtehjSGYkfArSpoiDdo9Pe8yLX2QffhSutFVYnKW6JxPLD+G96J -sjV83Ogj1FH/Z4Rx5QvgoqXlpM3UoddjONIfWnQYjSxtkJcCHKSGfNxrXqV+FhUrMjL0qaN8h4h6 -WMdJeIA+9nbf2vmJd38Z3mIEK/w0rG6FDKoTpZ6z+6k/Rf1o53CofeXTFIs2wZiT3z/YhIgKnZAR -0f5wIuMFdUfxkDcLrZoHrr/vLO4H7KHlRTO1VUrus38VJZJntOOZ2/2z5Yf5z8wvV6dnnLABscRK -/QqJfXRMMizNEFw5EEhlQn1avtfjWvzwbQ7qiNhLb9IcTc2ty/AEAc6jeK/zjcoGItw7fzSyt1xb -z9mtIcICd4mebqIQwrSQtY4UfW7SgjpYgjRdQ6B0bj77cOBhis8IqofHm6ZH5pzoR9lZm9rb8SYb -BPC0C01Wcx5hvFbF5HQggKMHh9x43FmbtqSjrjAWJ4yc3TxNLrQqdVrRzgabNJ/q1aGcoa7WP096 -8mrE/EVz3EGqKKJ2tCDolAJfFoOtNvs7SaWiKaB8B6TdHm0CMhhMjI6Zw2NxfcdQ2O6YiwPC93Fo -56zUkn3s8xBu23lk3yHZV7mDYsZmrGD5UuiHtnM8S2zGf5LusQYZhHP0/G5pLrcdBrxdzND3aa18 -TaTHIemdM6fqiUrBnHGvgOoIl7kGRHbnnlMTOTbzkBb0P57cKs1ga12hpKkYqRc86D6p0btumI2n -CU1GtVm7LqeFt9r7OuKdbYqBCKf+TN4VpPzZjUqwTPATgWl7dUUd0adfVoDlUGykYbsitMDOI9AL -UJECIWUg2wBC2fGPUqHcQxj51uHxDnXDs/DQsEvW+Jbwv8tloCmMHqo/O8ZstbkXlU77n5J7n32q -yU5EQLMv7C7VzFRm9JElPoM8/WPY7FrNa4H+NndWp0RYBFqnpMqIbII0UWsMkPDGoxCxK3mGbect -yPpc+L5CeyyMYG4zb7wIC/CjdsrQi8dBLxlhjcekBaufQuC3vq9XC6nxve9dbjnzf9fXRAjiTlAp -erkzVRX3KrwHdyhJgBxr1zyVeGT94mOytLBbAkzOZkzjLbOjVD3z7P0td1ENPHNjWH/tSPjC9Td3 -+DRHXEDthGRn4Oc64jRx/WqNfaMb64OP+CPfmE++AyrXu6I1rcnQ0MJYTeG3ZfEhY6azX5NMXSYs -0p5qZaAYehAkriDLKvxJDQJftVZuBnM37TMybCj8l6PxPy64yI73U/Pg2vDOW3FbFHsZTAq6kQV7 -ZPV3IunQOG84ebuZJx9BiX2MG+iCcmxJAnZJ3RweJdxunTxPU4bCjyg+rIuln5avatW7xkRahSbq -qtBNsMNHLMkCOzrMAj6FHTj5SbX+XpYMcOROEaEBV19ydJumgfKwpUw2uj9P5ZIZUHQETPr/lZiE -6h0AsqcSd7oLbDeUFCXALSJUd7dGMHal50CadV7xAB1IlBw3VIRvhm3LdlS0F/2rkWuKbss0MtWv -Bxh5qU9Q8ata+HuHp6Z6peRPWtkKynKMDhCcLdC/XNs46xyim8m+YVp6CYuFPj4QRP/V21VEYk2f -bk+cyc/qPWofVzcvmq7k1tOEnMsQMmlAfI5MY40vO2M3FtrqwWJMX/CPI6HLoNbfsuGRRyEMB5Ok -qAFCEXY1Cvs62ucCwPqEpYNcuMJLcI58gr5AYg0+pPzS8ZIKbma4G2RD+QCsMxHWVrgmy10XSQ1H -zIXbvbOI4MYM+/zHSi95IKlbtjjxkflOEoVdoKDPrZ3NUlNeH9naDllf6d1n00L9s6q0SDRsMiZr -5/vssyJx6tGQ1aXbU91AB4RK3B6rNBpz7mOzDEggLo00+cUnGtocVfuGtGzCc2XQ7BXb8WnxSN41 -aFNcreYahyjXIhCFtEdQwyhCJsbz1UOcmgdZLMMeHFooKa6nWKAr9C42CW1pRysAIyBAf+znbLOL -kFiC2/Q57q88O/Micqpjp7HtngmU3VSKos/MoSdTmLYCOgrAo4cmHSOtSxAk938i6d0wnu4bFh/H -SwAV9ibei/OEawt74/itTiE11LfcqnbehoQpmu16QWAQEQ32Lfjze3B89ZASNeQqyukaU7l4U6g1 -5of3PW1uT/t0uoZYWMCZFuuWQRCSoP+BBAmuTe+fD+RKt/t4BVaGoruOnFmZKH3lHV2tFwfYZ7pF -Ci8qTfbXfsvWyj0BI215+pLytdbfIlQQ1nzystbLWqn/pjjxeKCeFx+6leqP4o8zjGGkbwnRKtsP -xKQPKrCkMxnVU0qInTu4QVa+fJdyuezyDbypzM5Wx+fvpa3Sp82q/ol6x15W/hFrRc3fBuXqBG8B -JujJ5FMoyLmfpP14/eed16M2ivLWP8Tre9t601lCd7uRkncUX1ahISuQZ/tJEWX2NnpX/jiLJS2x -MzBoy9J7varHa/YN6kprCd7fGbq87BADnazy3h0u9CwKwgChlw4+xoax9BPIDY5KI8RC/R66swdv -JsSE4lO0s0S99oUXvn/RT1Aaq393ETTOxTd2uJHzAtIa1H0sEKrLru693M8chp/JiltWT7VLF5pF -bkDRIVUxgg5IObnjY4HkBmcM88A8DcorL9g0OhvpgZtg8ZIahZDaEDViwvaK1NqFOWDl98XRF/eU -xTknAgRjymcDNcktggxACEAi7mudvJkdiD+SvwI22vl4XZWOExP17aTAFpa9HugRbjxlVFn7BqyX -ItyOOiMFH/yLrfWBDz6L2Z7qCNa0IHbKjZc000UA0h8F/NMsTCj17h9fzU5kvhLCr0YAGO18htB9 -xsy7LWjAL2y+PAxv9AqVeIW/iG0GgtHnk+mik9Bp07TnLVaXXYawv1ode0EsJxs6cPf7XEVyGRIn -eP6POTsQjpdtz+3Gqpzp8eLBMaObX2bNU3DkgYRqAomsjT6h5tXJ0v1uJlGzvrDvWXCZMOYsBmvy -M3SvQGO0wn8DWIKBZ7SPfTD0y0M6s+Rt88IqyFP8Nn5xbEN3vj7fHI7sLjJ4yj/DYriLGW5R+1IN -RMPfpEULiAHnEIgbBqTw/DanxYMyPSN0NtHpC0HuTpx/Z0ZqOBso2wQsXbrfHNLlL4uDMtWzMWuX -4ozD8Im8EmKeeoteTXbh8qiVR1tpmU4GGlChv7C2RXo0jE/5lyFMlxzGA4jJDG/XSRYMckaF4FRS -as1Ir7hgZYF25hVIyFPn0+OYKNGQUqNYDKDkkD9y0kABj8juTQtD/GmWmZ3k39pc1SedOA9XOeoA -qv3GnprC1kGEdH7m7TzwP0dTgtn6BRUYEBlqEZsXBHxn0jmVtsl1ez6UZ++1teTgKZzt3wreztxK -/mBZNs4WFfBonDNAJ7sNT9QEIBO8w7Li+DEgSrO5CJXdDusHYZ0nXAHo68AR57PsC4XF7bL8zGGG -hwAMr2LaVYhDdkIjK4LQ+ggsIHSCIEMAxy2LbSzujFlYri/dccAUrqxviC2UTCHAP/KmiNxJujgq -2oYripF4KmGo5sQLYSk5F3YjeoogcpZPMslvwxGrKU35NIcyw2dvRU1OJtDP6DK1+EmNuhGhylBP -WZYovTBuzG9b2Xsn9VtG4x4ivWf2USyl1131BHnUkMkZOsBEWSThiH2beLyBE0C8FDOupy1BKQq0 -3AkpEUJuqevjE26WiuHK7ws4LcH8pMx0MoplHojoI+7w0u4hIpUmMc6MEbQnZwac7NKOIx8VD6ei -hVG9+Ps4IhErXvvWh7ukeHP1kJ1TlCOOOSQeha0idFUeFXqvSMkEchOO+j657Gl6uQDeGsuxGJed -V3EE5Lp+IQ2t9kserOk1vXPXtLpCig4+eTborgALl5MBsVeGJYldghpoXZcwRd2jKnBEPXiC6Bta -G7k/cIP7PXI+8xHZxX/i3p/r1lwHQu/icMHI+2FOX192zL9Sw1Km20qEWU88RjkzDKYNhyShnsVC -4h6YLRlLjOtlCQn5zqcZPz/iXI57Qr1YC/y4uOXsJobp0rpZj7jtNFMi7eW437FElaeDbk4q+cP5 -8ds7ElvKzCFNlI0zpowfc8aeoKosyoU4G9Ynm2dtoKFrsDbOdoxptnAy4SUeRVMcjM5QQdiGtgqx -rcxG6FZHrYa17L5NTAx6lLfsURi33Z5eO52CSE/H6xkXoT8KTLLRTkIsUjd5uKYal4dzaEbUfFjq -yKopVbLGFj4E2CE6LklgAuHlt8Pxr+G5GX9H2+F3fcxIwJnnWMMVwMoEmuLh0DUFQUeKtEG8Nihq -uDt3KPomMGsdGTJ4qqj4re/j5C29D5ZZBa55P0s+3RWKCHNtqGICgVD1TmLYsMAPW59BfbmwDnp8 -QWh7lBSrDS8fyMkIpXwBAVPhmeC/GZu9mNNU3wrZlBe3h2Ei9h3pfIrhYBcY/FPt3pfnNskOeOAD -yt8j+eP9q5PGA1iTObghSKNV8TH6KlI4zpx12Z+Mfoi8HDUa7Q0WjsUOEsoQO5ZgY2ayDgIBYCbc -ZGUiL7jIaggyu0roXjk3qiq63VOpwQqooMXpeNFzaT6eKBYohNsOSGe233UI1eoQi6o0POT3V2K7 -+im4/aepKQSizMq8lW8CH6TS4XW+HQ3qvGVWF+nvvqywTKIYbWlO7JeqcEyYIcMd6HEifHZmvqgK -mg92Edp2fm24+rceWXKDCG3CHajfr/hAbHrTXPXpaoJkmIbUXj31+1YU974RmCsgerm0ISdApEe7 -xmBKig3+VN0u2ZknbgO4ziT1LpyBJ666TKeKMoUDRDC2xejoEaaHSe0bPZguqwVhRm3xUcvI504i -Q/9AjZcxVJSoOPioy4wFUMGedOoE/5N97lKehr855NBYynpQh/uR771f4AVPB4nkgMlg+eodMUAR -s9mDY6okNkUi+cQcVfxO+h/K0kRdbwJoTn8gblOFEOW9jGX3xo/hwILTof/SojjTW+UGV3Gqy3FN -PB098lmtoZzRBuc3smGxf9kAM8i6McfM8uLEQd02Cdn5RRTuShXBLZ+joSNV9aA5PQswxOc8lUxf -5Whq84qW7/4TwhwQaOeV1/G5AgaRbeOT3DVoI6kmYo8PiTv8msuTXQ+gYav3qS01TvxKDhktghLK -iuiGZJEQwRpz6qPFmtQS1OSyUBt3TWK3aclDSKo6Us6Xm8+hXjKvHn03LMuWrp+FmHtqLd1wtg6L -n2e/E7QqTiQ8dBP67quGdGG+8wL2yTLZgMu2tIlc80+OI2fhJsr+ZQds3WvD2XSCKACWORdUzfks -WLGR2vQbzRc5eHXlEnWE4UqBA1j++k530KGXj3t0VvR8abp6I2D986pZdDfrC3aBqKQKIXvNDaiv -Wvm3PCSiDJxXFkRMFo9+b7KzbthPl1fP7+QLOUmJFhVCBCz6QW46cjYQBNN3LCSVAUIMLGUzomt6 -3NuxRdCfM5KWZQahb2iSvwqcZJJFYzOy2zRH2ZMwMDHs69el+svpOdOwEItoR4C9uw9QLSWYefD0 -/FkeEyFPyghn3mtTbz2EkYJqbRQpRnwo9O0by5cVx4opdG+yaPHzyOeUir7ZqvjvMB8BSTXqN7gu -61CHkZG1LaNCaQC9hdC2yk1dpSLmbmk+gUFzEG4CvHWSvigNglG5wlpV4e3B2gx2ZpbbbTrZWl4g -09I8h4lpQYlpxTlXwJ2gTORloE5chSKSFpi2Ore7IgKhOzawqIrJFbSdiJkiz1Orq3Va0kjWMtbE -Bhev9DcOqldbkMVleXzMCj9WY01Tw9eKiHxn+TxxstDJJj8MQXhliHr8hAr6nD7sdlQBwNwfBbBe -vzm9jAMmP5fhYrW2lxknzCBHne/FGFiJ72M1zGMjs5/3wwUPvURNS9XucCcbiYL8IMb5kxYNxHjG -AiApb8+PuG+BXZhLsFrmpwWLgZ0O1jblKp6mcAfyAKRRc/aH58TKGLISiYju9pT6OwNFalkzjibe -kIAGWVRwQNXR1gE2L7xJBiaA3a2p+OlZ48xsgMnXt16mAtl7MbCmUBt9ydRXSfiS+nKYroVsd3AH -z+95Oj0aNeoZs7H/jzIMNTdLu18zzp/wRlvUlPbBuMOtIks7//kueMcPPA1yokBrKIdt7u3qo0GR -xcxr7VYYXqrK18/OLaJV/pEswatfGoKVXI9yPJhxEKMNHT5RnKrUt8FAryAVKWSjE0YG6cwFEQnM -qqH3fEFuBbWPJFIhQu8e/Itj/wwfLKP84sefXaDUsnjRQSb7JaEa+lZaX7PdeVTJM0PUHXgoZa+M -tNH+x9KKmW2h39TsJkG31UwGpH+Rbg3+w+hy6qSWDg7iqpMCyEEiUJA9iVyR3DR7b87kvnL6ssrG -yXdqz8nLNFATNVvtru7Xh3fM9+o7HFs0hceSnJhZrkF9Wsy+BqwDOCqeQSUisx4kGM3EtodXPYS+ -vnzNM/khFSmjxKEicm3lRYdWwjWae8EtYzuZFDpcFRxbG25NL+JZC7t9UJDSwZuVrUsAM3BB+exf -GA3Tg6fh20Nf2yxhXogi8aQa2RmyoL8ex7qSdF7tPDw3u3iuJtRtZxEtFzLt9Bcd9rjy9yaXs58P -qxRPCpe9AShRCBvUSGrXSq/xlE/ypollxSVmqWbrs+V5F9BSDvIW2J2rL9oumCLAvJEA/6AR9kmi -n60aMEC37Uz5S8CM0n5xeIOCL9i5qTKJNSzAAyI9E3rIvmYZQV5Z53Q1/TlRPu704INCTM0S/BVT -P/TXJYuDn1NNHgS1LN9r7xAakYozqdG/+Ii4mSF9t6jQujbILV9Clmn5sdoQfDw1WsiNO/aJBUlE -+JmUNu3kArA+wHVUQTx20eqNOaKZz9L2XFomMhN42r2Fpgw6qFEN1L9/maYA7bCr9TDfR2FvCCTg -V9V/RSZK41Red7LXEDugoGvm0mr9S58KTGGlwR4X81EgZlGtj7M127j3ISimz9nkyq2uVGIDzZaM -pTSqjCaa3qPSK11JEt7GbnjvpV+G8et5aQmBURrGz38lRT/JREgcBcOtZIxbuE+gegeSmvJTOvwy -cJpA/kCohay0wzMQNJBulWG1niQbPpuacmkvyi++CXRYsbrhQPed5LzNmBk+gGDNILE0vms4pZCQ -WeOAgboWhN+GV6ceNrmdIqDqIVaye7rWqgZ2Y8Lc0k3h44rLAI8YxK6Ta2m3nIhfaUUJvfWK4IW3 -8aYyhrTPDBI4jjnPNPNg67N2KuaHyIVugF8JQX1LYz1jyBSPAAgmUSqQsXGoVeEBX1oopdRHG9oe -vx8SeLYNYy8So8JF6FzlXNusMTBIfSx2R0JwSr8wKjiZS0gm7IG71B1ovDz0WYW3azyzkypEhGC5 -o4IGGwjBAdaDrlkGsrnn94vSN4QYYUIwvdmdyS/D6EapBXwwd1JeV+OpeE7aewSO+PGFoivjjp/p -63MnV4SOg91H4IrncNukNubjHdxwBe6g0DX38CFysVxgpBPG/ibXq8r+Soavo56GQOR1yf2aqJpu -P3XP5H0n1lF0gEN3EY+GpsFfZeEWUHMzNERZN01X3aCKt4IV/E7Osvq4sQA5hrsre//8MeGh8/vq -jwPjXlydkBHQ8OFr0t3UgoVw28oLxMvtCxhV7i4WK1GlRxzLXX46Dpri8PCXtXuptsKoo/V2Dw51 -dgmXZ/DwbN4g2HCsotiVbKsMiUNQOepk/kwWqUUqdMgIZ1mFcuF+x45+yfWUGM+Y3t5NcdtcS9L4 -+zAfA42q+Oo7Qav8Pld8HHxezOkcNHGU98bkiOjG0XDmRwHzNOUfdoWV8dp4s8OAg68O+AOv6IRR -VbtljW8BN2WRNppjXBnaSLycQrk9n+yUiJUs/wBakNZpbZUiDeNxvjWiVpyeJ7WhNazPZZ+nwggF -GaMAvaiNuA/LxNg/0UAxq12UQfjcaUYWnH25G32sbTVJcbRt2nNH7MvPsCp8spLHy+WEfRaKzbaB -53Fsln29fI0Wy2/r8wvX2u9JJgmRv3kFfmP7JXDgcX4eVuYIn68XM1o+eo5feEE6Agn+Pmzt1Vb8 -/XKttpjAQuCRu/bann3SoOxuk9b1Ai71bwKyB2ku84VUnxHsL3vyixtWO5rcZXPcSqWr3tiXvUCg -UMLC2RpWuWuCiKfM3pyEpHH9M+Qn6OWh0jc6NNFjmwoe+LwW4LUCXBKvS9tN/hmCTU3NaoY2F2iY -tDxDz2fnV1SnM0Hy2A5AtRLKvY4vZyDrgsS5KfiCez2aPm/S8pgGP8XXw7UdKgy2jNKiF6wwslk0 -OO8A4W9aoIzhEO+53BnSTWM8HG3ys7m/+OT0cWtasC3lZ6oKZXo9+FKT1ObiYUB78vxHSVO9Hs4r -oJZ94ePWFnF94Sh88nSp38LVtnpr/iDr8EBwOJUeUSIbaNbBPcbBnhypvwwLJIRS5EuUXcYei9lw -SBj8RW7DGgv1mdGXAaLVYoH2V73MmgWdO2zAhGypzDsWeSD5PDGIFj02UTh9xTlvHfa3AKNyWOba -3fbIRVQVai5JRCUBL3CrQ0TiQSKkXFnWTdSE6iEGsO3oZavFS/SosTBtiSuS2k+t9UUIGb0hXpvf -rHMVvHnOA1FUKjDN8QIwX7jvfePRF8Q2Aqk6+csGybg05zlESPBqJX66GJ1XsYveb0igJhAP7bbF -wjSGgg7xMWvpEOVVBTtVT/9vTDsic2icTSCicAFe9BXD3kt8u+jRFrhRazBkfxiWsK06VgASukC8 -ULcDd+D7GFDs8YBOBRR0DMiD4ZFvby2lcTd6dSniMMtz3aG6EF0hu8YXYHYVFd4e24hX82Z7IVVi -rXTYQX9OJ95huoyC2rgnQYrZE7npexHsYTfvfTYyatkduKQUYGrE0Hk9bgFzK/8meqoS8XW0y+5B -kjnGJF7zuKjkR5sWJcrgNigrhmo+IcNCEaQyhpCf/JDNHn99PIlenjpSnxnYvaoRFbOZMoQgSv4T -V3Vqojga6DElaEl0zzK7XJCI9LWgDQVmQQU/GvzSzDPQuSCWmfS78DjKakwxACrtR1TzmdmnwdVy -0/IeL9X4Yc4KNRXKT+a3+oSL+L6VXMP3vcMRukAVjSbyNixQduXY7USpFhMY5vBwZ5NlFzI28qny -8CXJPHlEzgOCnKLCbNtiL+TT6bIb0RQJkFuabDgRPIchMiSRzp7n+UBsPDvtxT8wEkahMbTKWGZo -TpJDFD2r//nD/4ufVomObP50zo76cUgUEOEzSV1cdQC+n1GfT3VRBh/8MJR2AgP7lLSUEtEy4W9U -X+kMnJiEd67MsRafRuWA0EMbe7uToReCEfLssujH3Ed5ymgsIpx0wg4VmI3Eop0H94Dd1pJ/5d65 -3Ms9KT2CPsZbMgjkAnb6p0o5H1Wy2IoURwvC1c+5MiAPRB+ZxxZem1+whHlZzP7ocBwQ+TaqD+OD -+NsRXZuXTKleExwKTC3vtuXalQ/16obKiRwhnM3pht1k2fiB4Vx3rsmgj/t0tO3VhYf+oqQ4phe3 -ejjvLBOClgDHrZqzAsgRp9pgSf+iJ45KQraMK3yluaKrHkGed5IDQqyi+/l8ZiCVkC4tTckaZe2E -+xKfMd5sDzJJsrd7b7ux0z8z9xYOk1S5eIsp422wXKAGtoNwcr8PLzInhmpsWZmYE8WR5z26PjmU -+cRMvQU3w2tL2bwMGCw7PafsTkExOR1o6iQfLrdkPa9D1SP+TrT2QLzEVyNjWy2dsop+AsVvMXop -t9VPRz5md3iNrcEvyes9q2z3cyxDfU5w1JRZHjdfqYmexj+YjmH7ZbUsfHuMWk5wbhcsd99iihoC -WhM+06gccaCn0jPGHxJKeKP6QsYTGa7RA44zDcR/lL/nCF07M6q7fu7POFK1Mz64J9iCbEPgoEsK -ASm1TngJp9tH7Yi4/7e86cJ98aeUxGkL3GgyQ6TyqLnWTRcn+JnK6Pk2IsSeVXkEdNjQhSq/Y30v -/RIm7huAn5TiIngNsKs/XYdk8hzofeTytxKGwlgnMfw+zjdhuR6hEzEIxlPu7Uu31bHrQIhcLEjx -hsLzzKH22sN3OXr0gepC2lNiVpOIc+rSCiBgwy8FbKiDAu3SIYXFNspFH7Sg0UyS7FNrjP49q67M -1UrgK1Ydlp6hOkJ9hlbqswWCBTjmUtjgpaUGapPX3ymRDVZdM2JyJkYD/fFcfeo14gQHlY6StZSE -Q9/mir9lqPk5p1yAJtrAOvuIPINeQAMju0CExPq0PwlhQj4d1c2hO1m/lGBy78udp0tXl/ircc2E -jMbf9pA+mJ405AnKaOiBvPqHQVkX2zjidGjqwfKkR5qH4BSQggDL2KnVE0LLcTaLuHqfQaES30GZ -of+3liGCqqqYduHv2v2YWdlnIqv7pUmR9MShV7gtymkmAGHmMLDrlRtXqk0JnBvtl7HKMUVPiio8 -TtTI5esyoxiUB0aWpfs77v/M9ViWPrHYO5WtFjrFTs8qYM7PxNATBBrj1Z6WmdDXlE2Bk/kGi5Ny -aKJNdv/Lbzz+oBryibH+S6mFaXTkIGp3HwW1oMzqkLV+PYEg8Y0gnqXpEO1FK4n0/kyfCEBFsO4N -P2A/hvekt+XSmyiuW36fNfOUaF3k2/krHwmkejmzgeWuCq/hNaA5Whif5fbcTnDFHqbHIJROvp8W -egaJfIv/AQZFuQSvnRXXn3b/vBYQm+6dwMRg+IEuJMHDi8LIHk8EzHA8p6X0/1uJiAqFSMUbDJ06 -2ypjFgtff5F+UAB2FopZIv9xEAKTo9mgEgwlSPmnBLBwyRzihBtu4uF5AN8YHyyDwhCFQxW90JKG -pajL0LiQ2xhM8FBgAP1R3LFMjvu5YO4KUdwh99oNO/ySrbhd9r8EMBxNXIJYvx7EVaRmk64ZzGWh -KMbMS6k6Jx7x+weLT07DDAHV30OuIA4IfdlILRXv9Y3kdMhX0ow7IvYu+fSr+ynPXAPbFcL0x7+1 -PlKxxAFbs+yifOjYlEHWKrcKnsAWRP4SzHqfbHC/426thY5TZJctcnmOqxw7XhACwnyqtaJ7mBRt -QjIfk3Jgen+YJ0iv18WNWOzrr6BCxgGt3V9O+2xUt2ED9V5JUdwebBQQqpQFAdF9eE7U2pZVGFgo -yhEvb1INzFL1AESjT5RC9Ne4BFJK+OUmiWn2xKYqdOyndELR6PnKEjhXlS3UIKBYFfiA0L9Vrlmx -h3wi/DctZwMm1xPnHjtOH7COZ8N5CuuArP1FZZW/ykwuwF5ZBeakUbjW1m++OAwer0JuoTLeatn8 -e7aNk+faBFCjUxD6awRaXHrKZYnr2E9Mt6h+kBo61WP54ojQRjiYC8D7b8az2OKu7DZfgTto0aQI -IAAXW+uQtVe7Q7VGgVC2mP8y+mu6FacOfP+E1zCXlev9ZxZWhVLItbMy7SD1h20AyFOc0OV/14yZ -o1H883Vkmz6Uhpc1fiv7aG2czqj67R3OxrqTWwamJVYXORxx7LHD4U+HKlZWuHYtBVbvr1arzIgc -L2QmDwbYYj/55wpkGmmWEFeAbmjw4tGAIXRzRs7RRPA5CBklgYt2dsL40LI8mQjY8FmjfdQSz/vI -IbiYrYANGLWeMzYdyuHjYh5PssV4KN69jyenSBNi8RjaNN/+7nWOo18OH55UtRiIiGEaWNBr3UIg -ob+WSMrZzbWkEYwY5cbUlrSQS92mbb0ZlWygjp6S1QAUbppFOwjLbK0oi7ow6CiL4p3szyKSDbg0 -Lhlt94Ia3CmLeDCDgbjB7QlPd7qx5jFaW+xs8tdqUlp5pdOKMIiZPMJJl7V/CBE5QgyA4wwd7Yqf -Ve/z2zJSSrGDoyxve+4+GiiAuZYcHXE2huRooYVv4pzwcq6tvaOCuZFHPuEAtOpRwalwZjs0TaJ7 -IVC8VSjKi2/+vL4pV6V4ZQv9oDKP7XoB/bEi+mcic/4IU+DCV98tO2UvNxWeJRhW2a1vTcc5dLSc -6k/b6jNIwuMkleoZ7b1XlkESa9wGeMjEYAS/jpBxDKIxDB4TlU83hu6M7t9TAk79I5+NlV/zT4sA -fLMQXdPm375R3rMHAzaXW2aaA29HqgVYluV2LQxPaoNVYArPEcnRNZG4uIC8tca+93eM6CSx1U5h -hLGbP3YbpDxyLC0lZDcWPODTxVgZQ1XA7VtXwaCisQ2asXIFpHlYQcmpqKYcIRHfpYg+T1HMtr0C -4B197BMcppqsaBMFsMEuzF2OLsIXC/OweBPGHy/FqBHGqK0Cf4qKiHWIucQUfrhod6eFgRxF5Xsn -syisHjicJH+NlyQygFsR5L/zO5awIj0zbKoKsha5p6RQwkbOiVKwQ+p1xQCrPrsF/O+mib17S1lH -j1eWV/2uluu167G1PjIavFkYb+banuGth2ja9IDZnXur89fiPQjXNS5x0isblOjr+3yWxuQMsnmS -e9qcacsiSGvB5VlsuLoY+Aks+3zNGRCpUvPaSDtOKRnXyzOhLDK9iLzuH8ZKEZPtl38wgUzfBQDw -MXQ2kUIQ2og5L/hIFK07Pvkjd8LP9X1SY3jIzkvzfkbpP2tbBgRtFGH3h2RB60nAKIv1x0b2nXpa -D/DI/EBM6ssEFuKcbUg8xWeqiOlXi0c3ztWyH0n0KlIdLFMsV2gVLI9B+Mg3TL2WnKbqQBTIKD5+ -rxNkKF55ltVYYZyrCD69zlVQOA+Gd7w99f//CW7j0QRzj6GhV3QHrmXZhiho1cfiIKd95uCRpw+p -X/oAQKyaIEr8SVg0q5jdmeQ4RisdndD4G7VzzpbRZCUom/Jzhz71j+Ht2zpkFU88eqDL22UFP1NQ -NoqFQr4AjFAYd+Hd3Tct1jjwQRqHFDnmAHKHihbbQKJv3XAOnEm558eTTaG7i0R5IWo53uyFpy6u -RNXWgd+a8193jtI0AmtKoJvorClzAIcELopsMHo77r6L7BhpMXjnztQzpumo44Yh1iBVlpLTLGmO -GmckZWni0t91LBP6Z+yAmBtIL8J7Q6c0LJ9azEWpsu60T5mGD54IknHri8PLUsX1uQ5wnnOU06EN -p+0W46HOU2XlOa5FPkGrm0s/d1i7H2vQmGSK8t6ciY1q2edPCMrV4jqujDeKuBYyzd+D7ud7TWU5 -zBOWMbkEoPaQTby+zkSBu4yImmYzU3s0dp82pyb0Yzni5SFYde21iDHVIQ0vAd2nKr359jFJjrpV -4xL6UWCQTQPJuKTkP9PWObhTspIM5bUTWsc28Wo4LD2ZdIEvvkLNv4QsWkpg8QRBvnZZzRi8PIUk -BLX5jdnm1Tw1OyvgzH2h3NT0urCoO35RzNFSF9zf3QX36pOFtFgJCXxv04zeRuxJNK2RvIyzesWo -SyDzqLp5/XwYRCzxwjkIi/VkImq09JdFr4zkjQa1ax/cgPgDATU4aix7nzjBZnQ+c9HF95egR8sF -MDTealdz4DMqLJ+TmQTnBEntzxQyQwQWETMs1nI4P2+YEWhkmlZLoMVvsee1CctxfOWxvv44J6Bo -XZZ5jmBMnMjhO2RmZxGwmhbkDUf1dQoyE0H+YZJvZYQ++TmseZoNyYV472HIH+96WBLrxvXdICjE -8kLa0FUWRnS5rHkZMQv6/ltEPLzKCScbRx1qwLGBh6eTHBAjXv7yKaDeBfnL3oaVPthAW5VC/dhY -Uwjp+Jq4+ruAEix1Ypxbi2sX1UwTrGKITmPTV5qBC14IWp063VPbW/y+Xl4XxgtL45FFIGt2PLeG -RbEMHvsMdKZ0JftnCN/DK8Sq/pmSDKxz3mklUV4G2BIXwviP+hKxjjmKsmVLTB2srNjqyHNLUl9T -iV/eKey/pXxdFhxfAKBYxh7zJ0ODztPNKHNvs1y6z7weSWAgFgIF4vuoMLXXnUtc57OnnQDE9935 -YeIPCejMWAgWJDmA0LY9Bz7dchUQGEbS/gW1zJbhLWShLrqPD4Kr9IG1FZ52e5CBR+218DwcJD1G -atDDODVguYUJ6KXzwW7CVuEbnurhAj83Km2Yzx8MBKm6Ua+gP3R+T+PkgevXZnWe+Y2KtuJNdbGQ -CTxyfSkIBfWi15A95KsHBVuhDzvR92lsWQNU7jf7bELiQdU8gY2hBxEYUeQVNTHjF3sRyZW6FZjl -1xU6eZGc/YwwAsq2Fm4tVfWAPaytmU2Qrl0npJFLgz1ljBsLE8tdWbIUd8H47YEWRH8MhychfH0R -0icqjD272oJ5KYZ0OUa6t9vAcx1byJUd5G9JfVsLXVZif+zk6xrgTT1YTdAzkR8JUV6ZuJrkGa3T -JyDCqjYEKV8HUisMe4FdOS8m/XIkUwTG6zOKbtfU49Ia5WF8PLV/icQWvSOQd669WEuHkwhGPN1L -MSuziz33o4OR0SKN00WQ5P19WXoIM2n6Gs9NMzT+Y8btCEOoiYITHr+dEe/BHzBAYiKWuvFF4koo -FHGS+qaW3J2qs/+w5MW3k9xjdL4iRtkKSqG/50CQnnUbhZpyKU0U5ty/PCNja/sXGvCPNxXVcP9W -mwvNvyoa1ywJDN9phNkHvz7ekzXWFWuJBRCdIc/Fvdtj1YQRaY3z/CYZnG9aIwecHBUeV7pd3iGP -60QJ5LscwhgVBDBzR+FihZNreoIfKG3ObrmWarj0mWcQekNpNzCRBY/a+o23TobsvXCTsxVynut2 -GgH6y5NWA2+VKgtKnJJU+hMPvcAZw7ODcRNeTQIbbsx/S/1KrGJAJ11kmgJ+qgdxn/qc37IiSdjq -HX5agPZuwLdlSTbcyXx1gEhS2pDkSnjp06SPMmjEKtuLk3syGg3rFEOobgqEokscaSlSERaEVgiU -sfXIQWvz9PjKNB81IjK2yWfVdg5DBzRr5oQFAzQadI5Al9apqHn2ACDyUNRSHIeCBwJpIV7RHLp4 -uCqRPSgDrtewkjo4VnddjWQY8oj5ybA/jTpKQgQHTM3yhofGYESZPCDph/7aQ/+se6GDD80KJfzs -1qzq1/8duEhunND0N1jhCq3r87feMpg4yduo4xr8ug23y4vou1oCjcvBeFWdgz40KKJvwDhtoAG2 -+0nhcPRNwpjEnFiPFkXwPNAd0j9k/7pTecODzeAus7T+tErl6EjQuT2YlpuSjyu4FLTqRXK99C9V -S0o2o3bskoKurIlAdnOfMu9zfN7UgCRaLxQrKT0QiSn8VFvS9oOn9w9J2itBCZTVD+mJ0zUb5eU8 -rdFxXVOp2Yr80vkNjEmxHUQmCZcOwTENRC35WEJ9/70TiZzNK8s9ZGmblLQjKC18qQv1I048ilUb -c7fC2oILu3neP50wDcZIpOjcM9MpP/wdVE9WsGKpS9y8G/iBHKAHJkAFJTS7EjAkhF1CNUM3ii3w -4zW85ujZ6Fe7jN7QchIw2/u361QiH+eNIE/oY9B2D+jKcjkp/QNWYFn/WMwW3t5ZtfKLWRCeb2rn -kOXqn5m2sWw+QPd+ENhfw/wwyEylVOi4PGx/45DvWB+ruAosRkNHKGTKWKFfsOGEfld7HD2ofKjr -m0dtFogI9itWlcQFKpJ9AK6TmRndJQXTo3+yn0cKukbA11QUbuNpsXMIgRZE16h1Qd30nW7CpBvJ -VPuGxEyCxoJyOFp9HFVwiSJ/UwCiPRiYKyOIkArPXbFTfZqZ1cSsy8RxFRf4tLY/IwF3oS3Urwi6 -itHWCLFyX937uwfYEslhRlov9rUwKDPhX7Mb+O7Gq8vwWqNxeUIROzFGmY609aMobOJYRq5Rhkt2 -pZiB/5TOqmD9wvvTI/JZVRqEh+81kbRDy1zPAlHI1anpDRWgMl1H0kdZaLRQsVRiD0m4qNbaeASk -AvNQb95Zz3tH0YEndUZiMzRuLaktpjTnUYZX89v8xeXYVpI622xjaJUmSvQsxTdcXhcahSZQV0PT -X+ARqlhpmaJRyQlHpLGshEY5ay8zw7Z9y5zPHUdxNWmAotwI2dhq3gUcSvSMMIe7CA9iwPHCdZYc -KHFBUZP0AkwrnxlmHxuMDY1K6g3X3MbzBS6I8c9giP7tuo7wTOhA8Kx4J0ugCnXhINnZbCgAXDOP -tom1EWFnWHchJm196RVwZ/0wfd8pkgEFzBMHhNbdXgPXXgDqW5yuKfwwd2oBDk2TstZJmYsx0L57 -5Z+sPRP+Os14XvHvxmy4Q3pPxPAJVd0DPx1bK+YeWALBfgdGmovcTDpSTYMMMIbVW/pSGOAuQ1im -u8EMfD/LzU9CeqwYzpv5sCcmYMpOk3uoC8PQFwlNWCBITiQHdnUA2N7rSdD7aUJTwspYd035bKnR -lvIs35ZUR5pxnu0NH6sIFZKLX/CTDaFTl+UF42r3r40pqmTTJZeFihBUmPwLLhMez2S+AF1vpT+g -a044hVAoNOcBFyiWFlPS7JnOXlFt1B18fnJE5awrX2n60RPFLEBsPtDq7NEw97DyskqmGUvmPs6S -fRrh2J2uTVTyTEe/dOGr/KPY5VQxmez9jQsSkUOTL/b+qtvSnB2d0l8aYaNCZ8zlh5LqvOmi2ODo -JzXgRzTubi5eKvkxC4KVX+mn12PvlZI3yYgaw3fnVFuTdokoPIUaoKdJax1yPMxctKqb/mYA7PO1 -P7Xx1c4vzXg1tFcrBaEj9THrRfK7K2rg1cgJFsjz+auvJgS9PWHCej46COCLWxD5cwtcgjNgvLja -fUjsGDEutzMLhgpPHjXqDmR7F6DgR8z2sP0+jyHptWdmsZfY0PP1TCqp81P67XbvL0ewBJRzG34p -s52AmbVNH8NV6ykJfl6GBlWcf9TrUE4OPEB0yhd3ezD8XsgRs/ATCb1opeU+nBQpUXflv+NPifPF -CViNzGbp9Sm65aKLRWjuJmWtCycr9L29L+63Jk7nN7S7ClTA4jYV9HbWk3l9tmCfomK9imyRoqlC -Mi/8IXDqSpIy6lDUGJM/L8pzWnkD2WKjOUMeXCkWj4rWRI7QTQhbtF6l2rIcjqNbyxB7WFvMA4yg -O8ogyJXlmJ2rXbhgr3TRKPQ/F2p/dlxXOd2xZa+v9KOJVwpBix6y9TgFOrYIec8oGwlsCIHVilHJ -3S1XCaHXzp0sGvhxkn9B8bH77vnq0wLfWmuHe1RlRD6lwrZOCsE4YDzoU7H1hp6/kJKy8brFhke2 -ORC9lsjxE99rEP5PP2jZqYYc9cCri/r86snvi+kM245+lR2CgWhtMOwpJp+7rzAHNEu86nsPpYer -bt6upxzbzSE83NJpwwpTUIZv1dbFs9GSciwQLum33msOd1YIs3fRFgFIfGzDmy08AEV09K+J4qd9 -X8Zt8UaL6wqzSBV6N1oHD3iTl7/g68zSQb4vFyTpUseEhtuDroWKXENxh3qVep/6RFnEs/TMd9xu -03MgGEmyDTO8Hn5NovRJ+wJnSWuBi/0KQg+U0bkeklHftQ7BEr2xBuKSph/jGM9DDbnoz99CP7bV -GjZkb7zTqExWxvKSDV1gcIbzwzJb7lwzeUEXtxfUBWOgwHEXzMyAt75kaPoBgMIL2m+yMgOqeZ5r -1RxgxBWJ3Jrz0A4eRe2KeefaSopwhjK+JIhDxvCPqtMJARdfrE969AEYjVU/qpT2bTC0Sa8T3eSU -1Lmz2jxqsT5jw/BacIbLg2JXzylD4P6L3k+Bdc4BHl+29Pc4A2tcOQS3Bi/+NBbMq4UyVAV8/9tO -Z8jwLnjTJwimEfW62cH2+6YC1oHH857/JZr4KqdFu910NihcdIZz1n17OflA/w+10ZObii8/+M3T -PulJ8jua48O2sLFBq3/Jpp9zJeXrAQCSO0fMvksDgzkQO+uvNaaMGJX5YVJdp83NP405Vus7aVZq -YS0TlNUMfeChly6jpHrBDTXo9nc8OhgqzFNaSpG248NXE+ha1rP/tfNITcNwT+17cq6AsQKzfuyW -UCXD3msMymCEIx9KAqNqQ3RWYk25odxy++0HlrKB8HXIbOmkcSeu4pQ/bh9hl1qkKUP7L2snJLK4 -IovQB/XwadCZ2pJgG4Jox+ro8Nn+2w39sD7MGd0zyGT/438Au6SdPg0xj4O6cXh/rFlML9gmsJ/p -k8PtjetF/PHmZVBICoosTTly5O62K8+ieGnOsBxhj7x2jt+qvCQptMDHoMgA7C8+Eay2pVNb5u6o -1TleUJd/f9Q3kuQ3Phxk/fyqNQ5lMVZMonkH8g9/5g9RaSVCx3zNzpq0D9OHRlSeyH7mA+phYpJt -znsOfspc7f7NhJ6/PMh4N5PY1w+Vsp648jIQmyjYbOs1B+rh5UtWrAQ9JH+aIqoygbd6gAvlK/jR -30hfG5rW2HK1tTlsO3F7epcuokeLOBtNlxaAT3OSrtjOTPBlZDvHA8eUT4Son3aHYMhD7f2OScnK -3WNCdcCl2CjxummTI3JxaAtXXFhYxzmzqyhGssQ09Fi5QpYQbhQRHtGCWcnTJWZaz3IQm3qRhKce -6NOwfmbaT7/ee8VWP94K1NH1FmVlvsFKH7PZeUo2qLY6rxMv7U19Ygg7I+YnUhIr9REs7MQv+Lue -tLXHSNmIuFtyVcvHHDm1M2P+vWsguKKMXEGKe906ztUnTCE1hLgX3rDkFSEZ7Z1ya1rt7M3L/NS2 -OddoW3/PDs0pT02pKJCofWgZJVR6AnCTny4b46kaOhcvqFsoc+rtPohgj2GswK6ppmZG1V58fa6g -2fJiSndeWp9iOj0E1AonwX6RzuSMDO4IFCo8BAKmRjTNlsW3imJ8jB9cU9Tm5fb55kbiod7HGhlV -BaRC0aOxsKnwmlhAA2FdmHlvKbWiYAW2XPCTwYj9bTWwrDT5hWBPJlQLOxl9mkGiPqZlMj81Cran -bbLOydLnRRaHwa4GCjfTgn1GczDsns2NXT5kn+n7vmI8hVo7WOJPHn9bkU5YSRdTBs0btvN8Chq/ -SSX5AFH5eU95M65ZUNaWgfxsRktKTt3Ri+nYr5DJb50Tv0qgIf0dtyVtY6qjmavj1W+6dO1Mq/Ts -VchAyB+ai1BTVqZc8yPIXkyEeMohkZCbSTyn6pZe40K2R3/IMrX1UPAr7DigSuunyBQcsDr+wH2i -z1YSpwFFF/eNFa3UcW1ZKfowSJA9rGTEPteWTRNPX73atFojNbFvDw4QbQG73l3WGQZ14Kw9QgQI -qZmD9TMSe+4961nzzZhK/cjgtx2YNkuciqSh+JsI/Bex+jMFXfQQwFikHpi636QWWJfOPyyjZQqQ -gAwdtEnAMWgXvcCmn5YExb+NID9PIs5OZgAsMzLejiTeqgWZjBHjHC0DdSIG6yE46LrLzVQ3HjWh -mMcLnIEOFSrBJsELr8FQUgTyUhIgLa30Tyz+mA3YHLmg7TMLfZtQEG8dnrft6/A9SkkXreyHpLiv -bYZ8rtOJGqRzl6Qd1E9BOTNIyNYCmAeVysB5mWxAP+0ogCB0eDG9Kv22ZKFYmnf+5mfzLJaSqrwz -SLFcytYmpfTjMSNi86gYuKtC+2qnDrWcJuoiV6Y9/TolrZvuAyTzA6faB4Zl4ZvqjpjcoLyUPzw/ -Ax58QN614JZ/6g6SPQ6vXHCKztZC5XyQ8JelC9GFeci6LEFMO+88osRuq5poQAAa7ZTuEa2NHa6t -ZNJHYKpPsSB4J9dK0TOqqVlKL+hEoRKa+z6feZNmC2t1VWpazlpZ6AO4FK+F3oRU+2JR8fiJlj2d -bf/M50eEL80zDAbpMLbdw+VpnL1IvHsBRwqx4mv0VFhgtaQc5fouWcVAWOjjsmlfSmUPV54VOi8W -Y70e6l0+lAGC7j9jWTBeXzPmCjJnljo7cWg9nYldkJ7KXKCujVX4k6HvhHS1+6XgvScwq9cN4tJf -nUzPqMwRBvvveFO40n0OSS/vLExRfkSEddwa3MptbMKhV1wrt+Z4dy07pqix3sCfUZ27PfhqY7ak -FQHO45+VuHsX5Mt7TpnUsrRRXUs96627ylAhUDrG9QXdZ1hD6rA0VKmFCpuy3OPUoXxK8lVzxndw -NKZ0pjlSJWvPt/JEU+VOaVsZb4xqWfmiyzVHYv8+drI0pl7skmwFDDja3JFuqasJp+Z1I9fKwO3Z -gu1SZuDZZmiEgbhNq8S/yCDNcbwlr94vw1n1sD3pNVSWai+l2LyjwtREg5kfqABTEIkjp4/De6sn -n/9hr6orIdDu+FeIPSRsTDlEdfKTZMqrujtcsF8Vk6y8gGy05/KtfsK8S8bPb5nW24XgT2jfSlZf -u/KRjc2Jhf57+fWltD/7VguZThx/4nTH16nBtTLu9IFUGD+AvMAnCJbkEm8eSvxr7mMsAgPDlQKj -A3cTPsK360uk0xaHmXSUuhMkeOCIGnVQoXCaWr/pNh9lkNZvU7dkefUctLSUjB3SkImc5EQ5yS3T -R1smx5UpXQaexdxWQqfpJLNSVxYhYx7kjV9oqlUZPhXaepg5lcdVn8zmlrkFPiJtYQNLVhTQ4E4i -63KuTvLZUYCNys0oZqlTWfrKDhWwjGQfWISoWQlHmxXQAP2jBTAHgsPWY9gRzm7mA6F9fbx4tXOv -Sr0ARgtIPKWg+uYf3GJs8saBvvKdNv0gJ1RaLFENjUQW9z48hGUSyLidaUaDFAcIXjJj6FeFwl3/ -D7KE2UGSJy8mTo2Gi/wcQCNH5/em/DXIrQOdFcf+unWr9LemT71yxkRpIVFhYWlE7YZwLkJyCvzx -PIVgoXCV7+Os42jGnyvNVBqtUBdsQrT7usU0r7bmhre0TDgtVG14tWmUq4Ebhv7SxL9hLrmHFJ+r -N17dymMghnFEG0lrX6Z9M6JHjtnSEjiizQA9hNxE4ztx/YQknSm6tkdeIX/pY9vm4IAc0w1fFW/4 -J5YefYt+8OdmieaTjn9MQV2yJVxVrYR6RMdhr/fncgTY0PXcmHG3gn/ijye8SIyV5wTMMRzTyYgF -1TMybyQrfYiWmMBSrESITH15o3c2KWpVSCkjWGIBe7aPfupq9wPGsMzwKcJFkED07vf3lxhPm1f+ -1rJmvyX2sprBe9Rl0eE4Wygh+xaP1FLsPnIo8xOJrSWcUC3dmOIYWgfH5amCVpE0KTZc9uYDAUiT -nNb8HWfdGaoxB6cD1y8+9+4ynlTLbqtGxMaxqGVfxrLR7QMp92SSfuXsYgEPIcSjN2F5sHMX8fu5 -JlQytkgquiRaKZXr1Zz6FnyZST3ufvzEZfjtrGSoddX0SoA7GyY2TDY/CvPef2KWb7Jfm6q23jey -8UfL8+meA3q2taHyB0PxC7TKREKAZQsrmQz/ZZixcXM+ZsAbwhbnss5PzY0D0F5aEveZ31rW/sEi -VyvXOSrNPiwMTnh1YfPrrkITqesO/D5iNzMj/ck/aaUH1cezRS6pFNlC7Wj8J6jZ42zCkfzOagdo -ptWn2qqeMwL0yz31fn4B727Bh5GaYLCqWdpSnMKZYysoaw85nVRMsPsERfcsA7qRiXGXmO5Qw6MI -o+sT0FL8LK4aexCLRFI26SajadfkG+AqIAj6miHoYreu6qf2DzvcWZJyhPJOCy89PUs0g2wjBiXX -HDttWT/G4vW2KhpbsRw3sn1QlClYyNkB9CYNDlVP3R08DMMT0j8UonUtVciNxGbS5yD83pZSHwGu -23FxU64G+2nk8gbtwhm4Cg+7ZeZE+MzPquuLHMiyZr95mBDaE10RXg90Ua3pcp4vHND48yJ9cGpG -EI/e5S7s6Q8eRRYPMQHbJpTzJM1uI8XknKMN9qshH5s3cIKWyPWw9FAq5hX0mRvVLILs1tYuKEor -0pI/rz6SO7ZNtxJ+DmC4uoGT6tCUm7fSi0RiwHLK4WPznxrmdOYCE1wSC/4uWYHuLkYCr7OFn5cb -TluSB+EVXysa87FDbRihQH/67lz5BBb3BN9q+k9hld0prfXWub3ZzTJ98hP4QCGvxYvntn6RAQCf -ub0i3DUfsGwi7B+8jHc/3Ga1qsEW9ucDUpgz/hCKBiMNWZg4B2J5zwapEQWTCPUITiX1d/N3verJ -vPHD45wtLv5e/ildDAaxHklDvv4xWp3zjHt2nHPqV8yuQWB6IZa29ehgNCEUyBw3jr0wnXUWcI5Q -eadXjVDQhqybF5fAnxBOijp1m4R82JjTKSPnbrXe/dO+q7SFSkmlQV+skFjJk0+9+y1LVSQeQQuP -ZuiBpGIPRHZjuDbr+EK0BNVDOCi6geOqxX057Ug5Fn19ySR20vrIoCfjYgZHQ5rJwMaTy3Zaxgo8 -0USq6YK09ILl0DuedXA3vu/UE8fMMy+NpzfujwyQ3umoY35dEeA0mcMFIJHiAiPjWBpbdLCdujN+ -JX2OMQUNsDQIr1G3NEubvbWQzEqjlRHFa4nz6nWTKl8FNh4stBRBh3SqdR5Pyycs0N4XhqFgH2mJ -ZSc8JDenhvtTq/s+UPKVTnL2UpaXZW9KA3+u1F7N5t6rSjrvxLg6dQunGGp5XGn7BJQPfciXXoAX -ofa3VwiGqBKP1BH28wzMaZu55wLGqqx8If3EdnAHauYpfNmBp4YwBa16FivUzBvFqfNGTWVgoJK/ -uE9HlxDvx7LExYQhboNTokTy0lMScFrCGFUvqPRdoRdhTDuJ0PggoRxo+mqc2qqlNNUU4k5X8nFk -/wnvosTBMGYc5Jq2sqJ2pNQEQAvmMzNsTXSo5pFN9bn7iyIDbUX2RiFjJJX/16NtanU1ZrJ0Jb9U -RtbOSqgrkLBQ64pcyiWel5jrz7qjemI7OkPd5vfNvJX+T1CVEboUobZ95iM13TMZLjxT2qcDn4nH -Yws5q3GpLJY0IF98CjU0lmEtFr8v7RNAo7F0+Zsdp/+D1JtSaXINQxXLn9CkjgupPnZr0+dzZvfJ -yVGdDPNCzjKWr7int840JNyqG6Xc1GBc3tOK6FUvKljFNNUrnWyNj7VVSnjFi+ExfUMsYVeXiHbA -XC9eiGhzNtCR2JMRLiKMlBTLcBStLa8SeeBav3A2ea0Ye8Of/NBSGPebXCbr78J6bPbXjV5dEd+R -g+w2lXsecZADr1FyL0vXn06oy2EqMs0He14b/9GhSbxngI3ugNqfHtKvE8Xe9jljeuCK1V5n599C -7TppdEHUuTcEZTIAgXJBMAdqCXnQIJZRCJgqsE105TL5gtNUdr+Zus5oa9XSLPPPmWy++Y6Judrd -z9kAmcrLtSCP8pmpa4Y9w55/c8G8N4Y2wtzyflaQ8aMMOJNagBn+AdHMsIgHnWE/3xb0uqCsey+9 -XNjyd7yi0a1NqfpXGVU2jSm4sTssMbesqJmV8wiPcwvApSl4XAnNkKRzK6/atPVmJnE6yHM68x5h -jD5DFevSYJRoNiX1Tw0UmO68utUT19x9ss7cQuFsoUkhHKc1f10aGGfIM76Hj3+wshcxZi7f/sMC -xN0CnsHRnXnUzzmH7M5YyHmUzxzncnDKN97ncU6fFujlq3NgQ491KCupNAICuulwM7O7K7mjrQKx -Hocrhvcc+xJOuEYROPLxlxXa92cFGokEpoVsgQorC5EGJw4jv1bxHhcmXc9lDkLKtLBHCPfOZdb0 -SgsaS4CRL7s82wSyOvq1Q5SNO2DyFeCB8/Qn45toOuYsq4O8pvl+CdgdEo2vBdRJhDzaxG7yoAsw -e1Hk5LF7asxDx+e7kMUSKISkYjdfqQ580P1tYzhva7TYm8rIs1MdKDk/Hko2PDXG31Uy7j+im8zm -VleBuAk8qy343gm6mtg0gFn/HaxI6K641u3uAu+UGUItX9kwMr/VuYKQa7TLGrZRJpNhdXocpOwq -DTgHu4m0b15xtCl1stN7Sp2OQpfQJ586Dax9S59htsIwrO7RebGb3RoTg+nw/43z1RrrLQxLW8Ii -jg4wnL6Jb6hmMqflMzozGZJ34ZCr3afxMsesBu9vT139Y0nqbxze1xvclxvIewtEQj/Nfy7EIIx3 -p2SFagWIfcphe8o1TxQTpRIbFd3VEpkLRLbxi/vhlfprrpKc31927n4YM4ToTnuPsbXp65iMeyAi -QHQltVUlBsWN05gD0Qqiv0/rKNJBRyNJup8BdYNjnAxYPFS3CvaED6ucSNY+60iiH+p5nY+IVMO/ -G7m1MeVbVkzFOVeCLAlcZY2rbFrF7gHYvDGFFIzj/AtQwt1SDffj7dZS/odX63z1Lbmk58b6H0pb -MJ0oD+x9aVxTzyQsqkdnd6dREQ9i1s7YxFyUojY1g8hDniZIStjCqYFifiZY5yGD8TEgI9WzmSnb -0qfzrigPEGgspw+Ri3+rsvIbRgXI2T1k62y/tadeHgcZAzlHrTz3FkLiiA5X+ftf2m2QxsaY6BSZ -sPxe+JVz2L46bwFiC3qegc0cPflQcCEDRe7R9TQhbq/WP7FMD2n+qnzbpEine2zuenDFpzEsJGWM -AGOzI+BsTZZoP6mTgN+G22Xpde06eUyWQ3mBYcjQfYWudPmK8nLiM31INGZzJ74N+TnB1Sm2ag3d -YvP77O0mYv33NM/ImH464akV2mJcsJHdQMI3ZkowKaES3DXySgOLMg9IE6UTF/bKhd58rUTtiw65 -QYXTO6poi0P6mF12uRiPfaBiSMPAZvmL8nZ4UsoJaBNpwGZUK44mn3Qh6p53Y+zsrk6TxJTzyPtO -oiVqJcZUFZeK6e4j5vkFs2jaY1iBkDgVq4SDW2VjQF9mL+ic7PTZ1gMytG/7S82NxWsJ4tWtQk6C -4GGpBfY5BUrup6bcoNgjbHLPAHsWVXKO/BC60MaRZJD2q1vMa4yqopYTDISHbcxqeev75fIKOmk/ -v0/fEbea0/Du1XNBssUAApiB2YsxLTe1yWaEglB8IRhy1jhLE+1IuqWVMIw2k4nT/TFMAdWer32W -Raxa9MEi3Eqfi40TMiMZt46Ityxkc/nZYwW2ZPp5Hui1srj/g0YZY4fBoM69lQp7zA/fMSipdpzQ -6Lxbzh3ddgoDaUmLnKN8AZEv6T1byMqJayX/eWDhzvV5bZqwaY8MGZqNsY/vRbV2ev+N9wJSGSux -ODUbIVEKpXUBFEkIdrGg4afAGDbF3VCSxPrNJ8WLaq+oJcPSvm5wNSngMC03+Y64gRc6I1CKnmAU -aiar8mgBVpqVc8gpFSCylvFZu7BO/lVXR24SKZk2WHhyigXmz2Ymjo4ZknkUPHjU5WeHb3rw9w7m -zZWgBr8ifDUDBi8hqBUSGT+zSHYpOAbpHYXTMe2I/r9q7RwMEEpBjdmG6QzTzCxbNaxhM+qbOHlo -mxbJ/y8gng+yoAYatd4XZnyaHCjIQTEoqshkJdC69SHgH6GMOP/zbhZkTrkeMmmJ3C2m2sizc95E -Pg1zBzeGsmy9e8VdwsvxAAdoLemBp+Th7k1wGikyb7D2nS87gnIyVM/ijpx64acNv/A++BmxDg2q -YfABpovuaLdzvmzqjfJMhldgmJdcKcBqinUj+YpoWcOGJesH13iOxavnYnAsPbvL4OmzgjGoGorN -tll6RIyYAV6ZLhVmew23JCNR6KCl6kDyJm7TlOTpvMRzVhfidD8VngLGhjFLlsivPW9uKTv8PZLN -7MLfkpyt6IhA2HShqPLDwKFXj0hzqa8E35618IFgvojL2O3tlVhqj3fDrLOhwPY7N4UGyU8qiojJ -Oi/geFe6gcATIlJLg3mlhDbHco8XXUBGTKDXHDTaoCTJ1PkC1vdewuWzg0TW/iQct4XutUNCQ9NY -x+vAuX/WQSWH8gE7OZ/gnC2WdECfkhjA+xSw+RU/gTO7azy5PB5uDsahzzkzwwVfJlimIDp6vzCn -AZJiZ+IKrR4D00SzpyCEQCQsqcRqOtDf92rBkMgnoNpmYplgLWE1yl8592uVjqWj7fOi3JCtF5tO -udIglEsLCT62NFWlznKEbKOAL/1x0uAa8rt8y49CAa4UWc1x2j0eQC/Qd34tEeMbHo6BfNyUnpX7 -R6xP6zARqDk5DUtlR/A3TVI5HbtzWBRYyknyAvEwyDCeSs4urXcb5wGopkhMbjEys9uURuZAMXvo -v9KYQvJr1ndfoNhmllTr+otFKMr+CBQpaVfwJ1UYIJap9DKMTC5oibMzXTyfq4oubup7numF5RA6 -giSwF4qj7W3uehNwpn7w73RXKvqc95muNUd2NJJ/ur4iRC+00sUeBZQHaii94r1RxL37A23LlqiU -YzyB8+vYvKzch8LMcJJ/ad0cDHYzu99qLwMoMwf2dLiLIfW0Yf/22Zg0xyenFS82l0r2JpfOa83X -gWKZxKatY20Q+G1JPHFzaLcUy2iQXy3BpCubuQbCmSaWjbsOiLOflMnmtq5rad/6Yh5a79Lvumct -F6BvPCoJSano+6osbg+rLrLuA9YnQIMgbyc3LGYL8sWjG9lZO5smv186mOHPdNy9lEKqKvjcOzOi -xAUC/wWnZWH9kZjJrPqXjdUEPw6y+Kg6nsUyel9OUrE4ezwnCwtdTiHvq0vU9fs7MX7VhQaf5CCH -KYdf71WE6bVZuEWu1xkp/wD05EMon0nTeSXD9FZb4K25KJM+tmNG+kmbofqh7q7WnQZhemL11P4X -ylFVK0KhR2p1np6JpdCm9JEE7zOyM3RT6jy7sK8wjAXUi3e0Xfg4frtMGWfOC+mq6DsCbq0TLANm -VjbZQ44DtBGJ0RlIDGQ1TkED+/8L73K9MjJTEt5KeBlEkHKAcQEhIHmaQsEFk2TiKS68kH8bX/ap -lJUX/u+iuA3HtclAwnP24eTI6kY8offxSCw3EG0i/ylCXDRPWoeS7Ccazy8GwC0ppB1AUFLwabXg -/B3jS6IBymSf4r3iuidxyKSq7sOWWlXcN9oGT1PZBQIYHdD37+9EMVaRywv3z15TPx4WnpBQ5h3y -UYCwbbGcBqPo5ZG2OlVlN3VltzR77G8BmjVJSQGAplis3F0OJnggTUraqqJ+OMcMDfHeqbH4xS9V -l3a7lZey7imUqE7j+Ws2hDqLpU6x4WJsw09D0cJBiGv8pRXrky3Fv6RxO/kCDNchNKbUYO3jmh4i -+Ipdx63VN6+6JdJQRKAai8/06SalVxhVqK9w46t1Ct7Hy5my4vxlgGnOjHpAn720zTyFu3wISGKY -kn8It9I4i6cN6hcBCIlRR+jJct70NCQyQGPIG0TjZ2VQVwKYF/4sBIYT8UiPvLnvi89m1MPjusTE -ESd7yBtiuaC2n7pjUR16JSzrilseXMpdZAF3Pc85Aumyy/4UtzgNiSknQfYtQcCVMvskLTttVD+P -iyY3/H3cIQeK2TnC7RcXnUrADlP1roGjdtognRxQ7oecdzTZs07DLz6SC7uwcoHsed2SB+tY63mC -RMgvaMrLseFr2OakOcReLQlQ8Phasc+SRr5a0ElnTcdzDA/Iv6PuHCsBStmQrybhq4YDn5cer07N -mPkUVcKghX0b6WFHn715NZRDWJz9dnf+39xEfrKDOsWTw87HIa7GwBGLFmA83AnTCvhdWbeHp+fg -LJ8N/mqBLhA7+zj5fUg1voz54osPc6NYGvALy/ZWsiKHFCGv9AkX5cSDA/5+n1WHVRSnuZRESJpc -iMmKQxcFBg3PnvTcRpHLQ49HFj9akZzxaSKXiDMXWv7qOhxH3PrOJ8qLiAqy5oigysZOEjgnopnn -YEyjtSYBB/HszoCXecsJHEZksRjAMMx94DB/IbVWMVBXeII2F0XQxgcL64nRILH0bZddoTc6dU2/ -WHcrOM2U7g7BH8Z4/Nhu6torqrxrsIY3WBjuOE+s3cVGBK4twb34uutNYQxZp898LUqJX2AqLcH4 -qFUVUDAvOAgancGZgb+HDk4qKfcRJyYvMa9pz3oaAO+/cFhvGuv9ANchw4Su1XyTY2ZGaNOU0c8E -NBhe6FNtTRFVTCR8V6qGwENYVomsOdiuFVv3OsmABdKdcY7b0+N4sKsemln/A36mwrQXU+KMSrS+ -FKpQcI3+MKiPfK+5KY8BJuCLwO5MI3weIHQVsCF0vsKMN4+AU+QHX9keeJ1ij2RG971ow4kui03a -aQ1cJurZH9+w1nFDOfBhwhKWtxR9bswCXM8lOl7qww7+Xa0TV3/vvPpoJIjR4Fm4AFnS4/FGXpPB -cOzwtWgAN/or0CeyStGH9ZnsC0JLuZNQt4H9eNBaOZxDSfnk+bjfChDkgOahAUkxnhKIfYdu5May -a1gFB0GXeiaIMFgEGfwbLQRd1C9CgYYibMO0T+jyZn6fr7Ixrnt7ObCQR+xBhzDn09rapYn5kTle -kbO9oWOukAccqHl4eX1HZqOZzOZF3QynJpE88RZtj0HvsRpx5KgmmI723N3O7Vy+vuM9JTi1QmiN -vUFq1V7N0qXzGUa5ZFJuxUS4FatT7rZVbh3ScaCqcJejsTloWgdLd/2sDPCakNcjtVvzZk0pL3BA -uQ9n893wIowFlxyClE+qT5ea2XrvAW9+IAGgZEF84qRF01ws5DwW0bClpJRC1VqTkKcZk8k/1oBh -WgjSoyiv6NBYMfzWLP8hC4HhGuwLyoJSIo+xZOi652RSeGui6v6JWjAe+++YQbuXmzCAmptE0F4i -Y+09i0CqmhiEyW1TKpqvuLgLWZxTyaQZQedeEjQRnublHYRFA5fW5kWwTVHekfC0klXnsx/Mngaw -gsxlyyJeT8+9GdN3pqfxkKbnVyAWWcmiJBVoge9KRVj/yU9IvjCyAocQU5V9YfMc+KP8rtJlnBrx -nWhWAv5No8CM7ySIoqs1RR0x6Vhl12YXH5WAZdvgBGepKWIXvcLdvPbCR9qVcSVtsBlEXuZtFuOl -6wxYAZgUq9LpQin28fy++pOIWmZOKbHg7D09SxhgjcrUArNgPOtuxzAi8atuPRWR3N/XZSw1gs1s -mZVa+AS7CqGkvC7WlZvQBlCWWC1JHes01Pk1VcryOUJMW/g9PmcI/GeSeYyrsx982y20iRXWy42t -jUeY0iALoDz6HHrpu7MG3932KXFG0zf9d5xTPX2KWQy1YMFVdcwDDsfzDGfM/YpO56+n2+bPSzsF -4ijM7GxNV81d8zwT6id3JjADOj0dvFH6m8bDAI+6bZF0nlsoScWEtUicbsQk2MghhsyZX7YDmKoA -ueHBgsEgjHoOqhyV4iXrAiH5SlhVCEDVFVbE34FnMvRAlEXK+8dfk8sS4pF34th+8qpryD9Jcnv0 -nJfjPGedACTUZNxNec28xcdZ30yaDeR2EaMhjmJ8pP/Uc7YUCLuyI8GD49K7f7dbfMBQHz4IBeIC -I1g7Z8NYO8eVgX1diuvo250To5Ol+aLaeN1oaPzk2mEudkqjtka26399cJAQfsNGymmzLSNo16lv -vzELTynDn5PyvZenv1/rBYiOACk434pnUbHuxXTIAboED2J4CPqbprI02HHHPyjJJSa/v63xn7BM -zz+532GcPgDJ+yvYgUCZBiL9Fy+/5CRkX60GTUGvZJgRful+m7DQgKtmaAMmo3c/NWNjLhOS2QbZ -01rC7CecxPJE23e9v9kOAumcwQyIh7KMik+T7Mfhi+/7JLhbPYovjKfiOo4s4zdpAGyIICpS8NZy -xPZClxebNEbU9dnXZmhy3A5+AYSaYz+Mmk9hkpNGMmQ/Do2RZk6KSneCYc68J6/CsPKOSPQQTl9Y -/o+u86yOD7CZPMEIRpigKE+NG3JLTwB+fa+kx5ErGffqJmS1z8l3SIyfer46u0rojl5Y9YmkQJcH -Zn27rLZ8tCD1L1AL+H0m2g30IwM075bndx8rZsmqNNUWh3uesSybTF4SY4twuarF7eKOw8wCqbp4 -vg2AjqSprvyQgrbvmNPCMeyrR/TvEBM2n2llCZSIEm2P9M01GHNquIONOKLDWScFb6RqLK41WLuB -RRnJeHg/VQgzfK1Bk6yg1yJIRgbVRkdatjAYuHuXCfWUDR0VUjtoJfc0dGRfIKzZgTF3uFjSMgO6 -0mh+l4ebmmKCXpvtVODRL7s/7ftfFdCWnBiHLCLpd4pKd4Pk57t4JAfn+Lak+vz4a2VVpNyfObvB -+87b/Fck6g2CyQ6ssYBRcsGbBGVOs/iuHG6kzh96Jg/q08BBhGHBTDzHwMhjCm6AhY4AHVMDtPZq -DnbEXiM6L08rBXTvFsOdpoRFb7mJHE7wNnql4Z9nAQk8w4iVXC+dfOBFCSXzB2wOHFvx169oUHMS -cAuyv3xtxCzdnj2ywnilYznTXBD6s449wz9Is2ORQvHaCvSg/cb14n9BqqCT+8LDfZoxz6I0hLn2 -O9e+hv7Cq7j9oLCpsnCUKFJqBJWDE4ILjX4Jwq2XXmLRVcJHjcscrZ/85bs0R5QqGY2yhD9RnWU5 -pmHRvD/FZQwBP8V+DyzPln0iwCYteP61cBwWDaW6LHufAnolto096e+7z64ACoiy2Lt42bLdtH9I -R3qzFN3CKyyP9OyhPq1PQnuYVfc443VC3FqjFBn78rqUsnuV31m48ajMv9kvAE2GDBvtJsaPoowa -qKNZ45xfiGVZFjZZe1oTzhDQe4FumIZ0muQTAVObRsYbB5egre8Ds7v3fOb6PDeJKKngVkMQFPBk -c8fRpfld60Ya9PD9V7po5q1U1MCoJVFaet/0/YYRPRMqhun0a7uZPaVd1gpQRL7l9/ttFAOYiHCn -En5vs730kp2AjdmFwoZejcWev067WGCOet+yNYLYScqmCFtPtgMFz8T9SGABubvFcCYfIi4n94Ss -MOlUKJJnVT9n7Tg4Vnqi/R9lK6PQ6US+BWBw6bPa3ySaLB4q9db/lYUSnstZf93MYccCfiTOxAUG -GBVbE2vmUM584+Cl4OAiQdT9yGMUGR1iRDjbKXMVm4uLJLnBI18oUK5slMtdPSpM1Ro/KTxcRZzN -JemTxI3cZckvolsq0TuW2bazq91UnPlMW3YIqYK1ramzlMuq2DUWZO7OB5hMMYZY0cWZvPCi+aJV -YAyy+4D5QSRUSo86Cyas55zEeAXLgLDZbqKx7la7wxkmQel3igS8GQhA6EkrPwfzJFwDxokX4Gm2 -SiPOKj0P5+A6yKjI++VbLxLXjcyE++bLHJxjH3xnHkDaMTZ0MEarAXUdGPzFyJDp8Qnj4wKFLHBb -e5I0DbMM4LdnSoJL1ZsIy1gcjdrWd5+GfPVcsE5507OxdkEmSTNbs8e1rYVICGAdZSVkJ6hq7Hlw -WaGVHAx+UnaBph0zLQnU0+43MdxwMIfBI9Ye1xjquXp0oHSScxAR9j1Wdk1/GBA64TarHPLmBgeL -oWQ/259A/BA+QwmmUO61fE+03VQlrjQIEmSEHgk7PwntfLxfXS2nn0N/VBXoXKIqqOmkwJdbJOs/ -X9dqZPkuf0rG8dmt8LWJs7FIgtR5jIVhde5zD5ME8GtItH+b8Cecs72NL2P5LQOM+EiAK7eiCU0b -iDke6t4GJDIbEy9PkwSB2bnPTs/1BphSR94m44gO3EUpa5qbrm4InWsy79noY4UQN3DOnMC5x6WW -+N1zxl9X2qcapzlxDdD0KQJbH1D+fvRDE1pxt5vsCvogudZmnhEmS/wbYi4ZO8y6jhzAzjubnWfq -d37SRAGC8OKyj/MJT8LKk3BIVjOd5IRlNzEeE79W3S7Z1rLOmTqgMolIEJnuqt7iK5gr7T0lYWrh -txlPeEdeziM+b159g/Bspe74Q1jU9wDjQkrRpXlPb2gjfWVuMtfUBvTl5SGOHBaqTq2PLSqo5KAJ -JNC5lSuaHqHLZq1SbbVO6bBm6PijjpcDkZekDGnDd131B+L3EvACeXwt6uNl7A7//3hqLJx/y3TP -chaFt8Yw567B2vswKJNKhaCLTnf4JGPAn4PucAO1GBWIKu0Vs/cOiitw2vJG8NsVWVebQVWHLZRX -uEnGd6Udf7F2hWEiJ2hYsT3rxKXUWW9jhHkc6mINHKUktb38hN9rWEqfnaBaJymJ9EBwN3lzI3wJ -WHWYlndFjEoYsugJhhS+RJTPcx9T6eIkX4tnchR4SpwzF1Iw+1q/tyTrymb7ukhWugA6tNR8IuBF -HvepaSkidGjHhAfjQwyak5VDbi7GpX2Avyyxd7CM2JcAmQPMIBM8z1Ud7EUlJEcAqccE2WbMz7kK -cy0PoLN9Uyj/uL4jQnCp/DKOqYnFJw4kd+KHmD5yQTngkhaMZt+IlI0NcB32LkOlvfTe5jEG+PUn -Cb+4/dQskQqfEH6/R0/is/y5xL/1WJmTez0v6I1s9/rDzBbMiJWyGVuQ0O+sM5G2dx7ocdtdfRMZ -EquJZv5m0p5fsu29wXmrY13QHlwbSdipxAtaniYhsXVnQtGwqpcPZ6vxLsFljp9h5quz1OEjTppV -sazs14FFPNqPD4ckNzE/Oziq6QzHwsb8f11Ldz6B6cjnJGkEzHj9Y/QEV6CEBkzqWBUeVtTuq79g -aL4objQwRq3S61pCjvBg7JRCcX1wUXg4ElW4FEujAkc/q4Qr07tVeizZyK7yn6cGbLM5Nnmxu5mn -YJbQgz7lQkgZly9K6rnF7luUYAzkTBLbCviB2DmpJdHtMz40SFh16807f95SF0rfksdGGu0U1Mw6 -mXqa8WP2PN9Lxx6kNBshm2EYjzzhpn3eJ186zZagF1A/cE6+GfTWxOTrlOVh3RMSG2Q1/2VDA9cg -1LoWWaRMmaCnyBKBT7Yn1whDG4tqxI1fkqkKWDmVINPyGWeB60F7ymq8/4lEaQbCOLTx7aLSM+up -APmi/WFHl+mQDWz5jheVmhDG0qS0wo+srbOF2Hq3dA65dPAkAE0bPCqXj2Am20jhmcKYxFs2PmG3 -h2EZ7xAB2KC/Jo5wkwObEzWAamklrHcBOZ8gpIoXInlqh5XSlZCEk4EwuWj/4dpc6rhjv4n4dPUq -X0YYxRnMLPcAmBNPL2/jxoUICb0fi1jx0JSj5ytcqTzUyfsgP/lO2egVHguVGCGFsu+pbNlk8tww -ELNsDTDPiyQRAKXbzmHmoFSyQsNN5e7seAKXkHrwOlSDZ5SNqjnVz4W2n0y4C1E92fORVPlJ1IF1 -P5u9j+MjpvB63Zo08XKrLTKT+YZ46AyjVy4ubEjFhAfuVzbzUUVMb7WV/Wxun2u7KiDgb3z/DIQD -G2LF3n0CNWgn3U7BiWPHrO2QZAeDbDeLZQeysJ8jszBc8GZ8WQvrneru1mOn8OSqZmiTLnL2XUAz -w+2clj3oxj8BZ+t9jUugwKCl67d/k8DM9BQYitGOGz6/Ud7O4PMNlqw4zYBHSiEoAsPnFN4dlxs5 -qC9aVrBT1SU+gg8AEa70V0BkGL+0gk0eqxXLUAnehe4801s+XmlSlhnSiKdUheaDtD3Er9XcjQdV -6tu1k6zqbYFXgiOl0zN1/9GHsJXaap5Ps/WxHnmYs4NXtBXzx9xeDcdGN0FRxZtObAGcR4sbWsyU -Swpdq3Mo5OvOOR/W9YivHFwqVvseiIE8yeiDxR8FQMFSQFyy6X/T1bT+24uXUlgxCD3iGpt1m4Rv -oOQ9PILKnyOJeQkrG+dHiGYp2twwXCqg/6fnumTwRe0HUEzR14iv9rqWgDCW/+7CUvhN/XXy05AB -9qnOSJ23qMinx7ImfLbPSyxWnYv91GcDjdls8ATrMBT87eHiUaM69sN/yNSDPAnR3/IoSi8BtcCb -8tduxoJzRdorvQoKY49vO5VvYUEFcFqZeHcj81Yhu0S4IO3OGKba2seyNf+kVfmvzTf+grFo/5Uc -cNFYu0VrIEHrGCXdPoeswVq+pwtgF4VFib9ewd/3tHRT37EySONV6mbTnjuYkikvPHuO9GmcsAkG -4ZumFs6kDSrLW7rweZK20cJyWTxzyXGIwwp34Guh9GRRLsRzO9C4p8LkHSIPOtwf/DPxmfIVEQD5 -PfwWHsCVRgv8NBEhVtsvAtzRQK82CPWEVhN5fLGU47PlX8Leb+wROPfSQQa3C3ZFmMlAdui3wc/T -GS5186AT0SSOQxMPxSFY1Xv1hAAsojI/T3bRPSYrlVFMzvwhBuNODmROjsAOZVn89psT/h36J1Uv -A5YdAoCokrNgFyJPVuUPbWqg0UEsxfdrzg8Ng8MJjJVy8aBUN9eMVqBXRb58JwYQ2Pte+2X/SEU1 -xLjQk68xWCeDQmX2FO3LqhAUzDEErq3X0QTCXYUu+ohI1ErrDgsLm/gZmHg3bS1P9bwtBUzRgin8 -YNeEe2OQsB0vJHwdQh4qlCtIqKEoRVKlUwNnkg47GsYYN6h7HwBtn14vzN8kloQZPPXzseCEB6Sl -zhew/qmphgmJ23YU7qKhY1IdqVHuQzHqtTF3GO29Sjp2yGWXuB5KdDYjMA5zQWwaccCvbrVXx4Q1 -28ZwCVjthhRsbjQZR3tXLE2ncIg3uJI3xj5M3xcIGKLLPnhaFodPwkMA6L3iaFgiTmqVutmmUFNL -vzvHee0ohTT3SJDK2mi3JhxWP2cgoJtRT1fFr5nvV3aw3HUVnPkTz4ZsqJM+MwKveBRtMAzkPDrI -KXikqfxkoT6H1rXUQ4sOwXrJs+fxxS3/7XC+QC3TviKsT2MKXQnLxim+46dBuPp+//eVA7q1QIBs -voPoTv6GGKwJcb7sEqBmvuc35uN9JakL4qHIF+SPe1/lenNsVBOVjSQ9eR3xVda8M7JreyP+9QDh -TeYs/NWWi8HUfDqwqDq30SeK26xTWX7Ccib047LBwgLjzottXe8kmPdj1x1XndwE0iXD1+iXIfNk -3q1PeujKsdKfLjJHP4+XqLQos0kjpq0jmifOinMHo6fmYmbEWTJhjyDlyUY45uttorlDnl/rqgmy -DlENqj3hAzbE8+lxqR7nsZPPyfSPfq1t1Pr36Kgb4rMzwN7s+fxFa7ygg/jCOpGx4Z8SA/emIdQC -0ryljihBMFcgcu3BHOH8w5EAS7T0nLY2cmKwIPxSVyTLhmS1OwzvLd6Ayu24f0oRcVGYuAAZF2bT -Cplrckshb3F18TrRHkZMQshF1YSQJJFfjQ186TRmZKM3itNQJ+e6t7Ww3xISEOONbTnZqmuVNFaH -BsMVaj9xWucq4V4ELLgaCnpZSgj6OuY19T/bl83oMpIWploiHmxLbzKkKLm5FD6VPYcc/ERNoGiT -693zruXrU5Gsu5JvtoFAXn69g2rT0I/HmXH80oXg/gY+WmH8dqBXEwnqcwD1aw9+0KssyoPTvlcC -xEZX6agudvq/D1RzUlzm8wVGp6ZUSPpng4q2eme8P1+mi8EP0YpWmaAL6x6kR6dYTgAkpZagdWqA -SqTfRt7vodcpb2CFXdyeQIWH9ZRWXwTErAZF5hHez+ec/5M8X/b75XOt7p8V4xUuMRwnugzaKTFq -xS+1AjVrQ8RywUUuHtk8L3tsI7KK/0jJBLwi1TqGmfNlxnok3UbW+0XGlhJaUrA8aMWzEBckpyzf -hBUjyo78E/QAPUaVsXqr3bFv6xwbiqERdyF9BUI2Q3BHznKRXKgQDCwq8YEhjbTVKrFkd56I92UM -vjnyNyJqgMyMm0gwDIX+RogliCqtsg985cIusMJNPDUsUeeeOkfo1tQWxW19vljv72eryabyxNCX -I5fg13CmUAQ30xrxH5+gfYzFKB3HbdTIb8IVzs0uFZscknSZuVMrA1aXaOVLTJihlDs2laWDhzw/ -FjseWjM7+DSGh0cEtGssUV6OdrVEw1CA7E2MzMCBO/reZ1JejUPMn9Yje+AyeFzdEZR2HJNWCunt -bgQrikp2kGZA0vTXn33Eilgo6kOSD1Py7rhY7nxKoeHbrdFMKJTMYaBnHgxRdD+otY3W/pLn1rB9 -9fd8mJvTDqf+7S1P8Q0RkQAvnhHa/SECFyr/L013bsO2Yi9IeT/TsmJXMudLoVI+HwlxRZwZQfK9 -QJacK0BknxPiPqp9E4U0Y7WbVkFBa8/I/crXI7dqhgjRiVsTXQ+2L0FEQ6LpHUJbaqW06Ny7Ikb7 -qepyNsOVQkE9Enn0wifUQiUeTHvjVKiCUeTc5OCtyXVrQ/ZXyrZFoAWs8thrsHBoxGjtaIxVT+oe -tP4IyeQc8XowlVt3oM4B1p601Rggn8l8gcQnLJbxpcMdUsQv24sRY6vuFRWzMiOJFHKe7rBPXe6d -yE7VDIKHpcW1ZFrGdzhiGAfaRzdVWdHbC2e7UFsJT/LohIXQllvUsylVCrSJXRLq7nb08KknEGi/ -5utMdCWPcbkN45l7FyIDcY7mM3ZhZspOszzB0x+SJ61iCEq8Sr7E469Ymd6PoOzuLWTVXS8tCz/Y -IBaGLJVqJuuEErECr4Nd9BshPrUNfogn+4sM764ZquWwSBJfgx12LzYs8zCJVK2B9smo4i7A+SQd -eEZVpu4Rs6DWgiK6toYBHoSUDK/TT67rESZt5H02E8jEKrQxI69152FPg8FrDGma1XcUqiptFaNA -maF3W24Kitrfu1yt7VcoOmVgG3+lssudjp6fGwdLLqQM5q5uf+umLtxjZLkz90FwVg44bPfGXdRj -xbDIg+7O9w6RPtcmyQuvXC7P8qHwYwz2UyjLavRYvRHBZMQ8UWU8DrdsUTci9AI2eeeTAhBe3E9y -fAtmxdCQu2A6irTm+E3myaLc27esOZTK0RNfZiL2+VbqykpaIv7Qg2L07hy+i7CFQJHH62KyO2ni -mNBnW5lNIbXxy0sy2/1/c0Y5j0XY3j4SP0JECyx+rUkuY04KRtxpXLbrwX4Z6CtQXWkSRGL8rPBv -GNv6PBcZrSR3hkETAbnhP24QMX2+OufuUpCMLDRREPusl7uhvD6sUz5dDSqgCDd0OKkgrzEZ9tZI -Ht1K7gC60YDoMJ+YP7IEU29g1aUgOuWU4uNhvqNrzP806NZCPWqCPCWiH4vIwDGleG8MXIaObwUG -sn5U+ah6m3p2iQdN1GNzZJUC1nLgo6SPVOqBrtKzeVFJBf23XKJF2uqUeBNWfzsr4qbD0nP1RlMk -uYOqzkQPqixUgmQFJHCbshIWK+vTMfhAJloe5bGN0dmhLH/0+uSiNGOCUa33c2Urx57RTRzSDNXZ -EPZXJHPYNLMXzuaxbuc4eDKYfE0bZC0X4f1Ynq5xAIzzmchrdOaFSAR3B88jlX6c6NMBu6decELN -V43bO5c4vECw8yl/zlKej+SrohCrJ2MG/vYwtF4u/8suWlm4xZBjOxHWLjkp8sBnzqes+s6IVs0c -lLruEqZ0c5YoeagxJOcREquTw0eANMevhTu3ZUTLP0SiuElIvKDgIgNGiHJI66v/opiNhCdoe7xP -fTcGm7llRPRsOPuEXq6rtVQyiLgnco1sF41Wib/qOpL80kmxYxmyI66Y3MzOfSVo628DzZj5Zcqo -vahC4KcNUlxdj0UmuqSsnh9B9pVeYD5Wjj5vho9WjjStrMTDhD47dMsan2eGwmRmYYWZWa2c5nex -yBVgDZ2UoZj2OTePeJ31TtRoIef4vFGfGpEEqSVHtnIEn/MlN/wFmhMVUC+mOIS1DpL8xMZzt48L -Nmy1YVmP3hCXXX6a4wrGT3cUHMzXr1aLJBDb4cxyinNg9Am+tOnOP8Jwz/x4gWKTNj3l5yd6Cckk -SRx6mwvocKCqFMExa7G5A9kalv4EDqlvSTMjZXhK6m77blQ0CTsZ1Xu+JmD0qhlao2YXxP0huG/d -hOdiU8TzPI8//HwsA5WT5poqHa1hqKRP1tlN90qeHIjzNBV5cqzQQMhZdqZNPjEUKR+oiltf7nRn -5lI4QyNLTsEoIKp+pH3lQUz3mvHtm3MugrCWmvg0THkRZownmP5NX7RQXJDh0vShfYTvjeriH6/D -dImDceZpx6ZL14Ht9iuES9E1E2PYjCDTVhG2nNBzUq8+dUynuQcB+aF2q5enBgZ0GjKPrrgwdkmc -30CfKLJi4dZ9yYGpTEWYUdUA9E6mnMFT34P4H5aQfayDlcD/r2NUhNi6ZqEOIrhMaQo3w2G3v0e3 -oeVXfTO1iOj4T8oxMzYGfILAdO9Xv1kpq8t697vlT+g4QeOxKqEweIwpS8elC/0yfLL/YRw6FpgW -1Jyg28Lo7Pb3vT9vljiZV/ZflclgIGN8fky5FUX4aai65Paa477DNwQ/OXF6W5Ea9i5uhpzP1z60 -ZArprFTYBV/PMbdUZ7Uj239meM1GaURVBquuRHhviDuBh39UyxuWS8nfMEaiaa0eKhRkoCnYL64D -nvphZN88Kz52vG+uUN7xhvyZO1KYA9kNrVXInj6x3TVRrCB7X2Nj4rcQowkfBC/pfbJnVrwvMTu0 -aNgzcUxFD4GokODj3H2OEpSJh4MOan5YcA802hsURPsdrlEmBlV2J7jBTT+N5LjQzNIuzmi1l9aW -K7zWxbQa8qqcjmn/s2iUeTp1calXsozaudV6zuSxA5O3SQI7siY/ejXd3XudYpCO0UYT8pQXmW6z -rKgtyCgceSGGp2Fbh9Rzhfj693TmbGBML4BUqbkzT2xoHVCe1ZYIGzju+BT4bYK3gvW0gB/4Qz2N -qG24rK2bDLwk0HmfjVjF0QvoL86p7gjotVUsCbvKyIi1DJBGnOf90jQbAlvbrXyzG0CVhPjUKFp3 -QRFNyrQa0BQLp+Jrx0jGhRBf0psbHiXVOEpMwyNapC+hPnErp/I7mSwkFHFy5eINvnxfiD4Kbggz -xORbeZtFdgJIBzrn0xJI9r/DRmc8wfnXau1DbS1AJVECmdJqPh5zfj4NQnV64w6IcXoijU6vdFpA -kowEEDaj/W6gRCS2usVekBqx7+CNbD/UxN1Ng3+LN5UFKBtGMdsEdvIoanpzmItcvU4VEwK+w3Up -ZgYZbAg+MBDkSjC6Z/gLmaGJxvhR607H8klKapElopp6SHhdbE9Dy6XcphN5O7yjwI42q+D6NokY -WV2+1+d6PzeesIMmCAmOm7Pc5cGnopovVpZCkV1x8FnlPaNOG6jMzO8U3A5oLMuR45RDf/82vBXs -BAvCjl1pVs421Wbo+EaFQAHE8PsWGMMeVQB089UuPz7LfKcACDnAa4RM5Sszde4Xs1qtGuFYp9aN -EjFxrElDkGM+YpSHlwqatjwAn0vdRfV9/Wo/vKbwTlx32ufzYtvNkVTKXKNoitKyqJ+Pv2j3PdLV -HEKSNHrwk5X3D3+1utGpHutOuqjZbtBJu1YMwtxHOhEiJw42O2G+fr6Fh5kivP6xt+EjQUIYEgMe -WhNiJ3xVWZTSsyCd/xe3bF0xWlTWaUfSu8LVLKXi1kdDLWp3ABMP0w3qwMi/qgPjpnb1wyYpFX1a -t6oJ0tkhLy/ERSaV4TrUw5JNXg0Al9NisDzmV4y858kt2lebr4uEVrINzU4MNFFPH0IPL81Ggrsm -Af7l2chhlwXA36XHE4BWCVNDxepGIcg4t1eirlN7KuKi/8C+gnIKPu6yZGq9n+yFrU4iD2rCL0WL -aVDaS2BUNfKkr2AKP6TOsel/s5q7gVNVL+VPSdkfELXC9USnbnBaz+cd+Hzo5ojOhiTe7Dg04b2H -ANCIlEYj7ueTPeTLLGoddt+URTqFoTjrYh6SH3hgbIQiVfz5DSU3AZ61Q4B7sxgsb2VU1aG2JJ1p -nLMhH6uKeers1gVRR5Ov2OLnAwdR7UcSB3rYYm3R86Ak+f5uJsTMYB1AXa5uxy3y7cOhrpMnIhXI -WnJr9ptvzR3WDjhBhxm3dwNNd0NeL+Ti+pVczA1jsa5Y6Sa2SRYZddnD1EWuWqQOPkk3ZM2QgZmn -Ag7LwCrS4nC6nctjKrRrzzJk4POd3NmzCl98hcdanJm9i9aEsnBRzUyhL9JKaknm3IjXEZX5Q6oA -3QwCnOmOGfcDBKfbfeQErm/x72la1IiwY+UvEzrZ+fwDc6uG5lCbgtpPCNgkTI5yR3OGX8uXMcni -AtyO23sYGDs1cCXBjy+2YgNpPy0YEXgeG6sryS0YmmnrOvcctoGib36reTNpSXd6YXrZMMbTWFH5 -tBIYy69IL44NsBCkGXaHPVxdFmhLMJJ/zzbn3uN9Lt1ckYdnnx01MuAD/SlVsZrSJ+A/uPwcuHmH -chO9sIdEGi9tdy/yXl0oV4GhuCeahHKIXocv5rHtbJawm0buJebMMeuvzrUlAsarXt75hiXZzr51 -4Cg1RxetFKLFX0PmAt7O1EeNqr8IygzKg/outh0ydtJkDjEmELC+9s8nUZca+EpxIPCrIv4VUS4+ -l2VF9dkF1UXpYIBNe85XH/rJhkYT/yP7W/XckvlYZNR7pbZHiF+sWCvYE3ciIt6DPNb065CUSygX -v4+rnnGBZduIYojcYVnVcU7dl0l+3IuotNoZUbSBMJ5M/MhAssW/BSCnlJqRRfIezOB5xyEIC7Ta -90xLYML6fkoIP8bbeaLaOixY3LLAoMSi8pBl7L38GEIHO8At6PS4Eib3lzWZBF257GKLQLavtGV+ -jLlnrfoUcXr+V7kFw9bf6d5NOatLj/FmY2JViCgN3ANOihx5Olw2/Edc0KIJtISUzlvZZpkh4yQz -boBNDWbXNW2j5J/gW4CGcDejYmlzfE35FB1fTiHFTDPB0zz/lnlq1i9Hx4uyQKlmDYthDVyJDp3Z -VOUfgJWuUKOv8tsC+Lt6ZOEYCmjPaVgFgguAa6xkQy88+TXql9xgAPvVVHh/dYdM68pMGy1k8+aE -7Fqam8cudmQFe6dV88RHkkVQUG2/dgJ8rqi71J5Ikgg5AdRZF4EaWNT+cmXouEVsSOKfU8QKx4YM -miEdcictUKJvxAb6ECtdwuqCpi2xQ056HogptdeZPgnAE4fpA3Sc87yg6JNxjSAPCalttbEcOLNr -Or2RVNoPhQgU6BKSyRrV2S0vMqrLyzHBv9Up/O1Zqz8hQJnQOTg/ZeMyrnpXdYZvfFVyTJfVeE8g -zMOW5pWlHXw1DwmH4de4zlKN9Ab3tZVx0vumrwzs2IeMMk1C7ZBFmWkTG0Ammp5kSBIr1N8wUjk3 -C4koOhvSRMaNjX7pGeblY6XcqvEzfDd4H91yASiAkBHvXBnri8vx5oL5qzoU9UuG+88spG68PwWj -f927A3VhXUbOtb+aVXu/nVHZpoeNKOSpX1M1WXHSapx1ZwWnu1OrzV+IYF9Rfy3Ra3ixjZBljwYU -k2Zyz+F3xAJ7PQzD7nlL6lJcx1yA8zI6pyPYekc4Fo33d7rLsRc4gBLfzGV9Kcel4UTLHKlyW08w -Kg4rcfiuYsvMYtd+A59ZE7SIy5LBOCJv/gAHZnx3GlCd0WY4zM5S0iSc0xpC+YHvZkPlNiTM8RCo -kveQgCL1o1COfGUPTDXRQa6qdCrvioB4rjk/ENREC9lqW45pljxksuWDUQ1dDcinEqE61Zi/8VH8 -QxnUpVtCWS780EnHlbvz1wqSByNmls8IfXcSmHSAXcllmzXSrT8oOFES42SYJAX9Tppo1uGi0HM7 -MTOGQKmfQpagGahtLyPAbyVIAVLJ+CXS9oxWF5VCI30B8Y2S3rX5ZoZotL72uNKfjiG+o7ohqL/6 -ba05inR8vu0SzPwdRbrE0LlaI1uI4+fx1WTKAY+AzZkDngULklWv7s4KOza31U7X4bR3oCVAOW+j -gyihIy5Z2wR04e4WQ9pfJpVfo5TyihJmxN3wvRl+y8tuO+tgvC9URuIUAyPKMlKVcS3RqeSiO9P7 -64zohVov1uA2xdH47hb/KjkLCez08/i6BCl8V7rirM98lXxm9dE+pve88WwZ4PqtzALn6XxAxM4m -Hbz0PeVrxSxp4FJVqQJ5plBZEmJO7Tz+0Z6NjrDDFm8ZzjIHJlQujlvHOD5hPEHd6jKzgTnxzIXP -vDv2+R9V++US95G6EuZInKgPzgjhS9CaPeriJ9g49KkJ2QzowMzLfJlrzWWIBmMTSoAFfU0G4Ti8 -NuRSl2YwEfsFJx78az2/Wik1vMX0dHMbHbEoQfHO0kBjUJ/6K08VjsPvIZNRFEVBWedw4s3oTqOs -q/n21p9PC/v4K+h11rkDezPDXr+k0ktZWl+NaBuRAcgPy5HUWvf9r+Jy6Zh8f16nY0FWld4iO/yk -+7jF4n2Sd7zYdZQUkpG4FtDKPYal+TtAKENO2YmTTtJvfSG8tWRafwTjmbDXctbYFS7aCDz+Wb0w -WUowveXNfMH3gJ2tRyuUfly1Gb5LBh/ujN5PpdcvOzWCkVtHHRwI4jbcfKohrI/eumyLOFkyQ15g -4cGowRgSTeJ1sH/Vjp+pBZ5NOuaxhL0PLoQQIP4zGVJMkQAdzMNJN9JxVW5R6xn7l1xoPTW/TPsh -4zdeWUp0+lOyxoBWbvtEESCSyRznhojYoyxPB4gImFn6lYhE2HSTQRRKk9tDsJcMOsdR7ju/y2NF -Ig1oHlrlVpB6Agq4d6ToPPDbMIuiWFBx/+3YxRvn6/rRdQHCMghfmzUsDTYt8NOhjlWipb0+E7It -JC6nk3IDayikCrZEzKkL+m94/bZqI9r2GI9RS/j3fS0mJtZA/zi5uyvY15kqJ53ihcd3Y8LWH9J+ -0jT/uZnrIVCAlgWsCUJw52d5vYn5obh6LRE4vVVGLvCOP1nxijYKnIGU5OZKiuEHGT9tUJdfpXfN -CAqiNy/ulIScUhfUfFrauEYvyDIltaz4HPozKpOw/EOzmjVvDqwl5xgXD5uiNjOvJoB+LKYnywM4 -MdJj1vsIMSTd3IhIJF5tnySvXrbv29AdnoDXU5i18HoU89BeFV36kcAwiEWNjiV09kE4aKiviJAQ -0UEBJjriGxBD+B9NFHCGW3BNrXDxvNuhALpg+QO+x+rh+WmeSf10Y0j+Gv+Zpt7/nqOo7BGz0vie -uayjRZBms0qQokVxXnCe6AXuEEaQ5N3crOKGtZO+kSlyrURkaM9r5U1PsGFANZ/8ekF1q7LsoK0J -8QfY+bwIIRohorgo+KL1nEolnkoczW5O4orJWBy9W+jFFB/Z9BGhoNo10qMsAuaomWNBXCY4Iz9J -P0y/PwJQ6k4WCZoWIwfGF1b0W4bhk5mQGglsRrNzTsiBA5S/SHJTMgrR+rEb6v5SdgWtLEK1TfMZ -mKjD2nqphAOppJgTapGUjGeOAhUiZzIlgMXW3fkiNLRlEJF8yikYFheQom/kSLujh6eXXpwrw4cC -76F98VHxfj0T1FY/OuASszEdNtdPRCeqXSJQdhBd8XqB5OqWu12KdbalfoEKO53vLXR2yCbX9hsJ -Q1Y5FMx5Z2BW1XIjLKArWtjbhAMfTDHtfSWpo4Eb21KTpQIoTNBwDEethIKEtiV3J2DAj+jWuZsQ -/sIoQgg2VgueOhKbhHTS0vjkSf4lk48PmoKhDsVsWhYWliAScmRPsromWt/IkyCCPN14LR6VwYlg -XQx+AWoZL4jEkaG8uw8dEqdTLMALslr2J7IIkYvQPzVShy0KwCDBKerPQ9spGEfu8hvHDk6EHUql -BreZVU7VRs/A7HTIRqKZPHAX733IYQ/knSxIHRMpleIhzbRyiADPK/nUecn11V7rPrXfksmv56Ue -30JgqR2jjcmlVjVOnZ8S1jpwZYyLuY+MfIbJilOKcpHjDLymavYH+eY6WWfxJvGA4iFFCHj2rcr/ -qWry+a1LHLfTgKQB7T2jaTMgNXGLglA2YKslaj65ihmbAa6mPgIXSQVZvZ/GDhCzCFkVTkLlo8XA -gJTzzZcn9kb9c11NBvhTyr26yTKP27/SCqnOMA+A8BNEsCXEFafg3si8kFwN4byxTtyDizdCaJKX -xnFPHKJRxJx5r3sWUMYnL8w74UdeJpH7xZabCM0E585iIz4K+SgGHIHFyIRhb8Irx2DdcwAF+1Fj -bq8qHbUV3Qq3XcNF+GA5KBrqr0Q8Dno21ud1v4PFb9vNCpSI9n+erm1HZcBX5iHYCZo5eS/rlwoz -yyF0v6WqDjyffOQ/Drv5ouuwAmaOVOR60Jd9qQyWnY1u9lVLFFJjJmuDfhzm3t92Z+TjjHiM/eSA -2QJ8Ja1u5hBANoZeVlgxtV7/5Q0cC/R5hDsHJix1ZDdtb+7BpGlJFrdrkZnw+fbkGgZracHVX0on -SJz15HSGoc7oy1RTUmQEnjwrSWO32pWS2xVJcl9JyBAr4Hg7I4nYI8embGKl+cIbxjW+wJz18+d/ -EU0+5roI8m9sSM7A+UPwAxB8Z7ixsxN2dL1bwYAOzuTKOGTFyYT0JQSQ8VfNtTUmp5JFxE1fThkw -qnBZHzCia6+hcKYWex+1zjwmVvaVfe6ss2uAEA9l5wUjBMMpNMPSmLz70WNWwroXyvoc7HegTHhK -6NJV4FMTcxoWMsDheFhVyGD+bhSYZnglRn70NxfNK9qhBPFwJ0sUkFpLqnuydpoSobsQNyYTBBKm -HoA+RO18hCddIYX9qCfFqLKTfwDkJpG3Lvz/I4ZHmTwn9ZvdnLSkpeyb7d8sdFDDXmVJl3LDIGq6 -6ctuDApV15Wv/Se2xYluihrBrdvTrtkuXTHdnd9TZLFwqaL1/ZxTh03i3aSGlko7SChKMFwMyRQq -t5DwW62g9izwF9rfSNhYQ2QYfnsSL6qBx1lfReECPEyzgQuME399ao89ruUNSxskhNhNQAxOue+Y -g+mKLXSKjrq4PZLtzXo6YAa+D0oP1cg/Ss+JXOeV3vDBpp6+UYY9NrJq9K1K5Kb9+GxPux2z65BP -e2ToOweoF11KLTYTumi/CxtpzYZLtYuFbNTgTwT4t4n+/oofzOQrp8WxLx13TBzqUX+B8tnvHdSF -Ma8gc0oSJAvYB6Yu9aUF5kDMV1VkZKKCbuuUogxC5gLMr3zVFtIH8+L6loWZtMQeWjvNsNeFM0kd -7AcnKkBpHTlVKCBAXOgt3GEur6/6GEMb0o43lcx3OGJsF8whok4gwEghN1YJZ/UU9hsGvy2t88/I -T9v3IAUTzP6j1lkFFIJmTJ3zoLAltBAKEARXFCJwzUWeoog0ZJA6WkZj062L3s9BWqM68jnn1cFG -Vjp16y0q0+nldlhv6un9C6N0U1x3ww9TEsv97Zsqcxjo8n0C3sxzEUPrnuDfd5+FmJKhwqiBvXum -OcjgrMGBWjBdirHmAORf6T8L9zvxODWsCK3Ci/UigIXNZwze+3GlHSQDlH1H3AJtz5hKP+ATg/pK -bWNX52E8NgyI3lC5juBziWU7pbNIvSRKPo5xS9OV5N0GPj9p5PV/3Ufr1gDuwpjMO/v4oLcHTBxj -sDOIxRCSvVWBxAc32AUWBt5wuoP3ufvw0kwA9NNa0JtoWtUHlZjP7frnMG6QLd6n1AKTA2E+eorI -30h5S6Mv+BW2OO1YBrUVM/vsyS2a/JFnePYwDu9tHKRXCRwT+vkA5W6YXS5/cFwmVKInPWMyIaqj -pgrqntmKWSMocow/l2wd0b4yb7SgxvYuAhDAXWByjJNuj/b2Jvl/ZYiqL1u7fXbzGkaFVh/UZfc5 -I8KeLjkLOi+BR0POSmgdGjgqMDveOViBoCmdOaomGzjTuZ+7GPbDq9RQZH1Be0p4g3ozuBQk6UcL -KPG66DsEhTAVDewnvFJsDgOAnwzj0/e9VdtEO61yyAv+AQuEVE0E1upg7fzQpmjPF4F3LNFsDjvZ -S3/gMBkHr7CWV1ho6ynxkDtam4NjZSWEXT7sW5Af04aZ4OXzwkKydGURrETUc11F5eMY+n7NHJrI -ZllpXlqmXYnPGiNd+l6roffD81z/fDh3Sjl5jUns5qKaIiNjUFDJyRkr/2TByCeePOoir4MMAjkI -XYiVpjqiWH3dgiiCQZfT/YIZYwr3ZXAI/Jf6MPPwFlyrjs+dDTPpmOgEAFujAPE2mLMOr8w309WM -Q4O7UcSMou/+3aoQaqye9MKIh72UfDhSFTtPFD79p4jmzHeTpb2TSl/yknhItBVexisbr4zHt0AX -YtMIp4NxWi/qs6XslcsNoXzOodY/sO3ymMl3968HptFD+QEX9kNq5p8whdpZr1oqui2pmD8NMOQM -2wtLu50TrJ7L47+l+61733VW/xDn0o9Dsx4hVwO9Xc1wJOKmwuJSMva54pruVTezxwZY/g2aIksC -+K54ZuQAQUN3hkBZ4qn9hQes9qo760bMOHepX6ci8E/eL7VdpwlLifNjDLRJgIqQaoW0f3tGjBt8 -mdR5ZxBMOekzqYhepN6aXcnkkL7b/V237xBgdLL4FJsKezmc6+nzM0iVCm1mZ9AWv8nygcgXwP/m -buWIUATg5SI6/Nd69R5ipTLjBRd/3fy2YHhC5suGLFCteP7mj9CjLq8qxIUzOq2+8m6YkUFM8a7g -gHazD9tBm9jWWRlGe34ZRQF7QM/+XzrWxJ2SGSIzX13awkSORDbEtejhXzUpfnMt3OdGdReVzxEJ -wHddjb5P69S2FeXvytkDBSs1R+KayAWUxW/G9iyH/hB5H4z29+e8Xb0WfZ/Dcj2uSf0r5CPD05Ff -wBvHsexLB0v506s3/jR/UB8XQkAaCyNyyS6mJFOzo32QLtpbxKtq378cVISSA/bO7XURg9XBlhud -AwW+Vzb0naLSCAAXR75GoBDq9mGQai7r2e5NaL2Rame4Wp97fIKbWr70J5geU1MGj0/Dp62ghSz0 -+NgvqsrnPUaX36q5fqy+1fBWZ9G5D5cOHIDlSA57Sd8PJsF0Mr1tJvtBvThEON2aSqAvAIDtESyz -ORnpYEMzuj4oE2TsRp3XHS1LQncvyACNuDsPKsD3HSGNTM08MgUSEQoUC/BTAi7pGIKTa8Ey/F9j -rNQxeLRTfOeFG2SGMZH56sd5xDEM756Q5Oflz8yfHLZg8G+AUc9lpt+qkRCPPE+SJKv+EsbthkHy -gIKVaL2qXX8bjyDl6hao5feToSK9K+EZf0hwmhhZoio+bjVctbw4CuHkvtqyyu2yIRJAtxI9tkcl -3Rj08YczAcMXf8qQi5MJH/LJ/UdfpdO88L7w8sSYTGXk2hmv7UzRrCPZFXuDL3hPWiYbFnNVQUkf -u7Van9m2LeAI3LRazNRrhRAsyNj5dp0dootnAqQPE9Zz2dzL0e6QQyJdNSGINQpQt7H1lZXtc8kI -F2J1cYmTkSmnJ2kNxc34bjmUzZZgwBn1LJC4a6cm1r6BFVsqW/3DSAs5Q0PJtIzur2yuyCXPD5ol -OPrylR45je5lkkXKZMTMv9p5FzKkpfGxQKRuj4S6tndZ6XryYIQd5vj3O5qZglO2LoCnT8kw6QxF -ZmkuL7ASbtbffPYjheKq604+qKd+NBD/KFZebgmnJPAD1suXxZbpR3FY64x3XuwK3xS3ZNcJPUXa -stIpJ9hH83zs5kT07T/3HVb5Wmx5ckLcSQmLOSL2apUY8i0COplv1EAzDHD1eKeko6MZvcU+WORo -LxIocwI75gWkAELyYF1NB/QpBV4L4Wf6wE8pI4l6/m5Exn1+d297MjzTD8ipkJmj5kz5e9BVhqzb -+IwDnza8s16YGtby0cenJnL99Cb/sm8Jsw5A1J7bGU9GUnBPFe7pXirHDj5ZHl2Kqg13OrA7Wczo -b5PBz7AdxUL2TbnkH0ba3JlnctGISL85VQoz66dvATgjWyyVwKUhmmcxsQUV8JB4mUKNUKExKoel -QXPyupcPyCtd6m6AJZUeGHjWV39XQKbpz59axsIlmftnbuaW1+eYMFm03MJLzj15Ru7/NvHv1s6P -HbvpEnY8k59UWjum0swTUF3oG4VSshuOm6xCXKPmgytIhTYNHCGHcJ7xjPaT/rOjVKC8o17oV4HA -sbeBgE7sjSG2ZpqmUDnWlaIJfNpuaXwhswRO3CdZ/OFV57FNWy8B7E4PlOs3t2BlSSVt29Ym4MhB -5MtcGMzLdaIFRt/ocm001bbPOFcHF1QRYJ+ARr2/c47OUaQbCfTc7bL8OxL+NLpnlaHwx6y0Ay5k -zQe50/AP9EG6AtHKI83JgUBa7JvXFYzJg8ENswCNCJYk1arU1m8Cm2g80g0vhMu/z5DaYQHPzCBR -i5d09FGQ17L43K9HpwpbUWjiuOu0S0/9ighSSPnw3QGtHAvgcvFV+5CXxfp0s49UYedNRAG+ecu9 -2JXXIp2ZONidiGhbcRKC4ZHCgK91eRV1sksDguJ7Y61cB6c7Vz8urhgiybxuGbml1EH3Tc6Vw37B -FEIRBVm+gZ5BD87FHF+RuQTVk0b5/PuK02ELhloqwHSJs2G5QJQQu+0MIJyI0lsBBulMznJCVt/o -Cd9MzOmy3xfhflp0tqetIqOSqRJJq17+CC8ce1yByR+S1gwWzt7TPxtjrbdIeWuLm1ZtQMbNo0i+ -hUZ2Gyyr2My36x28Xe6xUYGR+6Bv7/HebQszkhtqRcGO0aVqSz7aQ/PO68mlYO6NxiLecJu3OlTC -AwbgICMtxutbUY0WCO4MPfY3jcaVuKnQVkJT20MBS/gurPQc4uegY3dz8fnvl/HKryLEg6MeX6zy -5i1XBnpIAV+klNBOFrp9Ae7iX7uvPhUZsoVgKeRQTE0/olj1C9pSar76Nd92mRBR6TGzl0RkZn/x -ZHru/2HoTy1t/Wc9VKBrtb0ox0lMKripLU0uqGqiwiYNFcoAJIClMG/byQnOXUOOmeh3Eb1OjhMW -x2vkzNlaV6i+tWUeS0zMudR2xuDjjCXujgCATHIdYBNa47vMLeChFD+6AVg5V1gC7K1WABlAuTza -8ESngQSqLaYCKQF0yQOboLuycOraMs390tKlAZEafosxM/eQKhUfOrqBovc8ZxwHhJsjFvYGhsp7 -CcAD0Us7IYLX2ZabX8QGemGMQ6XAolzh1Cehr6OrSvGi+85uDCgprUI5isFcr5HpYsHK35yr1N0x -80u5ii53FC8PmdX6iO+bD0coQvOPj5YUMKv8bWH0Rxyrhnd3P96uN65lxjnYS0mm0TptRTk2nrYf -VlLUNuKWnkwMcxoMh/xPlxNI4AZyZXp8jmDbNwS2Yvm54umQim7WjsZ/Ki0lp739hh1UncMSI+kG -Kqjl3AeXiLbB7ZBFC2NP0pbodu71e11de5YLcNV1XyOtrNi0tH0EfHbz8ZMMulEWwfhyd47TzjpL -AVGdVMkZNWYash93CFsdrmuPwxkZbAXkSqscyzpKkAP4zUCegLtD10uqfvEsXDgmZgtrTZcorLVx -uwdCvZLrwvOT3MGfhFvEERr/XXeKsWx94GH+xUfnrI1Q/mIx9b+CliSDoLtyGlLCyA1j8ycaXSQX -FgRDv6WHCpS2YYR3VL98RgNyHJ2EIEC8os/8Ed0YVmBbpziDrFtsxKo81/9bNTkL/1vLlbNIL976 -1gzIzlRrGBn6/ajGhXSfDZWchBJpS3LS0vEItt1z+Kz64w4m4E497p+swkcHAh0OXZDFHbZdNVQr -d3Dv1FKWannnasQiG0xd+lxNrMdBrYBGuaspJVe2AFB1yHc5OGwVplKQMJT/sZl2r1kYTYztemkC -tTZSI5zL5aGzlZ/4Amt6Ss53BxipjlJIUoTT+K4jhiWEuH5ZJgLZobPtxVTLhKtrTEJljgOxxVrQ -+2+SutrDz+nI8h6UAFdcQoKMOvEwuI8kL4nVxTn4KPdzTa0FaOyZ5nuliKIAvtsGmBblhdRwyRZ6 -0sn8u/5vwSdFWw0GLiiiJINuNjIGqg57bGGsMvnUcSH0NuMNrrAchayBd87BClPb5MVfZs5jf0rm -PEcWdrkbVh2boM2fOKpW9xvSxIuDRlqMlI0OV8bUYe/QRQsBSBj46Ekc6XD2JHKLav+vJxf3lDMh -c6Nt4kt1lpExCDVNFotIEIZciyH4+fZ2hd9WF8ABf0VAElKywnB5O51i2dr/L3m3qZZ6/rqgFKtn -zS+97Bm/wrpAgALQ3jxEiYcsi9bzsaJ57K4q+Ya8BU/fEU6SfY+aA67PD6LEwSzxEiwoKjCxCKCd -iFAExSEgDClr8LAdKYNgL4yB+kYBbd810JEW3cOopfZUpHYzYh8n1vifl/DWvGrmDTdnO8mG6ADB -Gd2UTQcNexJ6Ajnm6mTWxgl77A2RIvBSTRkQmCFgS9nZsnayGZbbZJyjAwHFX0qFw0h9NNJFhoDF -L4AsZotl6nPuM3MAQKkcZCHp6xafdW0JWyzpZB9zNwNgMots553VVNU2mnoMfPpPunUlnrObMl5H -7ZrRuKjCS/0BUWU9+eDnNcOMPdeGDZ8OVqh86Qv7F/S1mcKCPqO08SWECsEpOWU/teoD7lVrJDef -NxR0rw3KZ3L0wB12BMeGeROZs331VvWFBhkDbxEo0fo28YN1CSpYNRHQuIzsXBkH6j6p6apjkQCb -Rxe5gLhsPImB/+BplBBH4qFUJIlZ4F0GiBlUOrDhS2d8YW138BAdAqaqYtAK5XLr+GnhSMSqeZXL -FwuaOJRuIVDroGcu9t3ngI4LAEM+xkkaEozy3TfJ1+xGJbPAs8+8yeVa4FANwdyCn98OS3779ZVo -eSsRtARUBARYdI6YWUIkNH8tVya8BS7zveqWYZKTnfyvDKJoUFNYomIcdTk1coOJSq0dSXy1SBtO -/tcuy4H5Wg3J0kWUWu+HkU2eWlEUM75F0WChBa3huYBYDOI7CU3zMIw6SPeCtAOp0YSXNB0ZG8Jh -4KWWCizcmoPdhWeJJ5OijoYeFXZ/+61zeAwcEy79SEw61ux9RvCyQhUJTezaklsMU4arI5bBVCNY -9urCVYdd0En7XBhfyTWpwyFLng4H0RnjE0hfG9ioZuBcJ6I20z2PyxFB2fNki7kL+63OIEJGva1q -LCmS7/fLDdT43ncj5bGgtaqbIngVr8D3rpy4vD7uy1mGvuml/w5yE4VBOehpnVvtQvmjzkSFbZGz -PWxgCPN7eMBUfue4cxbvO4mQzdLjWYR7OSo2sBte5YlE5oM2lGdIoNjKvsD4cksEzkbuQsdJw567 -eKzLzGYURFiSjtFanMv6gjYqMXKsIVVC++wl8yduQFUCo74bkqsxuxxDc+HN19qK8cdcwaNwodRK -QBwBuOves53ORm29EdP4skkHhtMZEdCYGf6kCTLT5A8eqbGB3LXiG4wQXzciXNSUc0IQdCHec1Aw -j+IOSZZAwyCu5s2of5mDZbJ4gMjJ+xYO7diiMXZt96KTbadGQM4lb0Bi+jVmWxcTsQVoJOUeZWC8 -JFW78Rub4nVpkG/Tc7P+JQ+G9W9MIKOBbVb+KYbPG8G8oJt26oVXeWo2JhdFYiNHNGeJ8OFZGgg1 -Xqtn65LpoVX4wmDjfWcbz7Hca0trujbFtF1y2qO6z7eqrpOQiZQPPhy56M3ztc5ulF/8Yz/PnMDi -hggeGQc5qoQoU5VgF5lVYuA6FJGdCVzfF4E7tWAbFNbtde2B07OBDRHPsi0qFHPdCgYXUf8KisMe -2kqPo95pFfLimDCwdzjvOX9st2ZBW4ySujYkKlC5GcOVcOz14AGq26NYeLTmivhlHy3OK+OS0hUY -l8UWzWnsuW0PGwQuF3Xpvo4QCeW7VPL2orZroiV5i7nYbFw/ijm+kq7Dst6VaJ80Oy9RyMwfRu/G -RRiM5xaCWM756Za2AI0715EdrdkmaJwUCJ40rOyVlGWX1+7CWSYD6uf/GAhTPIKA8WF0aNHcF55p -fq8KgdlLu2nNLMruNtizIp5ndykUHnmIWkBzFnk94dLyNaQlstJOORtAhj9fwx2Cd18t0Xmf4Up5 -dW9rh4Za2wx8kGCTokjkbIU1BRXUrezc0qKaW7kMCcR0/vGcH9ByAghmXqbjSqBN8B/llrRjfhmj -0FshGrZlm+CkE30ElcrIFjJraWJplfYgNl4mrBLFIeGZ596bgn/q2WfPg4ugo5Eu0yfFRED2XQWV -hnWUFr0tggk/ZWtgCyBnYIOMxWgojhc3Szf2jTbVR/pb6ew3Xh82sde/oCbMMXOYwANJLy5BGKZE -Jw150gHHNILrTthTBF/sRxZ8BKwT++TWngTfURg5jM9sUn+iiM8TryxUwW02U4xt5SNyN8GZORxL -JpZv+0t/qxbFC+XWPNypoIGagA2OzUjX4IKYSmehdwplLKdzqEuC0LU7sLww4oIIkQVGcDzLX5XN -UAxQUA7863CKJnXFI0BfYPyGG8HLBus+jR6yKvCQklr+Nv3IWFgh/vrzYdANYlnTKNDuJP3a20a0 -hSDAki6Atop6VTouBHGcn9OmSQ3gfgz7PC7jUm/LviXQs8QkEtJtibYv+J3k+Wgm/6NSiwRGPM+C -nRTNvn2QPXF73ItxfsM9JEPfUw+5VTL9EZXvl3x1R4Cdgb3eEyxN6aXPa0Qlt10hQ/L47L+4I5JD -/KDAld0KhOwnDpvdasC3YMvFjGBydwz9L/BYDZzqdio6wZofejB/Iw2iE+uH3T5O+noyYkD9OSMB -z7a+1AbFKjyK2m9Agg4VV1pZTQEG72Lgj3K3vTlwalKnONl2hfpq7byeO+1+OsmWZr30HCcvQ0iZ -A0KETgNvYCvg4a6wPtUCKZiOU4eegwtPgZ7gfRBfoYO2kfSIiEkcdUabaGWLhAjWtM5Vl0OLKx4q -F3Dic6cVCY2j9jhX67zlIzGfygdGl478jv5JNN25kL77XM30P5mFh9Vd+39vHYrjch2HIC50EoJn -11zdOyzJUviLKCrbYNVW/Xl3PJPfnyjB3k6cjO9RZZFtpIffhsOcpefm+qc1186nLO1mzf4QtDjj -2JN61oOk/Ai4wHhF3OuMF8UOTUr+5RUtz54sZfx6D/fSYdr3+XdMy7fBDi+sdauOuSK3ylYYtFth -kH42k5plnSRo6gEdwRWdyRiRG+aix9D6PJIz1QvRo5ZCJ7OWvNrK3e68mS5j/6T9FsmF15T1S0dd -7l/CcmFzxv1WoypBz70bozrQH2QL0MqrxyDxxbTdvD9u/foWNAkmrxlPUWccbwtgezEPF/RBQYxI -tC34261/hI5I8gxU0BlSCbpQxj3ltTqBwC+Vn7EU+Zvots/N4fl8pV1mRJr0OZV+h01FazUOB34r -5XyPZSionuWUGyKU8e7MalUOO2UJuP8RhpDtzynBxXXcH17WD7/iO8n4ZYPt7fFXbrsyiZxLPLj2 -l6DKygoAEo7cLqfBjay4cXpmFyJyfLCbgllM75KijWCCyOOJeXZa6Y+HTwRZhr/+E5SVCtCMkCOY -/DcObTg3Cd+lQN5FMmZ2cvcAR9K6ow7yejfrR28CcQLCpcgW1Q54NOPlbcIlqEpdPiXOgC2HTGLq -WifwHSwpQ/XA27lvgmxEPGynt+Cyvw7VPw5SI2cN0heivMqWd7Saw1ps0hIiwDwVYgyKwhxeE6ef -Xc0DE62HoAzu/u9srcO770ImHlRau+92TADtEQJUzMPVoJ7ij3xn2vQwAisnmY1AL27xkVkg1gm5 -rIrXqIttTGA32/rn7L0uxIE7Rn/4Ml7xDnO5rkY08pusyL+L+h+ko1jhcrDeVxmfYTvctjDE5tjl -hl17BEatFIPxRDC57Y6IdAwLc/7hb9A/pUZDJi3EkQCxzgbSDKDPzgxHCpI/vbH32wn42KjTBK1n -y9VVy4ODD2rh0VMief69HI1wsmJMHg/MLgEwjzVBSGtzLHCevbRJEyL3sGi267NEzJUSe4uVT1Pl -3DCTnjgivloWw7+nO4eKPYATCNz+j1FWaJDea/spwEA2H5vxD4j2o4R7CiBC5D5XYIAJRP6x1qr5 -n5Ht1AcLuUwoLLtS0aESK9CQbwC+0N7VISa2Yk4n3XtWp0Zcf4GC4AKrBXtfFiLA2vPilv63JhT2 -HBC+HiW0yXCZgfj/gUFI11gW8wIHuDWfhbzhaWeh4fd+cpmZ6VosULWgyK9Ok0w5y5jEusH6apc1 -AUEHjP255Hx0lJs3yQ8k0LDHsISo48N6PK4jzO8dKdCb/Ma9i0x2+Q4XPb4lPms8BqnjzpTJqzAA -FmFLNmdhRsqr+xLWr291jXTiU/agxoEMLrqWwQ7ZtXHGoH3wIbl8Dh9KYowrz91AXUv2fT1QWLjn -fUWPWXBiYpVsWxY+0aJOzH4MtRHN3e7Q5TwYnbvnUdFUMXEHYbSVvIf2JdcDyWXDVUfAOKcUlcSZ -578arvhgHkBMioX8MBSdUgz/Ug68xkmdd/LtUSUJ8OnLubd4DDJ/H60+U9llMMZ8noh3GcKa2d7I -tL0x2ixb2ABXdFTSmfXqN8kFq3qMRzf/qVoNigdMZnqLMMyvtOzAVZ0JoD2UIO/Vv/FeusqHPbZF -IunF0R3i7gOTIDiX5c+bQtXT3dREQP3j1hNhpjmTIWI+SUSLYQvNClDHdnRGH4uQbJsfF7ocugSc -WGLeC94QLRAak3guycDeUH/FTyQ+svkcm+p36m+wadjyOUx0ZVqN3h9lYm8dtVUq53VZyKWpHmv4 -t1tbXVagAeJck7k3E0ZAZA8egjfzRsL0NqaYQ7TIQ8yluByx8R+X6EtpE9S76v7mZNCeLbfeRRdt -rDtwdehuierlKuaaVkvwhKRVsqleL5YuaCEg+juWQ0sv8N2179uQZZd4qKUyqk7kiwgwzNfr8x7w -QS8R9bt2Pp+lo8IrzSoccw7raYnMuMGbljnnOZaoNwBqY4n9LGRcn2SI94du66hQs++WYcZV1OL/ -xCV8FuZti9KoqUTDA46qcB2kwHw0EiOppMB34/m496adFBDzGfjNKW6o1F4C4EHrvl0NvpcrOShQ -s03WSe5EFydrODLxhw2RkcLzeUVTRFy/nrKvzE/wz2+ZjuLS+SmDP00WqQmkP/0zeJQ2lIrs++wa -4QzkboM7ouEM9nyc9J/mrLb3c6K6oaaejwK6h/CSPE6Hnv3e5Nig1WIjlUxNzmVcH9qO/ClKIfJX -pLFnqxhme5Kj5LsGOb6rlEyYLzqKtB9bwRWA+NeYHWNgUWV04eLIJQjuRDB9XE6K4nHY0hmbZ9W1 -Uv6puyCA096/9ab99NmTrdeM0lSGO1AkqtE50ubZ+LDCRVEhdzAZbQlk2H9njfW34zUEpvqPeFBW -7gsaFofkKq6fLYixhTkNd1+NW19O4QwDgfMLl+XlI4O7ztz9kbuQEjtffxM9YUHSojtk1oQXDr7S -kaP6sQlXiSVnnxbS2OXaiTexhl1uy8ucdPEUqxvBJxRRB8xG55VlCzTJ398VVdHL0mNTE2LRlAHw -jb6Qibhj8pAYKTJmad5qCbhj8H9g9VgtNIozsyTlpfxgQdkrnwq0fv8S6wld1rNhcb6LYD9qCJjy -9VsrGJTi9jsKkXtZtz6cNgejQELozZzzR8LmyB2ZHLmMDPhigD+c40NUubbcrJEiHiXdYuU4pZ1W -sUMUMp1iDESf9GdrpZycea3+VEzcDvyaewAEOA0d4ETy1RklrwZkBJcCprPHh/0apgm4/dBrjT1H -gnkYAyZmIkpzoJLmS1LChTbBoh09UteGTgJdhSvpv2xQPTGk4+aemGtItWvafPsHsNqkl/xbkXMA -BKQliT//8YcJp9h2+Ski365yrTWt53403DLWNkWoEnyK+VlGTgPkbPAQxBDQa6A1Aiu8zE7kl1nY -SSB6z3GNjXB9U0dGpKS3ne4uzXa9ffrG/HJMZml/TuBOsk4RbpLh2iYLnlEjsoq6aPVtZgzHk0oF -JZ4NWZieFRMfZhrWBv4HCajOLRRxUVlum99jX1QN7Z4i7HoQpPp+jddvOykYYZI6OUIjDvvXodWp -NczQKaSl0FBpcnF7Kd/8aJv8OerZZgJrOh5DcDnwkz0KJ5DmrswHqg+2gTJ7KWMvAyEGdwMcAyPu -pHuMbCzgIHO1O2JAzukZRw5JzqAQcsY4QHT03BqFUUvZFHqL/hKpG675tVE2K2ueGlZ7lNP2y7lc -vvGfqmyRsKjiFOu6Xl/oTLVC/2UMOihemFUbqup2rsscT/5Berb5KWIJxd9U8CdeEpF2wIly2whr -dTW2FuHPr8MN/afX5TFb+2pHMuknP1X3iDPxX8/cZhli17bRjs9gDm6cQUnWiI8eZWD8W6EFXafj -G2UTM+de70WmcR8vxxJT9qWGZsJtRd93j0//pGFeu7IBOI1RTW8okx4UUbOfQ19Sr2VR3POsNA0l -qV67Bh57Os1/0x9INGxAcI6tDyHL/iU8pYcxZKVNMaHTetPVSwgVROV0iZ+uRRWuRY/ToOxRZjW+ -nnzks8uGWDaUvADDa7kWAe3f3A2mU8ZEhqw2KlJ6fH8lhQlpEv0M0B6YFya4B/cwMD+ngwxfM/Yx -9c1io4SehHl055XjlWd6Q4eWQuXW/JMhH8MvcjVJVdAY8yC/W3ag4Cr8RlXboQlYaU9cTlhXqBer -Q1lPEjyqYTvqPOKnYYbAUlrDsqifbEBrU4XA9EIGv09rClJlbHsBHP2f+LG3kCyyfeuDaeFc3C9x -MQ1vHlN3SGGdpQYD3olZm97sAxvmM0+Fntps2P56YWVthuQHKr/9eofRrp0w0H5XPKmfstBJUnfh -w7SjZxihnOB9by7+F0YxUIEyXn5pnJ52kh3yz2VfsKNWKYYF1QHKZmqS4628gXYC2o4tIbbGO79/ -AyJVEVGfX3ulIyFTZNxfvasgGzfA2LftL+VaMkiphwW5BmcUXotRi3U9sIgZ4DklceP4Oc+5v36D -dwhdsU1RvPPauLzB6e091sKt8iW85A/6yUI9HRdjyvenjuoyhbztQYgM7CrPZ2oCeHELTi56LEj4 -zzCPRhJsCV8H3wq84SM4EGRhYewvBXSB8IqVqzaMAhSeHGR5ZmBADYDGkHPMB7AdY66SCZu7vxIp -1j7/ZpREvC/SX5GeIGrNTuPzC+YiE+aI7N9JjV4/zcMnXk5Be/LJ1aegSH+68xV1ld5o/MjNKE2k -8WwwCZqTRxfIzGtRatnMH3u6W8hwlE3MQ6JbcpXKUJQqc4J/fY6S0/+bxRqJxp8FBJu5h0FU1Ait -2Xdl38LB3yBGV6WfDevlu0caD/Ze1xeeOjpEBKoDCooDN39YjnCbYllnjZqHfdv6dQsG4Y4IvZ27 -fOlQSNz6kzUKZuYLKkskJU3PNWvU9m5HPjCr/OC+KPSI4DL8Hl+inZvHhh1BP91c5YNzECgO2Ti5 -E8IFoeguGO8abSsvdKI9Ln9ob5wawaXVUjz9QL68PePfTGwQhL8CFTOalg4xdse++L7Hx0ukApoT -c/HYOCF8P2QsVIqXnB4HbbOyGHkbicH+t8qZs0PmkAZmIa/F0T+PSD6IB7KklE0hM043x34ZEULh -tYQChehUGavMVVEFueQ+/cBGGts93WgaetZi0bS6tmZuVmvcX4W3MEfc9NYAjCDQKvZv4FmcJcjF -YDRLQa1oMHZu578qLcCS352CfjvvY7UJVNKRNmkb0ZNfoGgLC78fLpBFTmO1KEZxXkoxdISomS12 -DpT6zPF7XAKtEGfGTEpawIku0OSD+vpCLmZ9mmAZ0nz/+mlOTbAoE32WpHIiGGWbD9y+DXPA3n/N -pxfrZMgYGj5cH3CLmQWONKc2szwzeg0wZgxUSP5Z7yU/NAXTV//v+Ftt+uhzpmUOsl4161kmLlEf -N0toLTA1M9XodeIV0ZO4/gpl1O/NbuWGyMMvTQANnsk6aZYIg+/Q4YWlM0jXZk4Hhoym18xjZz82 -LBgSSHLorvZYbDHxzS/azaPnIoJBPRaFPkYMIEFx5xvtq15dyUdSvKOz8emn2+Yol/D+NuMkjJgt -hgzzwK31Btq+ZGsVUkG1ctpDvxYNMi/QFTm5B4OYslVofWKeuEwtNX97mc/glVXy8EtSllBlHur0 -nXBuo6ITZGk1sWxNWMaVt5vXQgQNeHR5YMUuOq7GoMO8Rwlvqi8SkCsKhtmRp/yxzZNYCa5L3MC9 -NHm5DjZf8KC9rJoFlOmYdLW05uNGw+dQg2E9+RlViDtLhhg6ltSzcCxTkrUuiTMdsJbCOlpTMasF -YbQOrRxQMaV+umcxwIwqVHFzeFHb6Y+8OLxCFnGQWAGk+kuh9sAHYIfIouHRPUlN12KeYy38XQ75 -sJy5J+TR3vOjVrIVkHyybWCMu1B7vO36Yj/YSymDUOQ5pCLiIcdRD0xoXalkFDgdwA9xRVBjZJn0 -bhd3uttbuZLk0WDM8tw0+zk9B+Al461ikQAAAyaMRQGu37JQm5CLfvzRY0bXRI7dFo1MQ6GlidXy -mcyLT6ZU8U2SMEzYcYcOVO48npShICylwwhRRXsaqSjURjOHDaj5KDquJbw2HF5zBN861trnYzd5 -EWiDYlPStwa5FKygcHzdS4GvIz8uALni3VudNz0wLbx7vbndudZz0Qh9yNBlQh+Gh6IqcYPhVu08 -NDcqBT5gUFfpztBOpg26jP43yAmIuZ0fbaRzYLcyohHNo3ICSQGeG6GVqBJMVo8zdSR72T8pKEM7 -Uk906RpacKmJ/sGtJ5cR9GxjDj/pMowhU/VTZ+Iw/kt0+qUvHORWvvamd/SRwcjJHS5D9l7Ca7z7 -nj7ILRFFPsZmuQRjYFuJgiLGwjt9hIzZGexcqzffsHQDgMQr2kAmmWWKrg8GOIFbxmLRPrDIUtCb -zKaje7wA58ZLVAluL9FLkSktZ3yIsyhJ30OIjlrTD6Di+eJ0sCdpvI+d+YWtZfqyQAWYxsdS+haR -JLPRB9SfeT/KN5CPhPaaKSyO5HjD82ADBAZjyv3JyNqmQUaCVjrHdK+ApqxI2iBQ2q3/VjHkk6aN -ytvKJPoUK2z5U55qw4GUODq+YvTHcG9zAm86BVe+21aMeTSRY/2m74Ga7OKiUqalcXEFxS8BFSaD -inDtDxvfcjPzQHFYGBC5sRwNtjVtE9NYYItb/9YayiAEyh0oEiaLK0e1RmLqKYBY51fcmy1ypEUA -qA01wpD7rJWDaEqWkYlBSleZF5haAUxFPzsFHBNjQ893GJTkwkRAr7NMpY/sgf3haVmOtK+c/pOr -V4rAXCP3VLJRlhERnGil4pd0s4FQJgzzaO1f6eOqMvAaF8f1vSUTnUIOd7dEq/x+SYg5nlh0kiAh -mG79hD5lUZwBie0xPPmPH9OMtVt8uFd9uNbqUBH4kZVtkzNf4iiXXEfKza24XyeloQnd2cyAZwHt -LMPxXkkGv4R+KXdKqWlw95+PppZ+Z4CgJdRQcJiRXbClXZ1uGuxHKUoq1OptEXCwA43jyQ2ryXIQ -BKgdqlkKD5G52V0TRUVlW8tgLgNFKyQywPh/AMIZ9tZtg8yafagMV8IWQJ7usWDlQ/JtnEko74kE -i98taR+8EXoMfIGKe1XrVgdKna+pMXVzu/U84f5IhmBsErLFtrku+t+zPfceF5brijRwWPiB9DDZ -m1DOvTC7fpji7JP7oaaFdIxg4ZlNQrIUH8ginn4Ka5KlzzoDceiAFWgK+S3YVo9lYmyrQNenbvcE -he8MLY8CSpenFDrz0q1taZQzLNbpXW2pamQ/18MnqCarf8AgpCedp1gXn2G/cNKZ50gIKtXjIRI+ -DOL8yGfyFIh5tLf5drJBX/XyE1AH6DsV3O7DEvUKROqwuHgQEJ94B9TaMtkJrk75ePlHivc/DhDe -J/JNjHogibRkRvIakve1UEomeeGFcBwWn1C2CkDqgadUbtoXK3rHcRUAdUUoYFOSicv4TYiM/fHr -gOUo6Hv5pIItIrjm2/wYcLh6cOb4nzK80ccBxCcVkme2UTuChwTlugGlQfcETyEhMpDL0OuPcZ3x -cv9bDjPFp092VhuQrwWty3HrzkE0Oe5j/N8pHtyxvu/an2t4x/q2T20ap1/kDYqVOLeTsdPGv4s9 -4dZQt2/BMyKo8EPQaY0EfD9lnA58SN7I5WlP0i8jOr4Lp1e2GxVoyDU90tbPSpf6gtBd4oJ/xHUh -O6iktpjN4RrqjIHb7dDRMKeWDiVHppmrpwPcX/aDXSKHfAq1zUdfvQyYurnFbAtxuZohFfvOC318 -Z/Hdj3sW9uVZJ4y197IQpj59N2f36J+IUs3BzSe+LQUOOQ8iH2a/CjVE4fAqciLqbqYVPS02tXrC -l6Iv6mFP45wcntEgpiYIYWxP2PNUQYdWFE6GvJkfiK5VuWTU1XA4Uh2XNf3CBM0ltBKgSxwPpRCJ -5tLfi5P8/qyZIeN5avGMhKRCvhcK9nOgTJlApFMTeW4vzjBe+MwGXZ6fNCe7bprVzOte6nxia6s0 -/nH72Fkb7tOMhlS9hQcOgPEzTVvKtwY8bmWX+1p8ks44r2kDFbGvdLuX6ahUB6hGglPfUyzbTsCY -c4a2CAGjf/l/yIbedbNhXXtmxJAXuyhgE0iSRa1PZqmGvb9iJYWzGPAmcZKW27RKTGnPcwjOhQ3s -XTiFpQvgUtUQyi3ysVkqrAFnxVfwxJm010ErTI0b0NP4FibZeMvq+UOsAEFEC+eduWevUob1kmrx -JIVAHmUar0rAshOtT6TKK19JSP/XNTgpFhua15rzZx7BKsv9LFqEYlMOJRGgHtd5eUUAyqQAJvQ8 -/RzNYgUEWG/GSg80jNo4p0LiF1tHaEo0d67bkcM0XCWklLrGrhax/Y/BwANZA9i+jG4pHxg6Z9fS -dplO1exHaQOcYueGlEjfdlVD4jEsGTocQ9e43tO49wJJyqcUVomS5j6f3/olpYBQISWHK7OZoRo5 -jLfUno+tDJww9YVBeeUIMt2y23agVC6EA7MOwdWvp5lG9SF5YRX5BjU3OJOfbkRuldcWEz58H9BK -U9MwyVfJGnlxXOgF0CpRkAOVQ10Bh0VV0a/Ttvh76pVamOc/RZAw2QSNNPBOySWRNgJLsKN5OlCa -2nYXtqett2xdhdWYq4n+weJQEFBkBMXnMInnHgGNcn3tnfb2KCN9pzqtPIg15fRfFZU3Lyo21eih -R7XKABgJT66USXFQGX1bspQ55rE1saee7qgSdYwmCl9tEhkdObGhDjoVAwwgbxtzYR1DZIYWTK8B -jlMPzObmKDgtumRsFEuTgelmQD2zH4B0lyo+sM09sONlzjne4lC3V9VXbhDbhw3X/gzns8VZsDVl -BtBhFjthXksCDSo6nvACksbMVGHMYdiXwTYAzWcMnwL9007EaVp8TyttbnqjvD/IxxGDC1BQX5ua -7fKO92pbn7X9l7T0bHUTKW97z+2KLEaUAnizZruFU4TQ/hyEF7phTT4Y2k+GebIWzYLAEEPbtAHN -cP9QHm0Nitps8MHYAP6Jzo2+81fyPBpto5haTCVfGtSdJ6r5su3rm63ogJImfbWQPEZDBxMXgO3z -0GAWAEtGMZlsU4LBszqDvdWekgrQOPTEjSgeyKSz/hetzQnnvKN/fZA+9bksvabQNssTQ4MVOge0 -RuAx2IeNL8VoELJ3alrmUh6CwoThlw/BKVT68n0GIwgw12fMy1fWJimky3z5Yj3zYAvHIStPXp+8 -bnhPwjeEbjLxutPcGVvlnsOZeHGOckLWyqDtfcivq3Hvlvxvt8sELuJ8gXf8VNciWawQfTDtiMrx -L/13uxX9tDsH4Y2vPZa28kUN9c0vs0DxbnrE1AsTh5rBnFqyQ24hkEDCjtPY1yHwd9sgwkbAf8wO -EZR0ehbQrZwd0LUBGgZA0ThP3WK3BbSHc5+FF0alSiYOqnHaHYm447lw+2kcj77A48bhOrsBsVx5 -MrC51IccMmrHgAPDEMhxTFBZeh+O2Yv9dFcmcbUIv5bKWJctzfk/yy0R255p+dKb4MWg7ktwZgFE -BUO4FXwG6tnwQOYukog0IessBEnbol/OLeS7WNTdyo/jhlTnkwjHFLGlanuMA6fQoQieuGy9UBsY -WIfavy7/VRZgd2/5Hs3v5nXG2iNkYCUvtQv+rrJunBaM5RUucxh6fPMswdMHZVQ1dbOqa3Y8pzYH -5KYOwwCIMF6R5Gpl9081nlO8cKylbdHUQbLarXmZsYEeMY7evha3T4+DhI0738tdsZIDf1Huhp2u -kjBMq4kGM8TQJPNxiALeBOGhvIyhzg8WV5AeB7Omf5tkphpKokAsUzipCBFkHV7rJK45w8nlbfyE -vv22xjZNVFWA1ISpBAG4i2NMtLCb6wy0RK69+U1+q4IVrNL7d7Urz9I9QAwgGLjmBEt26X5AA1cK -Xjz19aVyCvEoVX2i1zF8Cbq32YpA/WsGOU74OWMNiZ0SO4TFJWpDNyeSAWlj31y/toEfXiR416Lj -HZX6ULAIJ2PfbjnvSfoqxntxq99oA/5LTPYtiGhOmbYc07/vBYkfACiMYQc2atG4fR0AEyCGSb6q -kYOtERWBDnpDr8hNd9mpSjU6DX397bPjUvTeMUPSXTxWApGuEI1V1KufgHIIlwumNxIKo3VeK3+l -Lfnz7TTefCH7/NuG0cd6yJOtv9BzaKDXiant2FKPYrAwpcOJsqVQ5LuZFHzU3M1ePszk4KXQfCDe -fuCmdgHHEuYWMtif3pz8bxMY2RrZqxDC4xCTlKA7alaKWFF6eGpZLfwpUxjnwb3NcAJrN8Wz08ZI -bcDMOPH29dLUKUyv/SHkeYywCymWsfo9e//qF7Zz/8IyNQTlDhV35b8/byld1u+nN1gjCmVGyZTQ -9nXETJKQAAmfY3sW6v/vSfyIvFZRHnWBezsdjLI1pDg0fEjL3yiOEcN05D8fK5uDDVMAnsAtWnlr -CXSZ1SnioGyiz4DPohOmwPffLp2FctGTOcnEpy/SDpTvsZSvMsDnhemNAaUBOQQ+wKTcL2Fm8Sn3 -01uOJ7LARrPHiUFfi5jaABr+f/dOfe4IKgbZ47F3dDp7OOr7EoG0kUUxU299D8K2I8bEw5A1YF/G -VN+/xC1QT8v4acW8XxiM+vy77hD+It20ue8ijV+NdvhNGuBBwNxTsj2v/wjwPSv0BmAnxvWv4iQ1 -wF4XlTO2sScMbtKlEIIB+Ez67rTJ3F46bfSi2cHXazWn/azvh0VWT0WccfMnPoPP0s73IMAabr0Q -mw6VPH0V4/21u0L1KCxBBC3AjibGHabl0VtqREwQZQuGCKn8ThfzKZiiGDltBESqw0/eM7tTxRdG -spDXhvVlqmGfHFuMwuKUtfpKBYlLT5M/nsgz0mJuDbheYwOcCzlIsmMoF+RZ5j0Q0efxBgPry+ZD -oPEE6QVUQY/18JbdP80ofp4YxShSU+Rwbh+yuUm2Jyqma42F1Dm+V0AkFr0y1x5Iwm5on158kaMl -tUrjFoBHjAQHXvIu0XiRfTpxWzXmCCN7E4HWiYGf+lINFxfR53Zt8tB9KqjAlE7a7Nn8VqPhmkgw -ol0Cfqb1qfl3MYkOTrJVV08Hmxu0ENOzSxSa3FvvodVr/P3ZWvHiXx1kUjJIjqlzyyfFwEPnPg/d -T6aYQl0d1KU+FeFu65yTZ0+ZvZLu+WJiLX1yzcIdINtdTR9gl4yHjsf2C69GFLMm9uqV9pkLZmPL -MB6qOf7AaD5XkSHoQJHL8DpX2yRZNoNdns18Bxns1nijUe/VKm/KNzdcPsbamY/A6aHIU1JORlEz -nILuVBI98zk0n7JeeybSYefLQYEKqjX6vSiqkoNXONBRIqlgyOBfN2TzrKEFfDiiYYMHurZ2qKJ8 -iO++nOGPhyCZMZ7IrSsBszC9MptuFzwf2CIIGCSlFHOl0sLmBms08Vq41gPnZv3i0GVw/2D2mcRN -kRVsdN0Q3mInkguqVa3VATmy7p1g03r7nzfeTcUkHbzmpiRJog0ygNeWPz7udXOHOmL8B+N7aUY8 -8zuS/uzo459z1AHOWkFVvMW32ZM3aLwZ8asKpRznO4F4gcKuazyPlmuRD5ZazNumLggbS5oj4CMa -DPvQHhkh6zNbrXry/6bof96fjqKE5Na9lAmW61yqkLWf6uS4Ed2zu1Vfm5sPDGBLn6ktzRqCoMHi -NeoF87s3ymMm2BllyJt4S0Ta5WXteKtWheUX7M3mfG41Z44YNQ6SBPKeCO1+FZuwaXpkws5uAp/B -l1CNewIhQEl0Fl4PT53wkn3wFpoa6PN6yKUh5O8Ql+gN196V7kwQjxnEdAq+T9f1q8jrJK7v4Xrr -tgNdr5gtNhWD8nEU1EEGek3lRDFgTaH/ORcfTqdOMfXwTsypcFCtzP1+DuZao4QQdKd/5gwYZAkb -DXVB8xYcpGECHnWzj0Zdr1C2U3DkS95cMkSyO2FIa9zA28X51BpIf9NLXwM6y+ozJLjOywweU1l2 -kyud15yAdPrRaX5P0yusavBk7gv29VfUjozUKuyahqEMD5NJ6dlGrjR58I3A3LKdUy4vp4sPvHFM -9JgEhQJxJfPQ+4/31NBPXMZRdM17j1mXmEr2ZFvB6J8JRjZ7GrbZ4Yfy4ntqd7wCcqKsBHCSnJgC -7+K+5Aj0Oa539j6Zwizof6XzhM0O41V4mOFO+u8tyVU/ADagktCIx1MdNTZ6vlKabiTW6vyOyi1X -rqNTaygc33XpT2OolLFFjUWO/HbJreIXnNDtqaV5TcJh/XWQyU7ADU8jgRrU5m94nK8jCheFpB1E -m6HFWyfgy5MnCH1ZSBHDUpXdHU7IvFhL5Bv1tQYm3QFkqXkODQg0wzOJXNwWbgRjqCeNWkYMdya8 -8HIUqTWpGdzRpwRB3DeU9JV7+p5ObHNtFL78PcS8KV82v+OQLR587CwhXDDHsDEdmMylHTla/jpb -JBkAz1Gv1tafv8133+0A5obP8htyLcM+W6Us0h+NsCefdU2kXAcWdJIw6JbLaUjSWyZKvbotZK4x -41Kng1RRvys8H3LYFiEVoEdg6cERGa7fJjU4OhHmUh1mQZqFwEnj6y+alAoowAZRo/1L1la0eZNn -aBKdXkDLa4K+40t33YVtYCk4KxztYK1jI3rJJ9q+Jh3+cAiinpt2Dx+w9HzYAtIsepooA2b8bonS -cso39bKEJ2rmfmGIlVlbMG2VowP8H/iXsdNf1ijZs/IBHrcTIYKMtm9qeuuadfnHx7+ndSU4a3DN -BRy5fjK+aK+DwOkQMQsOLU/WsKynu/e0dvGMcUgd5jbX7ONPfQ0bRTAA58vNFD/rimjMNwzSnPHg -FJ/7u/T4ZyNd7jcCEcZy8zQLO+bm1Zie2cEqmyLUB5CVsJVwJSYnH4aEPVm1a0/O0eIwoIbFv5PB -o3zb9eNlf0w0nqZ3LCjRLDhbz6mpcm04bOg+c1cNj0Q+YP39GMz8dH4uTjZdGn4duf+NPYMEaKSo -f/gRVPKfSPsnUno4pV+2vtkHz3FnaLvIa2oMFMx4jG1v/tnHWT4Alc1GwiL8snnGVpupdV4uLlyh -/jxv0ontvPjG/iB0SmYPlcBb22rgmL/v2AzSFpq8kNoPOZiURZrW5Z3HOZwJLneuXrZ25xgk+kn3 -l1pzQvSiJn8mdhYmZ4guDfhDpbRfyxrvFrA7QZDOidPBWIVscH3NVBUktgLgItTUAWv0FGBLayDn -XD6UWZXhf35CTvLIVuM14tQJUQloe7/EIHDLksbyoixx1WgeaDdn/zPYQkdz+2LJ02rhtXnQoaCZ -OsCIAORpnGBo55gHI5+9/5w+kwQqNZv2RdMZYwh+ksOH7cI6EFosxEVkSFG3W2bhTsAO/zM9c09C -Qkfww4/IHjjLgEJdx0U8xm9pJWflFakwmzb2JnCT1bNUYY0gvP3CzzoMYtxUBHhYYClC1DsqIV2L -lugR5VbKEQWlA9PqawapMfTsz/nnfK3RVm4oaS9S7uArOCTJ8sDr7ToflPAa4HbApj9ZkNH6BaNf -XseGq8cRa/sHouIjdNJ4jZKMv9NgGTPOQvvEmZ5WMV2tnNNpxP4Vdw27Ec/iKuopQOa1jZ1g4FN3 -f0RVOnZBZfmT7jqrgQjHQvU0KaCEceLi+BJ87ayQ4HakxdynvS0W/rMdCHt2dnGFNz0lFz5yVGxA -Z6m+myIN11dZr6RH2RhD3gDHCRHBBj4HNBry/2bcvFR6gAhUvTB0Q0nQof7nmP0LO2uM7v75tg+k -K9LZhHZTfDajnd2xXMnKU2RwxgrDnT3oqrcIezGzrmFscOnIT5h1e5SqqLBwSoRfBcVtHjC79Z5P -3JNmzktCQmQaCxB4QBmNLb4KGmboMQ+q+0WcPaRwAJ8ja/FsUeUTvjPTfgU1McXnEYf/9JKyqVi7 -XP2IcmD6uuvjcw50aVF8VU1SDXNHkVTsMQsNFwB/ueCwHc0Z0bNb+xPzJ9aI9rNB6jZGxkenSACC -gJ8gNZc0Yk3V237lXwHoGv21n2LfwpyotjjhPKSwXvciug8bJASfUZurK335kCPwZqU9pxSX8ghz -1dUJIockQF1DMQIl+5SefOrYKNbYkWFWqwlNGCDNUxDAQqzj1vzB8k2PcfmVywjhwA2ygBh8uA61 -bRth5HVbcOMxw3i5RutktoRjyVreSd+wAESZq+eJvULqapYRREzpaNlmfOL/RKGnHqLVxmp9Htw8 -38Kj+KQsBrujJIH8qYflEk4ZQI3ZaD6TK6DiCL1BG+NpfqJjYxKsD0AY8zAsrMSm5jpiFvpwg/kp -6cKklWfAZGlmg15tOG7WpBgSsEc9/9be5OXrk45nfuemfnE5AI6RaUiVbWUbyBjLfcWB0p6JI7v2 -pnyJZu+TVDAxbd9TjrBbN38NKs+o/W9EMzrsTxIWpCyh4ngV604J+gvFe4kD5r/uni3+I6IdfmkW -IBFoV1jKG70j32tXDRtHCYoO+TQTNhthWcDOiB266b/yhA3VNMNj7n/jabFymjv7a/PSoHZUycDF -BgBQ0urpzCvuy7GjA/GSdO1a5iJz4wv93m0vYjZB/gf04QhhA2D0a0uZ4lTdtaQOfxs+c43UtW4m -NkPaoNYNGYdhXbdWBtBOkBhXxxOc0l9H7AdUbI7O0UjJIOYwu5EEDQCyv3Sio8bO2Qu3578NJULb -mT8YErVgbLMMNxieJOsS/4POSJDEsh1MZVnAE/oSky7MzVslsBoaSQMc89MoG1tqVgF44vxBZLFI -XXKuyA/GiGxAr8X5PQhO72eqLNTPyHfvlHM0f+mUCtiBV9SriScNeDzGpFY7rDs5eWsjtgBNnQEw -Y6K9l9Ki8fHjqtvTxZYS+mACbRAfnZ/E1H7ZAmty2+43xE0gyVmkLoEcD6tiiyx6otor+Kq5fkVV -2+brisybba3vyECUUISOiKEDJFWJLhnFVgwdSYFO/T8OYAj7j3EMgte4UmjSkvm0pfbXmbqTH1Sn -JQjUbLDeQX7ubK8XihVcuQlUDEYKU65Oct/N++U6Lq0GHWET1TM8Dnn1qu1KSVRFcpDfPbHl8M8e -apjWIkZ3E9toukO/BWER3qORzY/48SdT8OwbrrAqu0fe9rViSrVbId/hYklXwvKhfnQGzFVQAMfj -cgBDSrYUCqP6rUWSLvjkjyrdpWuJH0NPDj9B8Ljz0A6hicdkGoiZj3awM8g6O3vKXFrTXQ49ug7G -nqkrk2NvZyLSCYR98j4QrB/mOkGQwABPuDabfT474wpY24/soML6v1v475aDAYYycpvIM64QCRLp -otUzY512pxCfzZqEpriXcIryz+8B4qwgWIcYKDdlocl8Dv42WlCAS2tai2hBBOBkDTU7ncmwsbrv -HBORgmdO55IDbRJsIug1NcwuzRnHiCqxUWgIVwcodPzYVO0gm7hh/tt5l6S2ZScSkwKwyxUjrr77 -HY3/GTMHdXCwKrZ3ckwP0zPYfOXY8/Ldu0hvR0rXKZX3l5ULMavayTD8b2xRdtx5Bti8Gk3dLmdN -u0lXAnfR19HMdQeKdpWddrn9OKJnlzX5FPUmqkqmdMqOniDhYnF4dOaldIEXUnyV225qRVu5ptNj -DQkEcfr7qbrzGGqWS5eCzY+AGEqclI4R1/aT+9ovfliyxRnVLQdD+KrvMdnUqWFdybeRxFPwkzfV -l6P+9vOcYKLL+GTz/m5+9etHNVkudOAanEh7zrt1NyjAgaz+jJETw5NNX7pccTcYS5nLCAAHgoB/ -j42lXBL8jrWVOAq2RQyfs3UNRmSr87TH9X89St/UMS1D0/toggZqppZhFbB18W3SMhbnzij8P+6J -nBytNwP0Pd2GIa5eulP/euggp07qH2qH2XLfEeAduvfGgqWjWuMgqEPICB5MEapoog6dX172JXQ/ -tCYBjcFln8Mpr/RroHfVfR+74KIUhT67cnkCF6IgZrb1gpskatbY4wqlfVi+FP2CrUs/uMZbQQ38 -lb2et5EarVWWLwBibYh3JSrtzws9k13sTy1lFNlZrbxw1AY102j45ir+OYTaHie06FJY0kkDDmSE -HFM4x9tkqQPCn9wydZ+PgdE4+No7gG6ebmz5SGk09jPmqP4JZ+xZDsg3fKIlLhwTeGvZuy1Czov4 -WHZPIrkhaZoF9yOcZd0IuRouAxRIawZHdTnbcLSBZQ1RsiixYG/yeKTruPybPipowOAsGseNueox -7SZorX/ST69Saajbxj/mAoX7W90BJYM/kMZCDICsWpAMZ6luL6XFTCjCasnpoVin/LUco6yhHNYb -zqFdqe+fckRmTcdop9Xke6nAjWGImf7L8a96x8ASipLPfmRkVq/mFvQzMCIgCdwNHBzI0IB+Lrgj -h35py1SEJz7YII/OafhPrfMe5AM1+QT2MJnhEYTc+OBGiMyqeLcveUbSg6rp+WBAtCkwoiehc1Gc -2QV6n4plfOvQBpQVSmN6U5yOw1tA3ZgIr6yP/mTj307wdQ3QH50Z0VhItiW4g54avAMlozeVSp2/ -xAauq5tyUQLFhf9dXACyp3VKV72kYvIkOsxpP64dOky0TSCez843ri0n5GTKf5sw/htqjPvxK/OR -zeBEYq7uNFrd+ft3jpKMoIhiIuzdJ1Kd79dYTQcjZkjkYy5f1ise3nfFmZRmVzElJUYuIbBkxL8O -d2Ss0BlKCKjgybiYlMlu3vjYHRNhXZhB5oe8jkEv+TjhgglV1yy4KqfGbbDXaTXlEuQdaD0z0ACI -DRNLY25Y0+fu0RF2+qTPgMf5AhSt6DW31Vf2D0w00h+sRZEiVjaFovDcEJ5K8tVMOpJsGXWhebja -IIkITq+zWMvSOEADFBLKdMnI32vIPewQ+fLU6VwdLftu3Pt78gRjREpypWSRyYHY7Q/rPWDlqo4W -X9K+uP7NNGLpbBb7lCqvIyq85lRRSi9tqQWPxpHDojM5zzoxiE/TcaUyCLx4tPxMR58qsH2YXuok -ST1UVPZkvjzcZqsJWveDi/DkoT7p+U3jlANuKJDcLQ1B5VNVvMyAQGCztcb+6MxVriLwG++7LBF7 -hTMJ45sg6KTNdgFDaWoPCl9Tzvm4Hr2yRS/GVLEqLZ41ty3zHS3gZKq503vEKyP7vvI9p9EzILFT -QgcWwzmhF6rugb4AkaLNthgV39m0YRm07x1L4FSHBzQ73TbJKlRTxnaDQd1KIexn55HtRcqGHRxY -/Q40CYonRlV/PlMGFpXuVS/+QloLNc0PrE1n5ryM5sjmHlZeNAWlO8nDbZRvKwLBNtMNCn8yNuuT -mt8nDoKz/aynHfOobEu3Nq+bqzTUE1PIxZbs4QTbwaAcXaShngl5kGhcDdnX82CziSuZLlSeATB/ -icKLKtG2NwJFSlAsJgT92X7kZ5eU8IkKYLvztyrukqlsNBNkniTNzLqIY/lNPXJwOkJy8lyy53MT -sE0L00mAEXWNoGZSSwSxeBzG8hpAz2CNm/VN4hqsWydpR0fsgoTxWrmlnLVCt0frOa9VDfy+s4Ad -PwCpplqQiT9rvXlTzav7WAr5+jz1GPPOFkRlGJ5CROpyuzzmA9PFB1dGGbsMisi2RdNwB9UAMu17 -zQxXXX9AtL9R/BF31aGIfVMqsB47I3JyJXquBBsSDyKnMta/wAMKSMq56g0MUXvAVA03VBvbbxni -iE/LJBf+MjDSpt0Nwfopd5qGWiZiPDQVMkncm+3z+ec8IuwCjF6ol8cMo6q9708yJmpF6BOgbXTS -mz5HyugvxQITHeO+tGAVn2ZIgAgmxNfeEDWJT7X2Qo0XOx5mpywgObXAVOiLTjP4ZuFBkdPPVjjS -h/F7ZokUKDJxXhHzOnX2+kfyUKpFR63KglPkTYDSsgL3cr+ntxvGgIsOMNUdpn+ViOxxqxWL9Wr4 -4T6kwMDbHhgf4PiGy9XOAdfURXoZCWcvAx+7vM5CYNwZc2xLIF41iDH/ah9KsVL4QK1iLMz+KC4E -dIbmuYauRs60jXvrXhBtd1XupruJ3B7Oy6iCwIxfICp1F3oQVbV7S0hYcqCmyS5DCc+1NrjFHYMR -uA7GTN0BBeVe/cJVL50dhQW63YH0cklU5q0xt1EIQ1GYsOr6NC591dEfGgJwl1k/VGc2+Dow6VD8 -UcOxfF+fX0Qyq31PdjwkRYvqkebENQ5vHDlf/PirKGVvBVdxJc4EmaiFTwgJdp/KcH5uDxmYQVVj -n7TVU/CKWMxFX7dhi9uiuRx4ombh5Qkm+6IhXvKcJvD2y9pUE1Co9IwWX4UCm4xWoJK6zjlq12Ew -UsfSsqkSkJmovyUtaITO66KB/SdWzylrhI+T859sdhruIJjLUtaAgtk2ff9iZqxQQIgg3K0WliwE -ZYmrNWoOGsmGng1/w7vYXTb2zHtR3kn30Iwjg+aUtpt4/DOaIORSkeQRU/scokDf7TPUfX00ckHN -WSYO0nErvE15Mp2B5QKFnPkiDOpaGdiKl1uvzv53fmQFbZP+o2AsQWXnLDPfDRE1qZnvhYHUJqqb -xLskCazsusEIHXNTFvNSCPrReoPPGgNuO0221QivJOooyG4PxYaVWqfy8iKAoEsIpp7IyeWoyNg2 -cBsvq3nI8Z7PSCqsNl7ViCMgN1CMJXLmLWK29+FR0Fnz6dEyp1IqCofNIjZM2n8kCCm2mBDSPtwZ -9kV2LGJAILVdQuYIS95cU4DsvzFHvSZTM3Hgh8APZdye4ejzwxBdGaX5Ma4CCL29zObFFSj0YxIT -0XcP83B9UuBB2MnWdDxNjzrPJm2ffGn911oA2vdZFpbl6bWbw8mLiZKnxOF9oycp8bdlqCHCOl3o -+8vyG6Px1AFFERL8CNOk9+eyE26Sk7+egabgxMmNpaqh8LPfkIuQwyLlpwmritdNp1q56thCdX8l -nXvMA/eKU7bgTAqKvb1+tmKfe1yd0/5+W1UjYUaBmwtaJVMoXSfIffoPCX+IcGhnXG9D4i6QKE+3 -3sKCokBs1YAfohl8gZpSx0o8OL619HFOYyRsh3CoYHRJTLG+Ip9TC3xYxV9If0fPkI80tj0ArOYA -+EZvRkijhBihkq16GmEYId9lz3cki1I3JYQ65cAXlin/ImHGYOCGoY2TyPuSXc69sMGTp09N5yD+ -KOhDhVmeLMfNYni2a9zwUzDvJ4NDECSGiQwoP6083dI106To8p0S/f8CWSUgchdUwBB+qePZY+T1 -nI3o5VjE7To+Pdkwnu7ASJjW+MduqZtFWCkKtkurfWtfyhu3pVaACQp5ds3/e14kue0u2GjaSno0 -l6DN2KwkXyBxnTm1cl+JY/E529WM9J24fPUnXG0x2xhiK4s7NhWBHhUDDotuX40Sm1Z7efsKTK16 -Sk+/6M4uiZKiN+gBmEvBzdtcFHleO/BUJcHwURzw+OTzT1pHEhHWn0mvFG6BUXYS5NVG5W9uJKbW -pfuyv0RCZzAHDbeBCPoqyXq/4O/Wwf2GNWKbKqi3ipp2H0z1LxGF2nmh/4p7kU3tvK8hzQNERUed -pIc9vgiE0vFxr02ohEiwJH/F5cC/eq9+3/GFPJA4qlf93oziwGXZHMafpqysWKwYoxF76Pq7Qffb -4184scy+854EoGkUuTuQfylLOLrOXMA3ED6BOGGwAt4RmigEvm3JIDoyqlU3yN2Qp0QcSImYXXRL -Dg+jaLRD0kUVrDwo1huTBcFDkATKOXWCN1Qr21qTX3qDSMnYPwWggPCu/yMYGM5WoxEGAjFI8SMs -P55/xs7b+h8lMuGYDMqTYivpxalPerlbKnxmOHuoprdOmVNFur0C7mWpW1zhTCP2HhtbcxRUSaAy -RmsvTxhBOCk63vwVfDphrNRvffeDDXvO/FZ3jUa2GlKC+qGbuvWf63EnfqrTs9BVqy+4YbhlR96F -WxZLSoe514rsBoOjRzJCiYu1oQ39nprja70mNNmJbkHsyhncg3FhhSBzbgsU4dE4QLiHTrMiagKl -1KzjfGzmAXpI3N/vE0bqH1+Qtu0Q2hDDpGbFgnqnB5O+kIvwUuY6bmreAVG2izH04ny6JEkRwTwr -13wPwcdcHj2aLV+ioLjrhmVBt93P1SUgxiAjau9nGvkaPZlg9xkrFC6pdYnTISup+jb/6NACNW4V -p2QMj9WeI29gTGZ+95VQ996MlFWpcofQJtUarxib+QE7QvsDbk49aMh+iSpiWvzZBHUFx9ohTWme -AvNS/rRyud6fc+Di4bYj0Kq5n5dbLLRKe/qhmhLWpUyItRuJ/2qj829xLcKGL4CRc9HHZR5fUozL -sDf9nE5JML0W2xM9ZcEKmSf72//1xjwfip/6xjAPV4nPvdRa1pRtqYH6qQRCfr1uIKDCg8bKgDb7 -fMKMdUbaltXL5e1wvDiUYr96bQCEQna+YEX5mFS6OAb3ZegRO4Gm1yRnjOSpOxQKNWih47DSU9fc -nbs51WBsyz3IlS62IoddWfnM1n6c00a5trFsRhp84ldzg1quvNlTqB7IJIzElmXk7RnAGzGV4g1O -5cdiKqtdwWGXzyHgURE+LGraG/B4IOPmgLB4Fc8590PFlLCSNZMz8UsNNNXV59Mhauyd1c6XJie0 -OPx2FQ1WvoU0VZFu7VLoD/aTRyZHIThtjcCPAvbvfaax8L3WyFNOO9LyWG2gvdHuXJQUYLRytmdN -WTApwu4bLkYExLsaq8Snz8CuUkjdERjbkgdbs+YtYRzq1CLNYv5nqetwF2qCXILaue9HWp6YfQve -tRYTyAK+Fws4nwWIRTkalyhpREh198esW/MsTMO2noYqhSnZg4R0hd+z0ZlIbS6U7gIqw3tIqDkV -ju42DL3/Ax2jiCZVlIbIt3/yS0smXOEj7nsg2Hs8yyJrdKKaLbjs/eJOe6xG2bd79duGl7HZSXFx -F1ToCFHUfzyUJVR1Qkwgd+Y78fxuV9ox9XpEO9JHFFmbL3pNIviW5cINrI7nNdPD+neBK+15HWlW -85wNgDQZSjcXrJgE8jbh4JesbNR4L7eiRVCtWFBMuCQzV3CLHpCg0Ll8kdras1aLcwhzeLE9G5sw -xTfsnOKn4St8lMEGajQpHGe4tf/jEdwGxj0k/p35oh35Odp0Q1drYhazPRGE0b7N7W+UUjPCLIqh -ArCt2t/3ssb6yVTnb2AVE+Aic30BqGcVzTB437+0/K9PEDcKJzwUNiT936yvBWXS/tna3vkCjatk -gt4fkh5Gbt3krTD7doADXQwXqfCUcP/LonKnRCf1MXwskrlLp+RZiOr9ymeS2PPrYSR/AbnhsCB5 -3h9Orv+lCSlRHCJtMabMYqz6tVSxEDTW4ALnJn9FDXu4KMBokjXxTqRcBhUQb3Xke2Tsn0vqkqJV -CSQQDnENFzbhTeWUIwtP4TCMMEuNyCl+Ndi8idzr4zSYHYGGc8EVtrfg/+00xf+9ploR5way+4tf -p3YX3ukUO6cErL8Z+2Sk5E5CjtDBDmEVRkuHbKE6FaHM0B2EsbPs3q0iuvBJM09JZhDl3ROiv58/ -HOYOgqs8H0XUGPvJADTp07rM5HFTqRtMqZ8LVjyFPMMQvDZnGR2jR2Nj9iUg39E+lmk0Xfo5cnCh -vxXBwa3gpQ7Vo/Ups01egOok1EG2Lus1UrSccVliP/tZXqcnvv+Xm+YT8QlpSQrYI02B9YnXK9VX -i6MDNNShBbu7pe03K9WOeShL59oz8onVC1x3W0gcTlk5LHOJ2b18v6Hpu0hXSY498EB+X2EZyrNn -WHfWQBrAQVbIfUXvx8oNPB62n4aFAz9xl/SkaKXkcrLNQ3qAL0UEQR50Wnt25V8PZVm9c3t2RIzX -zSUbjtz5/LtEDFdmZ4cJ3cZcGEkCmO5FE/km+J7/lKVSNvQRq7CmAG81HgtISbKbvRzsI7XObDX7 -WltyvoXMFaULgUBMZRkJypz+9ClpsQ4ojQ1wieHMPX+A930PZDs5ySN93Zx3weF394RgmDW9NL+P -nrCKsmLYaWztiusXmbhdrFK3tMe5vTMb+AlBoqUBGQjeq0fsK3F+0j0y1lYemCBEeMPShHrZyX0M -0++4KbObOyMTs6LxuuucCA8TSla7SuSaWK9JXLzkgnyWegJqC5LLBdl69LipnFGHS01LGEygSetE -QwQohOSxnk2c0b1HGC+5AxYZ1BGcpKemcre0YOjtb8aEae6ndCeJu/JJBNU4ww2gyO+NkcEAee4U -UTFz/TJp2ALK9/gTXwd4u5DwofZ3R6e31dZGdRWA74sToZzsup2d+wE6s6ckKXRiSbsPY64d6wC3 -LsOTCS5OtoqMiRnWz4WR6bWltbzPXE3EZJgGsV3rxqxwOq+4jiF81Bu62XA+bqs7Rr6BQOT2QXF3 -1RvKkennSz55GHOPgWI1nXae3llzVIc10RREDna8dJXuErBoqA+yPTjiwXZuqJYHgIOgqOlRF+qE -fawv4J8IDTt51Lc6eKiLK+ESUVhzC/ISCLTMPXre7ccc32r6LcK9rMnlULNGCqwLIupVm6mg8F6J -Tom9YDSEZ65UrEnfHDah+Y6OHhngP/B+IwtEk1Ou2FpkarUGBK7XBNQ6TUpp7tcpfopCtQo7Q65j -em4d0ZDgWXSjMSgmkB34Hc6byYJobdv//07CstBLPuWUszmcNDLq+przb1J3Nb9Sf9gm6Ug3M8MJ -ctoXEGlaZ9Mqd7Pze0Jk1QTJjkX1XQJGvkaTWAvhhNO9BjyWSEc7+hkv/fehFk5bBSJSJcl7HCl8 -2fyNmYiBrFpcdsL1yrtjNxj5piO9XZJiQvthGNTFB945N2qWrXUxlBPwvrFhEdoONRpJl7g1VvAx -qjgklQGfMXNZyEMWLbGUBZ2Hd/xhECdkRg9dv4RoD7vH6jvh6ZiPWLhvc3WbZ51WjainEZTeZwJn -bfLxIDWIlWEQCtixjJ7ciMI0cXYdm7vbE/0XKwalJO/rzXUTwgwMJwxGEL0xPSNQPaZzf0tsQwPc -gEYuGcxDMK/8xfYyy0LK48936Zuea8j7Sg+UwNNgvry84LYZFy0NvAUMItbUjl1JGdoefIPnevqt -Pw6YH4yZrojdW02VM7+wLLP89LQMUa1RkWeNu0WCdQ9jH4Vdt9RHaFAMNVksplLaQSdR6/ZQ5wvT -40fgCB1QO9aoP9oWRDov0rZ0swD2DORv2stVhr96Zs7tsGWRpirLygBkMUCuB5RgNxaH+xZM6DTj -CIaV7UMXLDWJ3w8q3QUL0C9Sj/F6kDO838hQk/DPP7yoO0EFqZXfA7Zdsm5KLf9aTL9DBtOZYAvI -oGPBcDfoorZYfYqz2ZDBcI7zxQSfPiegBjIl5Fpeo94FODEMgVfyatrTxKsVR4+kVO4ZeitADhW9 -ukzEJk/NpClvNEO/rP//6znvFQU+DtToKoA1L0weoJCUXm1YRpW8gagnaTrRIkRrQOmjh+58H3B6 -x9A2VDRKPROGtgFn0Kbac/q5TFmviLuIwk4oZM7GRBSUwjdreINM+3N2eYe4alcVK69vtEUlHLQj -Rrg9wbrQIPP/85yX3K407C5S0p8CHrgKuIdg8VE69Om+PjBWwFt+fGpDT7DGK2PyyLfb5yAq2l8b -EKYi0OydZDE8xOakYwBXQ9KhBhSvwBJMRYN1jXy+u9l2Z6OdKhghfITdtRpI/E7IgFHcdgTLSpif -ng8hyhQ5fgimk2VI7pRa0z5ZErfty65RaepVRDLH60hrXkvcqyc7NuPcYRxGK8pV/ARQn0HlDtr+ -bW79vXLPT2p6qkVZh1hwylWuC3AlZyCQWiVM/TG0tcakZkpZL6oDLi4U98KPMB4vWOGmu1gVTJVj -F30EQD3MXOFXSJcYa1b11tA37QVurlsKp4q4gxJ4/auQ/HWCstPd7bpGIlCtbwv9Q7BcZo5KngqR -zdjCRSGWPxg61pqm9/Rw2dZKE9Qs2+F9HkDA1JFA3g6zRCEG03i5Sye9azDeFNV/0IjpiE4+Vq7x -f1AuOLtIqiwwBR8U0BdJrAdJK3MX6W7qI5mCPjNxK8DtEu6n7uCNUEbY5Ovbpa6eR7BGgKq541Zk -colTBLCrNe74D/tKxFRDKUAR8BnJTLBBhtj2+NEKCKSQ10yj1tsX2+zCDphPU2VxZ/UZgsN0cWJL -nOr/GrAgKDvgHd9ADutYG6RWRD1WDdb73qRZSSTz9mMT5Fml3ThJ4vWRmSjlqZITkQC+8L+h3bL+ -osnGG7JcuZbnkH4RIpCgIfuHCYbOlfCS7BnThSCbi3k6Z59pFT1RUb+XgfxJcNRimp1pZofP+Wdu -XUjcq+MVNj9zc5/o6Za5fV31addygQajRFyTx9Kex0df6DfMnDOZeY9A9himZt4lxacGK9G/BsnW -UxDuut+2TY7WXc0zRw6KdB/NZAxl6/zXEtSwq4R7t2NCkwVM4VcoFQVP+if7BWQzdp1DUePAlyOm -9P4JYfe9k1pfWjbR78y8+9N8SR2FdaLmQ9r7LKOiRHG+ti6qAzIVRNaLX3f/WueYpQkjwDb45+6h -ScvSzfzs1jwUASY12rqgOlrXlUcjHz5IxH6A3jf46Dzfe5tPvY5MwEw/MIROpDc14g3EJ7PFncQZ -xXvedaeTFcgcnc/M+BHbowfBF18WBHAyd12mscOyn6+m31ej0Jxd2Y3rKxfv9bavpx+W2ztMoK2w -pcFI7rk9dVNLHk0PkaG3ejtauxke65lbaTCxREyrSheEQ3aka+9ko7Jf2YMPS7ivpfVTfSGPv++n -fuTdEETgZidEdAochkRj2iNkzy0J91zY415iLAkDLcnfGbcJCKo+4S4dLp720mCnCnOP8/9xyeSW -fT/Ms1LuHaVGbKfl8xVO8+3/ZeJTMlrSW/8th2t7X2Pc/cynEhS3JbcI9xBQsdSZH+pldBBcFzi7 -gQasxGN1zeq3lahQvPWtxV6Y2val56yA1/bs+iEPIAxhPCAKLqYySpgx9XjmQ6LFBpTnKCQX42wz -qkGCGVkav5OzPpXEKQJGF70lutDYteXyfPHssGYlJc3KJA8Vpu+fIoHDXzwrLjPfIj17qa3M25Yr -q9D9oRTWxQmNmfChhUCR1lNcTrDlZ7JQYfuC+jz++1QECjJ0lWwWVe4vnWQK4UcfYicnZuk5Lk2g -qg2jlOSLYDnAL5YlVMHNS/mENLcZ+XXSzaFMG4O40O3ECM7xHNyyoT+iVTVwhU2lmG0wkXC1CwXQ -YvV5cmCnaQnLLLatU/VMGJtJ65OSB/v7riS597R0SfveU0bc2yjNEqCANEPWozNHL7o8wDOXeAKa -bVH78UkUMtVUmEJE4WidNDCJRAaMQbtGhQAktv/uxaFYOvO1H/nouBdwm5RjvR/WTBsn6Ci1mXts -nN4TGZQfEc8J3AqXKfxoP9X7RR9jBjPZZqpWzWOLsoVI9cFQkWmpm8pDV1SyWPx7ci1Av+eHPGmO -OZl6FJIiGTObQ1Flgqiubln+OXQs+S/RLl0w4V8oQsp+jYJQPY1jMVoWgcAmWl1g2Xra9HqanSVG -6ztQzh+gtGPpUkVHLLssODsdIthZRtja+tY91EblhWCHWEaj3TKlYVIruK+X7KBmbYLrwrkjvNI3 -FxYzFQs1yZeI1hAKclr7Kznpr3dVg0nE/bd868eXNxDXL5HDaYuspToLZa/eB0AZ8Tqb5SRazlI2 -rZZdXSzNcw6StP/7Bo+n4zUMhwS1frMcCMF7OSYLtb6mHY7Jvv48eIdjINqI14/3eC/V9a/0W0Sn -DtHNxQUg+Pkw7QmYmDT6IUXYOD7U0/kq5fTlp2GGdMj3tIWIY1ePs89U5NSLONXH3XclwwZuBZDt -4XXcSs6K8nw26GSd/VrMo2aey1ge7+stUBXxunxNULL66BU8s7GdHq5VRKM0foyvQc84q9V7e7pT -otU1kzihRbt7tlOr8RVR5S4RL3xCQW5jf37nYDAwTjKvxlg2jqAqpQBPyYyp0mb7WKaH23AwRHVk -pxkXP6nBZ0YQuLzJXRKmUttlOQXLOaUB/n0a6N8Gd/JZo9/GLviyslFWHEgDKGqicTiLgSu2/8Se -XgajlU+siO6Fgb7cHlGXcJP847ybRUACvtXIRpCKzfdLQsAy5VTXXihIsfRdlx1xMTPuldxoBk6q -m3bqUDr/T0duDfwr+NzXIY59/bkJnjDeoFeLG/lcjhHhOxakGJWMqdIv+Ye5VL5AopfHCf6e4xTC -HJvfyUsCxx0fEOkNDAZqnF4fQ0C0yFlooOHiu+XUBYKg1xkmQ9SGsTsZ6ALB0mn/X/Vs5JxZNKer -trlMUpTft5FuUD3iaXPyR/IG9s/XEQA1JYAwcVZs8uZM6a/1Qmgqgv98z3P8tZC6xdXNS65VnsuZ -Fo49RuOniPTtA5s9YVToYB1U0bDZnKOke8/B6YhoqNj24CSnqixY5hC0ALghKI5SfHzDDRtmb7kU -D7UZ9Ex3RX7oXHlCfhFxKNcSFmwdKcuyD3X5ZIrUq8jLpflss+UrWcdrWYHBDK5jyr46h2dSxK4Q -21yC6jEv+HGwHOQ/bH/wPg36FVnRUF0YzKqNWa2GG8Il5gh+AiJ5iB9hMNwY8dSzVyOQdOGnqEYm -oEPlFseBYxLZNsWmqzjgA7kAxYCKxrI3x0Vgyn4qzmoPWl6sTNpQMr5VUFXCt3wfNYTfZ0QGcPTm -I67zfT0YA5YEPiPyyyBGeECgL78/bpbWOW4j2NWKqyNsoMdrAaDLqrJJK3jDqLxC3CEhDRYzs99M -SgZ3BWeqtUg4Vpe0AVV7c4I0oLWaQujmcHu9Adxb0wX/cwZe3C+KUN1mAHPfCvg0NJWldj2TvOZ9 -pnLUIsdBht7bf8U3NJw8u/HrkSuYbyGs/iGc3mUQhEY7YRgBUiYzEYLLG5Klx0V9C6PE8ELt6bc+ -1umaKQ8DNicIgIWnHSQeQKfBZ5RSoRbqhmpV5IyWZ9Y7JY8X2RfjymQj94WAY+N+MVSrABBUpzKy -E86ReVfdAxUJIGI5iuMkN+vloY85kev0z/bk2pKHHTHM85qbIafLOoERrBGWOLGJDb2q/67EVnHz -BPuwY1aFA0C/YcVo2QA5/AxLw/jYks/0dA3oZP6NuoSP4dLO3ZKOp2KWLq55gRkJ1eslX2/5UCnj -E0DoMdibZkik1ty40L1BvMOzHIjp3yI8tHw8fEYe8hFNctvl1gjI6az2unWc4u/Qdqy0XRnIK023 -P7QNH9VejUXpwl+RTR8WNcz5b1/DhWFeT3BsB9i7U30eMCb95xbIJ6FVKrR7n6z3RbBm+JVwaivh -GtPYZtT9dg/t5GGxuaK+eUwyHqEk8EytBovU49Y/iAvs0272Ry3M8t4tVS2te101pZBb7mnWFHNN -VgvH7rhk1bJipz/1ASuChWO4yuTirrzSbAC7ivWVN3VuseKMBJVPrx40EYML1wpHUltwInAhjH7y -3wLoAj7HGoHsvakbhTKu8nCIQYfXT2H7AIANP+1eb7UYuHKYF98Se0MbbNSN0IN6Na35yQz7bFj5 -NidYinBDkJsx2UF6w78EM9QxG4NP1NhhmAvdh1hQ9NSLNeL1XeJAtmSc+X1xXdwWOdvEPXcEFlAT -xbMhpaXSreKj3mUTciJCPQrDD5m4XmBqa9XisH/QDm83UvS0/J0VlBL9xVvVplYwCEraEnoMrWtK -vQn1La7bVP4/ccb9NWNuiAGAnWgihaUCalcIwCaGdeQ2egGuq+qvRV/TnRsMTqcmm0SyeD48eCDQ -Q46Y562K+8ZsKSmYSB60oea44cjqKN2qe5+JjIp73MjCjNyhumcEhTHal7lZa4Hi6pLLXVJBByWx -9Gtl2uKKPD4kHpvV7TKV0P81Oup1E8uGvAujleisxHaKdwb0RU0sRHu5F4J/9wbKeA6v2u16Ncko -7of/KeHcSh75fegE+6c19usN/8b9b9e6Sbq1ACNbRQ2YBydbI5dwQCjrrgeZKViKdn1rxr6cBlYd -nUwzdW41WrBunTqJTETCr0z4OpaugvSDqTqvfnNuUC+Uls899Ggo5jWC9iVpkaXKgEvgdjZlBtPp -9QOoSIwK5ENp/TOLErWJ+H2PoXbj5gWnZ0ygoPiIjXjWjuUBRzKJ0bN+l5rtLe1Oiu4DRdg24vlJ -uwlgR1G8kpYMWjH5BgEfTeywnqY2cbi2h4GYjKbREX2xUrfpfyui5tf4eitumMbhsGPy9wCBuRzv -3AwbFnams4Lz8o1bvhrn8O+4VF93KlL9SMR2WwTI/Zupom0IeAyQM2iZ8hjyMGPeOfqwnSQMGdZ1 -tfhUjkZ2XVDdEO1TeBu23DLL2/3/hmid8mIqV1bWthfcPdCC4Aoxiti1gHfTA4Bfb38hV6aRD9/D -3QlfDtbeyM510jsWKaaBM5wlfb2CJG/xIzqGmdsH33NC6mM1wPhskSpiOK3c6kbTQnO9b2Gbf3yP -H0dmDXJuLL10rQZ8fKXHmNNX4syYb1oodRKr7pCeV0C08+Vo9Cuc7VaSqLARRjPB80mVpYi9fTBA -sU732qW1UR3x6bvvRIqnE/qUUW285CS3lmDy5N2pyUyafgDSQsYYWs3Vl1MfioOBQrtS2h6Pk4++ -ue2SaKLZo3v5U0kug1Zyj1YAoT3xlMUcf1Zc9EeIB5BsUBsv2PG6LGEI0u6La7Vd7H+Bb9Y5KXh8 -zDaJDRWA70zJTvp4d7SfMQoEMc3btjwCjhPkwEkwtT/SLnPYWB6O1neyx84/fgACcM+gzkeSs0sC -ORgXFTZuuAghfNF/RRnXLFOQzqcJnQ0sYqGR+A8r0IFZwkCDEqstC9wZGVZ1QEbwBkq/XumdynU8 -azNCTl0sCp66BvBRjwoJL1NB73k/uWCri2vc+EERmYWTrp4NPMqnDuXjdCVM+u1CatjoYeCAtXk4 -No0pNnwbHXsFJZxgxSK6epI14oMansAYu6fCmPDQYcAlSbIkVgM9qXIQKSmyBsNtDog37BuFQAT7 -U04sBvIR+uT0gPJZMd1P1d3B1/5XyhqrEUEGoAQNPp+tYgxmQk7odiGJcpZf6cYPONA3fJuBN7jQ -x3kNGDZl7zlQijVak/vSvdK7oLJzfQP9Udjr0yShxr61VzLmKjwQv0DYKyj6oyp04I20b6ykCksm -quwhJjVaZQoYc0R6TFBf+ueeZoZeabjj3EZMduv5GywfUn4b3G8+MpeJoJp+Q0k2exXBrhIpwaon -f0K+I4H7zXeqf8PzeeedLnSwJDIQUDgfOTFyx/pafKP5D4URnh3JgJeVISaHIXPI0bF5V603/CBp -gdmQ0SFy8pFLs/DLirNoIqUNWmipgCXKr+oyhu4/3EtiD1jF4ZqQ4pHo/4CgoFaSgVbK7XUDUboo -uiWwYNQKuSpvtC89IILza04er7NbAJ6Cxu0a7DjUfbdXDDwM4TP2OrQdw3AKP7wiRJ9xC/5tWF2X -yM8Z2E59i8qprZWD5qX4RaubvMJ4vKtGXd9BFr1kM7/uCAZoNF9Ow5Rjj8bnVeXeOM0hBP1BmQAG -pWaXVuufTGTEFMO/Di8+6ZQRj5bUFxKFsfL7SfJsb4b8ejS8JEc0yil2dqPPrLP4Iwkak2AVKloO -f6zDMO6WcWOzc9Doq6mXpFwA9noZQEEWNS1Lm0XjRzwi15TeAYuvs26r84OBlhbu7nqzHxJ05KgF -FJv8yfkHuYme1Xxl12/T/Wpks/idSkDmWDMJJ6DsOpYnCa3cSeOmFHhKzG2fZueMHcavZiJ6iy3W -hmpbFe0v5KqiQCE2h1r9gaN1dSklXNDS1vAU0CsLZByhclqfXesFP4h7W3H8x3fyuYgXiatmawDH -WIGGL4eGPQthZuIRNwiuPbY4u38C60cWN7MZw/dqWcF49NOcqebMuUAHjwG5XvSSFsbDRirRFomR -VHyMgt/w51PykWuXOA92JQ9uu2FxLYSf+XY/asI+4/tkOiMxmRzU+FC9usvZG+UTOp/Dn93Ky9Yq -1UZeDGKQV9fMEO5SWsfAQwEnXmKaJknryowCTI3aZxabpqp3EUMq1FZvWJYtnRMsip9jaecJ4lmD -9dwrqRx32WnTJp/ez5u9r3lhrHjlIdro6ZsDeHI7EbRv8y0BijYE00SDZL+dxmFYnpahLPciklzs -Qd9M1Wa+IPM8oon3/DKpL/aWgIphKY64Cz76zFILUA+6a83yTS2vTiqvK5q3D28hl4sXL+27H85d -xu1jkXx49mnqHuRQPvo5b1+HxC/oCGzeVbWe0a3uEskDs+KNu7S9itCrz3QQtF/PAA3CxbxZqZ5r -x7gr8pM0bG7Vwz3tEgGeXy4XERUa3yyxJcVBJnnr2V/7LpNwEnrfAOHoCU0Mc+VZ6n00PmVoQCEC -l9b2EjZng+lEi11Q3OHnlxOvLGFIVP9G6ye1gTEzTs1qGaCSKkMQ81TZxpqlcfPxQiobURbNBkzw -pLtNm7pewtgUJD8H8RTBTvpcxTo3Kfg3nrC+T1ODg+gddelfj5DXRcb/L3JdOHavSyx2FdRupEzH -YtLmr8anIeMfrEy3t0ZB7vJdLCTSJoMshJzCekznlsAop14/0kSoFi82jMz19WouzMK2D0CMZDRk -p4d+bzdV9FNOC8Q0Ouh58V2oSvRFaYaJMnlazsAzEIdR3rQBThMk9mljsI1FEEr55kW4ER7yGc1S -VEVtORrPNd33IrktexwtCDIKFhmSCrUue32BS7dLJcY6hsszn086Ly675jyRTtkCBCFntcRc/EHx -dAOXJRrYzeZwDMg4vJdTTcQNDoxAsXaN4EzQ3FUAprdBYhtOYc2pri0bAFvynbtIpcLQRhzlXeDu -OIcMXHfApcb0FNT6X7gAUm/hAiBaQT5El20k+O4aeQDt9vAKxup2A6WBZmAouM0RckAFotnUXXg9 -zC3Oull++BxZr1QSuaprpxG9T39yOQjTz3goi/VWND1fyRrJjDGdi3tkcOqdLEOT5UGyF+KlRqxf -NF8QuUIjtFR4PfX7l4i0FkCqrIsGiEidJBRk6BP/YlkzvDWAtx3V/mCGaAgsEXOekBR07H7sSSKQ -zuL7tyCM02ac/aDvHFtR/FOch/TQg3kAPAiilgA5ytrqcFiwVaQ2DWDnTykJU6foFX5ZyfnrQklh -mYPyqiHvFb3kaWnlztR00oDp7mM3bTYmT5p4Owqc7Z9Dv/P/TRYVe3nm3mHKg0rCTdVcpm4JvIr6 -c+MOyvGkZ3Um3oFm/bgnlKoY2hqk/mkX6e2D0/LnRcsWVaJbqKR6dEyQLMjX/R1vDpdLzIzxPZyW -+bHAbk23B5xNiHkXlZE/CoN8M8DhPCwy88DqCSxfW/SJExpYQDYt/WIQ2WJ5ZjwN/gmuC69zMlq0 -NfvqKoTVZRP/MY4sO+CaLwnhD0/9bft2nyJBkzIc7mzf6mxnfg0CLiTzwXHQIJrMYLHt0Tmwr4Gd -Zc6pEYNRPvZYEsdd04n8o/6AwIPl/PdkM89QiV62JBmb4Ea54JF6eCpYoIFxq7XpAVf2yXj+0W8W -jhN8vzZoDswaxM/BoO16cd0jLdy7LSwboFywfb+G6iCPaMg48wRAlpfXKldlq8L6iYP6sBP6fMHw -musUpFmqCqRJeNeAFcQViUx/FleY84y4jrCd8kpxJ2+zDYycZYImCMdS24HP5b/1/z2trSmOV1MB -yHXHSUsrgiq1dIvHAyuWgwIm3SKuRxBsf8YA4ffwU6BL7CirSSd8U4dzPEUfvRYl7Fax2rIgvcSV -WPD4Ghl0LS0ZUpGJPh4Rg7y2ggO3ljzvTXCPtqGAmNrkBMa65pdN3dsSlT5a7UH6DA1KZevgeooG -tuaELeX4PqJUPR/xsXdjNrw5T0xp0Flal0oedovY9Ohsiz3l90RMuNl3toKY6tnFCvon+8yN70S3 -nmB7KspNGFy/vio9YKit1cjdZBDAW+7FXetCAa4hqQPUQXNDX/h73HeMfOa3MS2Jw7I+74fCO1XQ -iRZ2KaOdDedtv991Y5qECSYWd0CQ5Vg4P709pOPiYcvT6aGIkUP4iRcXu3fja0nyAdTJaTG0AGQ+ -OI6nyDQtCGTaguHNgtQUp4MrzHaooJ+tcyyqhg2HXb4gc8XyiR8ab9KY6dNnBvWuEf97ollCgt9c -U4jBGn8ditK3Tl9hCbaBFwtJKZNGRI27ViYRezsruafh5vsX4SJNwW7UJ0ev91mNwlFAyCklIkbU -c13vlE9HaJR5QeOi3rnHj2IAxkK0bEoyBRMJwq5EOU63DZ/IvciDuHsRDmufHI7fNuHJRriD8sC2 -mLGf2iVaCNhhZud/snwEHL1T82rResepB4CaJVLl06O4UjDqiLCP+raBbcBQ7Dastuo8gvVK3s6j -ilQoI1LpcuXnlefEEzTrNm3WXEnw/Kku5QL3FssRlfe1xLCmIdYqtDLhnRtXngFODUFs2Jd22kBc -zuEr16AyHZmDTyWLQLMHvFKMNQjyxCC/pSLIYC7L35ZnDLJ7kh6Fqiu0UdUb4pzfkN6X2+//u4xi -bCE603MqpFrtX3janWww+LOwbqeTds16gQfIbJjOaqImy/hNrhhipXvP9ungJNiZmoTMYA63QNDg -L0xoX3uu2rnZ5aDQHTDKuPi2asR2nJ8yEedN7EqvxuPC/y2Bup/1xBlfK+9LjJ2mhHI6yJp5UL27 -xtDR0+XL3TZ1yOeShJepBHRyzTynmTpzqg96j85UQMyBzs0E2Mr8AVcxv/ExC4U8wVCv7/eKOOZg -2QiSvXIG5qPv9oDx7/iSwBoMMRsTs0IrnJ+d+SmCeOhUIREUG44Fh8f0uYTzIBuItDtMuPSyQfr3 -HzYTkTGSOvDSA/Q4Uco+vMZUCrz8LzSZkxwU1lZgIeQBxTABaqvUN/YRDxvvtP2+eRKmpWzlWmH6 -yLLStSQd8djfO4FqMWCOD4IHPLINbcCYffGJUUqCPGRoFPQN59dmL+AtV4265pZ1UeCk2oGl2/6k -lIQu7xc9GyAcG/1ElbIvydFQwz6EjAK+2IlHl8reocXNYRrYvx09/dtsOLfsk2olJX0RHl9NVW0c -9y2iTEUYaC7d9XXesyT4kjSQT5Bk3zV5O06wH8kUQybCJeMCbpE+UnhDZR3fDHK9axjtYwVKdAh3 -pGDVxX0oknwLo6nd9mXSj6qrKXhwTTk11Z97wzMlH0mgegSHRlesDeURXBEVOBKJo6MphYWmxkFP -9HWd2c3LLrzAegiqlr7FIvKVtAG+Dgwk3t0cskAiO3KySiXlf2FOVHJSMSi3Zx4n+F891ipyuFkx -r/APjBgTi/xq6Ct6q7LwEOEW7yLNusQk7QVFE7bgwgKRBkV27MrLvwGJnPXR/2FgYU+NK7AcLnxi -+K9tZZopZuG4yUc3nYgCu9W4ANAf65R1QhZSY9KQH4y5T22IqlVXvzWi5DPvN7bqE6gv/A/vZ4mQ -H6+wB8SEkcFGsBf9Tz9w/htP9ujQPpKIZ9a9EgiKUke92/9YRUizLCKUrfXjxbb4lPYMIW0fkUK3 -rm3/slx1zXiAcRtRmxyNvhx+6BFFCzoAhxHcXEK4yx0qWeW+fZSyXh1JPAoAlPXQQVVtP3J80C6K -cZw+ykAA32zVv3yoMVUB5WxxTilfi7kDEjCLdtVb6E+ox53N2m7KoKrttfH01jcANUgesrHn9jno -QL+1/pABGmqrkJxqvjY+jaGQR/TP7bgI0VyilO91cT7q6+i8PRiO6vZX4FsLohiuaejUa+z4pi1a -7orJrtXosi/SCVht09dql9UahBl0VIHgVmA54nNayytozSp46RMrE7+KCO7qSfBKtl9+59GBtqAs -cvEvNcxREa7NP7jn8YgNzBiutjjFzR9S7khs/IzcXqbuAHu3GPdTcV806+La/P2IQzTxqGhXa9Us -er0SIbtRPcQwNjYDBwBLH/UiuYIpE4sKtNEzyZDloCzOHOQHVKsp8V+xTk9f6z1mHitGwZnj+umU -ogLLhrtSuEXhuZxvCNrvHrzsS051xYd0F+R2YfwRcXHeA71gSmqCFmTLex1FvlFjQ6LxXn2Jo/xx -/NDtjC2AJkCrn5jJ15h2seKkDsQ3eEpAj9coW7cZJEcf1KjvRR4dLwjb+UOR0DaZ1U3CHD+Hi5gg -cL5MYIHM3eVAADTfyywldubmtC9QT3AGo+U75FEeJoQltCgRdHENVxkDQIaNnFDPoLmDOyiugDwE -Kksp2BOW6i4/6TNtL15FqMSCsIF0mHSGLIeJPWrj2QzyfjTLuRSIYNurPo+nuk5Xxa2cHyDHrabY -SozTMQYTPK+I360yEPB77TM59OSCpjkScGid8ZOEnLaKdsc4ML7lyL/YedEA/DjYhXk7cqm/Emuf -ia2RxCR7YEBGgGXkYL6AkcwrcyhyukDHq5e0vjPg/wvarZ8poIwoz3p7gSQwbHrtxrlQ8crXgQIu -OB7QN225IeZymJUMbDyUjHx1+7xA0FUxKTqf6zOEPvg0p2oG2Mnw2dX15tOqzvNszkpT40d69+5C -n38mmLs0vOpGBdaumRefPhNB69TfttUh4Zucpg7//a8SewJn8qC+l7Qoc/ZefCUwBmhDgsEeM6DH -w9JxH0DnXkM8CNiZIcFy4N0tZP3tmLB/G7/gphW61aEH2H9Qeyqd0yMpTOLPmuKtIbnWFxL45m5m -2NgPOmNeOSPA15R9FFn19Gn+teg/0Qu9L4FIOjXxX33AqWZy7w1RtnzZQ8mXwI/1nHxVnMP3wXPq -9LPPyATFBotR1Y/6VtoGvzx2kFsdsFfUhV8ufQdaUwVoXk/8LtHZKbFVUidgs3Ol7Fh5DmFTJSp/ -XepZJ1scSNXqzC1T40BxQXxgUQZ0eXS39zPmT/zdkh+6cuQc15Fz758FFF0GyAN0pavrjhxaGrEj -oJeBu/yUYxo2FCUU8d/SLu6eTvLDXbKN/0+Yn9Xv3/sWfPrGwIegizPrJRMxbBIXU1LwAPxRUA5N -tLSF3K2fc55cliw1acnckNU4vzua5wC2UAxhIvvh3W9WjFkqWfYsPSu6yh6CnoSJxU/9lJcKMEzJ -YBrI9y9ivs1K6OfrQ8xyJnKPSlqwjQT5NnwvQ+1GGCsTc3pP6EJGrZbNbxdxM79RQudgCM5cWql6 -ZnTpymPgW3E52zhBwfnBPSgXwdghSmKS2I5f/zJ7E/xIscblIhWXCHKk+CLX5zq3vKtz+FfwXN6y -x4EpwjfSmSYF4JRgR16XnOAdqi4c8qeN6ho8sjtsrInEfyLRU1IV/N3k0Vfwnfx2wtNcWgOGU4qz -6GqaHpoupO1LVyNUWmq/w5GpFR81PcDUyhh2d2Z8HndOY/GJWMc9qaScaPWqcXeUsf/vMTzw4x0d -G5EemEkaoF1mAfXQwkjJa+TQh2572n2Cb8ESnKW9rAQucrjCC5kxVAr5mnVlH//P36RO4jb8qdKp -GhtI6cvRYtjNo9pMVwHjg/TBXJwDSOZsEKgsxYLa8TQRpxv6T/K+w4ZtvPsKYkuOz7z1Ad0g5I6V -hIXx5WcfRUw5sOyZXiNEMQFlu3MxF7p3o3bVefe13JY3IKAeWFKSf33UPFnYuKMRz8c5iyJkmcIX -sxmLDzbuD1gehQCaAKVYaVPZI5FJzA2jQV4bemefItnu64wwHsssUftc2G5Smn600QP7usAcRC9R -r8SKUpfp7sjLK4ze/44H5smU2rLbNiC5BoH53T+Bo00pSjHIvmHVT2MymEG3GrZJqaU9NGh6iWXH -SvK98H/nwOVhmK7fuC61DgEoxI8MgPwyo5NnwdaoTQFgoGY1CSCWvSx1iF3EH7XKTxFUN8pH5ueW -IMJhEhAT78jUU2H0mTv+7tMtjZp801mSstvB/xO4C9MHUWhv6CmQvdoWZ4sqIxa4j8gt96r7dXFF -Kqa6/WmTR5X4H+35mrAmxdIsDfnxJ7yLH+cjP3HS3gOUBT8ippvacpTQomiiOfTR5JZnvX0iNsOR -J6FXS7RRx8ozLohAV1W7IwZUV+xpEChnASR+urCTLolZRFHuY8BOHrj5kBiQTfa0ySyyj7YA5aWd -ZwL9ftFNwc5+pMyltkpfWz7/0GeXPYCfRIvsEdxX+EMZ60p96yr66G7g1CZR4WK+Jqem/QHi3y1a -sWvXkKdMSusPvBBXh5rsVNLp2jtjt2Xg7NzzPXpIMCCrHmaa+BOu+as4PL+9PFqwMITRmzf+ShlY -OzprJWde+L0smTMSxrccCiIMTjVu3IiyTUjHf6us40O+bnmCkkNuuEx53T7bWkekIMsob5WHgfHf -KUZKjQWFkSOvP5fuhjjw+5SlVqSXuO66VJfafkd1VR3qEZUJhxyzwO6j03aXEhKEjeTUNalVUVzJ -oyarNeRLoRo/xzmGGCmALWdL1gtQWGXxTAwE8P8QBnapy7qocJGYD+IXrMsQGmhGJaGaxHcWYKog -1/Nzp+b/ZaKwyeYPKYSaEtJ4D2GYDuF8GDUwnTaASsKM3wKx7IHxtA2iCjSTgWsxztjWZumtV8ww -W2tBuIbtsu6DG5ZYIaUPCgjlDiidrLuBoTyX4gIXm9MSk/t4trX9foLBb1hY2x7tJV4iObnrzYiU -ct0Qm51PvlF4zjC3h0lGsDVuNX+sDSVDFeccwgQeWTr6l1xKuD5eKENLVy6eoC2mp4+YFEr4M+0Q -2g5FhMJ6KFyH4YJa5oH0QNGAP8dem4/k6Bxk8PSHimWzpc3n3J4xIWGsfKtI64jt+L7CKCAQUL0T -+1wFcB1VhbT36/V1Qn399EVnQMAj6mFPNduodiqybp5Hg7BPURhcVBxjwAbi+jKw5ag34ZK5xaxo -H1mBcFhTFyHcJMVboayj6iacRPipEn2hJS17d4LczIpAv2r5AuLCVz91ZhOmD3zEIkfAHB4Zd6xi -RHlSTe4UcFFYOW29/Vt/DkqH3gcLKWWx2KkfEiIE7onwjCsHLo4HCOtM0WKC0FrvBR7VVv28i+QG -RLZRzQjD8z/V38NrYcOMEv9o4Gb+NyX8N2b/SpbyQqE1LIiGxhLbYamKzTRC+7YYqHBT1V+MAUU5 -SQ1LTCXdUmMOcZ+QpnWRxwn6s2SwGuWA0DFSH/o7+t32Dxg6l3AcPuvmTxvTqhfQMQ8lxAnT+I6v -UDKt9st0DT/UAyN/LkLhDnSH3A7LM9QZMLnh4jNMlsFDMmMx5kuEbD7hnr8a3Dy5adAF+2m6YCPA -dgQesHuxaGG8rAlt9B63ZdRzxmKtW8qGGb0iDH6bD8U6XX4jiPHUVmDh6WerE/v22YcXqGhUAiJa -R8uq1OgyR3vwGICbkT3tnCu5RzkZkcws2XlwyorQ93iryFm/J3Q/Ne1BgEAqRceTPrSUn/aRn9O4 -FHF0PuGWvzTz+5/75JpCNdEJhoJuxdLw8KluvTRE9a7fnijf0qMw+xqEGpiqcqfKPC1+RHhNIuF8 -J1bQwMoKkjiyqqM+O/nouxc31OM9ji4xKABA9ts0vh7l7O0+3weN30Y4hi+a53y+fsQUruTkDeIH -+Z3kSBqzeX3AYru22mrQOZoSLMF5o3DidRCBE8Fn/oVM2JP3wDypnH0t1VhmwpExaks8FvJVhb4H -JHZZ25/zmuWQt0Tz55ImgCQ30SF2YHiM8mBakZP7p6OPnFEo1CxjM86FvmzNHuQQFAMlnvQEArjA -VBLdmeEv3dFBvIl114L8fYVuR/qwWI4tc1LW2PRCXn7tW20o0po3woM/VwQSZqSRMGbHVoA++c12 -hdTS0U3xhX2L6up2SIKm+yCy8TxWViW+sDUhx6N5ZbQNMbXZN524DuzTViitpbWUGRvV+ZUQthy6 -RQSOFfzlrv02/u+TKVolSPTyNGwzUmlT6N2agIFvKLZxIXuPN7HkaB3aNy3RnimIm9vvTeV9F0zj -YdCIQTybJL1yjlaKSzaJ2q+1p54wr8bPlvUr+h8NYPPsq9WoubNWWK5qisT5VgkJOR7ApAlDP+3c -NztKc+CzteUOcN57bW5JRABx1xlkg5Bx/MLqVvtwIe/GETgKBmBBHYC9OdITp3bdoOPBpIDoHchc -1uNvCIDiHgK/wcr1IDPwy6pk+jwglfpLMLJ5e3YtRDgSW12PlKDO53dGwjsyKOESUmQCal6yw6k2 -bhZRoXABLy4LNPpkvEdqmVQZF0P4evoh5LiGY80Wn1S3aXV9z4dCTAYuFTLl1UXRe3ohELwmilJq -m6SEk9MiaPn4tPVhPEXIkfQ+aDUXHiF9VtsKlcN3uLcGEdIMaDuukopNIDXPIAqJjnhH/4Xbgiyf -aiVuyKl4f1hAl7oa119b4kQMuIZB9s1np1AxiF0ex/DiXLip1fLFWdL+UYinBCT3CNvchzeqFIKJ -7PV9kT6IOiwGfdkZIGigpM3sQAJf/vbBqJL4naZTjv3pRwubTgnBr+urwviYaHAyye5bRA4FXlkQ -BmyOQ2gmc43GTczEW9Ov0BTkleH5fIZHJIITfHE5cW7lJnEU4idKuLR3Ut/nqJr/p0MLO0UU3bUt -FFaU0OCC4xurdehDFek/TpIiqq6EY98sH+QfNDZs+nZk2Ecqi37GZE4At9oUAPZ1u/N9zgoDDRpe -xansKqgeBzV09/1maD58DRB9oiX0SCfPkH0lylN13375h9s/c1EutPILEGXjNSQW+fNPG7AOPoUT -WhWi1/Sm7smg+r9lnb+LnAqY9NLdQN4B5qrnS+sMLj0L2SByKwpOjz9YthTml0FC7dAal/X/SRr7 -zqIMONrBPlOFlpcdecf7SsNHC3hh3AUb3s1G+ytrNNNUqoyFc2ufsvtygqgDqUOMRzO0jx0iufp5 -QOwAPdPG+oVO8yE9+x9X0ElozXP6nARQa0ZTZZ4azV76p1h8mecAG42puBPZ7TgT+/tdkElpIXO2 -D/76gxa/Kqi3hP4Qn0G3XHamD0irSNXfKJGOilewF6YuHevN5W53nSuzsI6sfDfR3jmRE/Dxs9jS -9hyMaKe7TWzkmJhH53oQQFa/EBMSLBRb/N4HG2ggypS8tPIWhpiCYyUIvvaZbgOz7VS+cWionwN8 -QRAewtaWt8vXSdVBtf1ETSj8Du4jq/gJWu4o6X/ZkxdGVrYTbpqUssy0A8199eDAzJ+9ob82u89p -TNtEf7ikM1hhjG1/GqIaedowVudurLEp0gRUNp9I/1eU6IZiZg/Oza0f+AkiQ8tC6BZ3TdO/dyWz -qTwHa3+G0YBcbvb0qGbm8VMbK1zEk0c0RpdHXo/7C79UblEZbFHESlaSBae5nPLKiDjhbjG5HzVO -3Ui+1qI/VM63uCMyTYM/HkWzgXlj6vOILxNWv0BWfYj0kil9oNIuIepbIafljKyDJVC0zBkxFIpK -HWfAIpKg8Bn+ivv4yqNkMUjIw/fzDEFG5nLDYyWjfhGn9VBBQKzhAdXwxjXMWnwiZgVAoCW1tiyR -lP0BFF9gD7a780BoDggsLwBB6GiGWaPOUD/PPExN8v0qfGVASFDADTpaqdJPAfayyB5XWuepmLmx -Kv3f3KxM9L1xxaD5745C0zi09BWLQJr/ZN1+r8MvuVV/6lA2DLlnVXzsr59bYB9uyycM17wSUnhb -Ru0kChAwAVPOg1FGKWBBSFnMYdnMg7QBrEyDP6EaXIS+SxwpkDcwwghEkx7ob/a8o82fzzef4Uc/ -93CY1R/H/9Qq7AyDE7Kxh8UjJ1oS1o536WZHHzki/FRCbmOFwC1ZwqJaz5OT3TqnAACbV0dOLBMP -zwiCbzBhp/x84iYKo8a4WSoGPRIz+TkZzMXQr3eZKljWXCQLXFuh07yTq3M7rODoRG4vKlLlmiFO -7CtzsFrFF1YKsWFYqjonBqs9M9GI8cZm5uF38/wBOezSjO8H0/GYADPttx8do6hIhzBGbu0Fc0gT -mztUcOfqw+zTg4B3c4bIxYI8ZtvgpYhY4hXvRFBZBKH2qkhg/xKh+fTL+ncoaAkRX0DpA3vpsZxB -/wddYQWP16zhxBu0hOH1Ry9+8VaU/1h0XMZfSYzgvYGonB7hi7LWLlxbt8gB/oHY1aEUzbW1X2BC -F7awDeUzeXHGwxAwUhJYQ7HFgpLlvmr1RybrvDqhqAunrcpMntWcVjb+fegkrQWQUEMA5RCzHN97 -uHQQnYmNreTqN7aaaPeqG57kYKWfItsXay3wfnjviGFpgkRb9YP5cVt/XeWh1PrLbpcgF70KWnp7 -V3iy+T+TA0Y70NDa/RvHKcDtw3tcgH9uo89J4s2D3AaVsU7bFb8bh7efWpyjCNYIpiFfZAudWbTC -N/HNtf5Yjfbe4U/MKsdMlaRTkDug3+Mwqy2e9uo0FCCJcZJIqg25MKT2JkiTEbm05pSSy3on+jXV -P4z10tVaBaqeNT3EbjIdRoJvce+7md2Z11dld6P5DQ5x0c2ko2a5HDBSLiWrxNbsRBIZfphFrex7 -ytj7lSKYN72ZA1YNRXmoqIfiyiMbkkh5ROXX+b0PyAibxcrnaRdWRsAB+7dJzMdf9vnWLE+sDpio -gJKFplRNlDTtbUU/kePAdOAaxe5aNXLdbADJaJIXtt2v6is5LxQfYJTHkDfWACp2gWgcZdJvbRMb -6glwrRiqnsO8b6SaimuAZX7HWpAWDv5JAWDnAtKo1RwE7lZU5zYfexTqtssEcLZHO9zAmYjAgp30 -BiQ9jZiaU21gzsKxeugtzIJSAUNr/+LoG+O9A+hp39+37jIUe99lD5+a7HWxKztGa0MqEK5qhx8k -N4X5I0a+VAJ5aKC/FR8g2nfj9Xtvsw7GXoHdhy9DSq+yR6dTMI09wcUbWi7Qtp8kVL4S7VGon872 -rsHKRSw0mzE/QYUn0hE6T2HpTNrbmFelKhzBOlh0MOjuWNZGTckcLxNS/rniXcEGgDBcXeKeicN6 -5uJfY3DyH6XmhwJyvvNocbMlm9vzmxGEabraK5EvE3raa/ERluBaFP8ZZQxJUKPtr5vxCmbVoSKa -TWXhpSTFIRY0x9Wwym0Gzne2dB+yXtPGbSZqUBcOPBHgB+ppf1nien459AMkksLQG2BUs67ks8Xd -uxTGTKbIclFnxQ0wYo+aa57nTgEfvyPxnog/IXpMj7WxIx36i9zXIIekss5UsoeFv004TukTZWHJ -bzb2RG28cWGkFiDcIVzXFMFC3UHZbYIpqcdEjNCe3L9mru+9dGOT4Ksi9Hd2zmLiL45YDEpjlX2M -mR3/1oNFpDrHzdnHXWmhCPS/GRBxErNNnqNnB0wSW53NmObJx9bk+x25094cuCaa7gY8QIqf+bUw -la2tIXd9YF9+ec1n2KAVhw8bP8HOGyNQnk4umbgv2Ewp2vC/bly+J0vklROlJJ0J/mN0e39JEFJs -o8sWxR20t07v8sBRxGQ15aMwEj9kHIQ20aNyzyR5edG+4pMTB6EsCVfPoS95P7zuFh2u2xQR/Ah1 -TqpIYNiSH2F8LiLbB4BfPrWrPp5Jf/NVqjGcW2ButMn2YqaHEL45NXAycuAX65tr3VhvjuM55cXl -MI0WVkLxfURvk7oTNTRDaY/vxuDx4KdICRHiOpErKGA41sTeGgBwtXTX/2Osg8OO2Tz8mAMtB7kb -c6xDWB7HqrHVKEaNVYkVlETYOSr9XbFrviaX3htYApVe7iRW/uVXluKr80D6fkIgOWvWiHmwS25A -ngUvKuU0P8lE/C5rgnIuAyh40F23+c/A3VG7xeJYr4wVBopiWIDoNvd8rg6Z2Reu2EDXCG4KfLKx -90tMCUT7ULwPbOH4bhj+qFSL27echmYhqq88uAl7goWjgN8VtibWXTMiigdFsOPoNK3V5OUZGVh0 -kSG57zK45TeTvEdxDYZ48ahLYPYM8rPSWg6PqZgs33qN2YUeGfWTyEtl4iu1gZP7L2sZCEAUopf8 -pmNLazT7osVEvvr/saOUkH/Pvc69ri8L068Wg+pXHwNWzTtDlSWJVXF/dnuuBcNppoK0mjlaDC/o -TWaW4lsvcEMOvKfMKtVWkv2o6rdAzsUBHIUxctU/aIVslkNMpKgQlrIo4sn0K1PgIAPQS/WJy4ui -ne0vj3DHRTzAZkd2+KJ0FaKtulBr6qIfVAO866IUpUlA3v/nkt5YDEvdsm6+lTSX3/2slNz6LshO -2AI4L+zQLvH4QYhsmK7/Q6qBaydu1Jl196G1TxkHC/LDgmF+pFZumdCWqp1OmC4fL/NAfPuoeoA6 -IxJEHX4XCgiY4Z5/EUFb6Sfyi7CQg7TSHzIUeilGDaSEJ4R+J1c8XIcdAprW61OADEx7nqUNtBLW -yTzOjtge8aLfC2GZybs/rTzkYFEiQdbHnLPPyGmwHcn1Ilem3Joq9PWIYEkOBHBOXdbR8MYpTGC5 -zAjFKMK14zT8zByMZm7Xra+MB8KryoaV10acG0nfWdAiN/IAPmx34IO8atkesF2hzefVlCklJi7q -3VaLMbbFpiPh75j0stEBc7VLH+dOjPQfZ6vT+sJFA2NCQh2JYZBwOyLjPnUbeth5z/e8aVg1+oln -pjNz7Kjab9kLIsWBN2597bxtZ3Y4KAcuVHvLL7iO9YaX7PF6KlPLNLq3PLaLI+emobNxiBcMvZO+ -O/BEnR8gCuiOEOE7vJUEqTX8vRbGuaZMArQih7GgEedn/7Ml/EvU8c8juGomR+v8ZryBQIcAB1KS -PuC5owkIn8cXmeEI7rf7Nca7sM6bNtsa5WtYCJdTmBwlKKzsYDn0508VTESnE9OpVutTyAgicRaU -ItyCUoLGo4XhYacO5V8wDNzMfB71xhrVt0mLiljiY0khTHlJOebiU78z+Jzm7OxOCnBXnG5NzRH2 -E6fzN4JiIGJcCpf6iGYIIBtA5jH1u5lMwTG71+BD3NVsZFZ/kgS7m+dD7QImrjJtaDwdZiLPy6k2 -LDs0q+16HSE/z5X1gFj1iYp2AhBwZMpWhF9ZOjuxYupS8XiH6uuIeasLRl8fkGJmBhNFQVXj3Wq2 -O4CwhtuIHLP3Thk0zzfT+RlSQNbl78VUjOybAIcdzZzDeAlR6dS3tS8IsA5s5bLkeeu0msHpHk3C -ld8FeB4eitQpuIy+L4T9xIZKA1sgYsbm9XtBLsSAGehA3tZIV/9SknAuClUohnYkQXxDi2BwmTXC -+tI8EXc3oYtwBDs6umm+Qhh8TRCy4u62M/RhU0hklNLqV++zEFTl+4/45YHTKUESHGDrLvM5BY4V -M5vBMDKDfU384iJS6kmid9HErim6+VFmm2qdCyM+CuKTDhBF8GVfu9r9qp1OdmVBlUSsuF0If654 -fKRkD9ysJ0hZ+DvyrcoAJp7dDWevQ4VmY4IwQvTRn12RRBbEXPxcjut/VbdijUO2yQKB0pUzKPtd -Q4SWv9WGkYL78XuKVL4HFX2SdhEq7EyiYhwAv6qnwYn9ogd9LDmLcSjNU4Zpxvq4w7i3aOu1cuUl -nxG7SIRhNVtOddDQc9y/xNotVQ9ho7pEeLv/pM6DauuMRDEK/FY/bYuV73/tfYHwXqh7BwPAn7bS -BMObXw9Fb/qCPiFxmq2bwjzu2PeM+vTOwWQxTyvIBM9JjdN73locmAamrcaGrBBjw0c7w4vHCWyP -Rma29R23AOZhhU4C8aUKne0IMMBEldeX+Pgd/+bV6c5soS3dCdDSLjSc9bNS7x5moS8isBz+YU50 -9BbeCD9LXvaAnWSavIvfwXwBm64DV0cM69FvCj6+9Ak702F+eA0dqUsXUT6vCnYUGctlAFRx/o8S -2gmGJ5gdkVQEoFue8ULPC8y0mnZKkoqBFETVVAGj1CJf6NkG1rxd+8UOorHCqvr7Q9OBYT7uXfKa -GWz+r/E/c0fardhqxX/rR9xxjHajTiTbcfyHPmDeotIsGyCf2TU/p7M3vXu0+BljlHGAuUYWvMsG -xPPzG58KRvdtzauD9hMzxUwFRbpfpj8DS+6rB3eKmPTgzm8NBzYUbHNyTFoiPiixZQWcQqu7z1+p -0g1EWRh3H2086sdzKG3UCtgnwkNxT3RxLFgMvAIbPBpiSYTr0TeAZEGEMT7fmt7XrWa9/1tCisVP -8PV/rHxp2Quwnob6pseixi9s7nAROGY4c8jc4XtlHRXAg43x62ggJjTGh+Oy2P69+vsX31dcpAxv -4lNpnBK3mYbn+yHGbXgRXC+MHQ/jh5pto618lFHHYZoLIpWyVGZSgc8OaE8vtYhCwFra9H1Juu7P -eKljz2YnndF1JSXpOjluH+ZB0Lk+nKzgwaVTvOks+0N9IBEVpXwRtluTSpYcV+mJ7+E+8/KE7VI3 -xNYCKUYgvjaQrfdmnHN0Fd2w432rKle5Tar0VDosw7bhXG57Hz+zgE9k8rKsKUppf91cnDXp9sS3 -J20ZejF+jCoGgXjedUlClR9aTgFh5H8nhBOW5R9ZoJhnUPRt9yGwSfbRNTIM6uXyG/k7qTNjwepZ -Et2ynxF9iol496BCrR0MOkAy4QFqYR+hpjlVOjwBurT29B2dDhq0/LWZwhn/++zCdsKmwThD5Mlu -/pEf4yb8lPcjHQp0jq8EuoaG+Nw9gJr9LwZGO/X6+h5EXeZ3FeXhzj9S98BRNQ8hvMfuXqpT6rP4 -W8LynRJykYfV6Pvw/ir8cBL4FcDywfAukK+77NuUuPvvR9dxHxOLsknaQd1nfZq4A6bzVOqiIXAX -dtA8oxtH9foSVggvRLN5qTqcSZq3a5ckdXn7j54F4jy85kOB8xzHesNtmlJBALi8vSGE0GyNbFIV -/lQBRVfHbj5ZvRw5q/twaOxbWlt6wCcw0aiOLAnlaxqpPIG/YuX/8b7k5DStSZV8LVTJgY9l78J3 -isfNCdECE92cnr7Ie75pF17TQyIiIsVO2eZI7ESP2iwpIkW37bwuDJDy1T5Tz+b1tLj2ee43eaNR -7XLp0V1aA3UgQY0n9lySY9dcFDbAkgyLgxv2enTp0Q5IE/zt1pzTBUcnyZw2oUCUfLBVm5qcDNYd -POS1Fv4jj4dKGIsoOv9xt7gjlpykEF/6FeFdejrVjqx1kcZC/q/9e91jeM77OHVpbrMO74fO51Sa -Ac45/CKuKT3KRjY5Aow77Z1BI+L1d+FDWKufKXQ7Ux8iWz0VOBmQNnfkXFyxxjEwW2GK5notH2TH -Vbv5JtR5SZOY7IA9LqLZpJ7+4tF07QClGVwmyf1wnRRK5Aqj5HshNoEiPcA68J9aHH1ApoWtFsXt -ImH/ETwnsDrI/lhUTZMXR2im4B3WqVbyILcOMCTDT/UXVcpp7tJ6zRiKE6GToE71+WzusOmn9hvd -8r37FyHkhVmU3Y1N86vEBn8EiZdcVf8hux3VLi31ynUwseqCpCxvyCeBs0i+it1lr4jgMJqRjy52 -Q48LUa3AncJeiO/FNEjku6dEV1CDfj9zjOM6I6PezgEiL1p8Vc+Y8w1mDhfRVfW+bwwWqpbwhkGy -ZaLI5Bw2XcGtSVooYJ+3NYqRMdJl8N7m1MOhbKe6e8KJYwybFOmhJlO/m4o4fYxFYTUhvVAy2k8B -knvaYgFZT8QD/X2m2vn3445dwOHt5fI13lq4GkMAmQoGHswYUeEGZbQpNk0HtZWZ5R6DlmPRd8GX -1yibm2h74gn6X7zc5LCjTK4fn/2x1ZZRksOYF3KhsA/F6PCeUoJnLJaW/5761q/nW1VVC9hyzZM9 -hkHutzXP1NNszBoBKRCAWJtzBeOyZQl7S4WEaCJTqMcaGYMlY9gEozpxVUuXeUl/6Iac7LxAWntO -ykNRpp4ttRpK/0OFUvg8AQVqdNj81oYsBCw1JfrUP49l13fUjol2FZ9+BV6qQVXiEPMEtYmNhnJI -VUFCtf+SFjL6lzrFu+mo3Lj4FyjlLAYwRIcQj8qvXQWdaHTjk3ZP5ZBA5DsDvvuNb0DoLEEUJFE3 -5J5LMd7G52QpaQg2Oho4pRfDAoNT8L5GqSkU0DdsqX+JMWFbKjoTlLFRzhtP5yeG4PM6LBGrkQer -G1gX7jsZC/Lab2DFjoHuFP2MV+UIeVoxfFLtRdPAfexmpD+6IFi9MANpbwgk5pf4g8oEMK2qPe4d -CW+UdMUvDnLkwWwiL7rI+nYqKXxTJRiYt4B3ARbH2tqHKtIQcipZhPXo+xx10JKijYBU4N5YGXQl -+cTI+oOWP1csHDcuBjXSZoCFhcQuW8y8cp59QYMKjS0DtW4A4aDr66ZbGwOQRyzx4q+mvpeZEUTH -9WidehmdIxL6tl20XhZfxFszW+hC8cTlwxjE/uUbGTPp/X6Aom1crTQF9pE6KUZZp26bPus6p1L4 -6+aBxz9yPgNE5PV5+oxvAeVT9JurnIRLg0Do8D/iLR+1NEAL0eP0IpD7TRu3FzLo2yYkdh7OJe7m -5TvvFeN1fgBB0ny/TCYK3kIihz6jvZO2YL2fHcP1jRCU9NpXZ9y/2xf2xd+MVDp/6htruQLzrAZE -j2/Qb1e8w6qw4m92X6lVcee3Oo3FcPtnD8uNluONIYoUf7zY83Amim3vL2lkhzPBDcZnPDq5k4ue -4izEj7iVjgn+Y554YPZWlRtPxgXKaqWxJfCEoXCJAbwjTBf9w2sd44oPmxQpZ7p4lphECCOD40sw -DuC0v7GwV70rniKO9cZlnXKRTWomznSa32utgFoZv5HO8ME0Mxmy4dY9tu28DNJ+tNFOKTIfoOaT -QNx9rEdgUUdzNA8ZRLqL/dFaWewRyiXUYS+vBqrlzdNfMm7r6F3F64+hg9+pmjhy6rqAftK4ZoJH -avaR7PaGQfuMZLjqF9dfTT7plvIP3OjrcosKVouoMnmAjCaCWS4sHpAi2CxNjmhE8AjqBHItAGiU -Hjbg9Fx3J/MziN2m9ERnq+anyRuVu4xatFK+pCS/sMqY1GyIU59cpoKiJarcwoO5LxT0eyQOUOoP -ml1WbKTN7hpRUNtZPgDXP+3KaRb4+Bub3GHLsfpcsgj2tTy0B24RhdxYbAti7UnpNhmr/gK9Gifz -Y+j+P4ZfsxcT6DyvosZb3n8oVKTfkL13mYzsU6vfu4kngFkb/kYULw8GOJFc76gpmYxOE07Wrxxr -3v1ARnezKJp9bvnLm+Ape7fUv+WZ+afUg9cC0EzanGtvrBYVV5goODR1o4C8xhqNnuIq9XQNucJx -gVwckj3gOj77UIs5fmrHg9F0UDSFuDAx5t8L6I4g6EZzSCjlDciwQ3/f0Y+lXWampSVjNs6CgXUv -emQD1/6rIqjbdVXTW4CTNJJconJgxsCSSPutfcNHInMWpR7hTqK+tXLlTs5etm+DyJA53R2aPOwO -8M3h0N8Iw4oU6nA+9JsvygcLI2jT5mKQCmS1oCmIfn21+DY0k//PzoNixEudgoPspwPMMY5cUzZz -ni5sKDpYbe2ddny6a+Up+fErHGIfDcXBZEvmMduguirqKNCGA75QgWilQia3GCV+iyMq74jDgBQ7 -vVgRvv4y8HkqUaW3K3jgNSZVf+dd4ZCNKaLFrOAknMkmbXnXm3qe06RZz50aKHH0V7Wy1tPzFqwP -AnDznGv4W0sAmLO87H5RGrNgIaSYFXEoeFUlCHH6Oy3L4gNRtFbP13AW5sgrIV1ofAqmAE/CAl3C -PBJ9opNxfLbCq+e/a+Qkp65jkYVBcn+2+coeJ08/ho5a50qD1SD6W3tXUnAkhr6PK3TvwNmIB51m -6D00XNpLojhFQBFsZKQHBYq+UNB97CRkLVhPcGOaInDbnGvU07eis0Gm9ammZGaWH0aHYYOwn3Un -/64Zr5gc/Tdy0mV5XZCGI9YubaCfO01TgLiSGfANXut0GQI4nks6Nyc0GevYBVL3g+4+GDQ0VkPM -LMt3iEcxtY6yNh4Cytz3Gl0AOb4q5dfViyz6JWufsKx8SzZ9WQeeiKRwQjmmmB5X2eUmwzL6Ytfs -hLvZFx4INblX5/y9h0FIOPDEcn5+dzy7k21Oe8kmU3B1BOz3W5sBg1UmIUchM7gGMQR8kbOWSFcW -d9pDweYLXJXuboHU2e1YSiWMTpmGu2KwT5HWMGhjANikgxSqfJdE7E8ZBoOxAR47vtiy0v9DaG35 -ULFiJ1dcsZWE+nl46xsBNxtTWsscBTG2pjoWpZZo5itNqI4AWaje4zHJJC5fulh4K21acXIs11mI -TJKKMm/AVuc56cWmT7E3Ay+7pa9fQZYw2LVVi3cS5eu341MjAXtFv/P23xpsnown2PtxYhX7Byxx -FugZ80zhgOMlEgIvXeTcqpHHyvgC8REXWtQdNx5q+OvkhGTdw/hRP9QTUMevWRlgN7XfkiA1nE1S -4w5DUhq06+5teY6+47TcQ6AOctOCf/edyk+N/IB9VJ9uw1GZ/g508Uk9AxLtgRIn7lVQkYK/ioD3 -1aH5D+h3+JWRdYGOM0DkcHoSBre6VvQwbMEpigRWiRuK7oDL8FR2oNV0smgGNPrdeNuRbP3tWler -mBG+I6fPiXPOWh6Hw2IJ2uB/3SSVZ30h/umbLM4tNLj+NcK6srQ41zxVmIiJ9N5SBrkiJ5QZ3RuY -9hjGBxdwFR2RQY2OoLLhPy+2725NBZW3DaRFrJDzhQKOtQKzwQpZwiEtHtj3n8zxtkpByNutKWxc -lpOKvYbHegRvdxH9ESvTQe8rpJg+O9ja1AXyDHQxLBYSYUO94K6KaC14FWhGbcXe0HtqWeNdlvt+ -WAVY6BCZLu7aJ+xzR0IyAZLahYS9IkHfU9ETGPuhCT+ni1Kq7msqC5HNyFqQv3Mths2XoRpnvamO -DeMcRQpRmjbS+Ru9POeNhwLBPJEYhDDqYnguZpiHnM/sp9KMdgGjosQPQCTfFqysTeYhS4v7VAB6 -DHd8aRnSAvgM+NKGroPk5FXknO2m3/WtHIOXDG7Xm2ar8dMntMeOG333L3dPndbC0SYa+57B2c9c -vwMOYxzScJtYdVzfeE/j3kgGYmsWua9JWLQZegjoz7pjj6PCd74xPpxp8jz427+EFMxHgbQ/BUfS -6LBvazvogAYKLRReL0LLykWJdlNt3oWl04J3RJYtazQAbc/KYMlwRmAxG7Si50nC4IiNN+Gan8zw -zfBrusmdfVuJClodTkFRaV+oMBkPQR4EY8+uWaFFsKGIhumRXmDXV+fRS0ZsofG04L3JU3yPzgSu -Fq9bq1/9oMWIEz+nsQoWNplqe9b5zY3i7MSxuloZxpvDzoFPzIeODXEs0kHG4XHt94UN3m0lQ4h0 -2QCi0oWMDcF5AeUSaM137IWMYX0ugZStmeSeyUbRs4v0xFXaXFp2p27eyWDlUg1I1cK2CCfnn1rX -5kv6MTWbL1IQQFhrqLS7TNi60uJEBSv8DsVf4NeGp4tHiK1ADhCV1xJjQMiH86ZfHp1apHmxUk+S -mJ/iGY+MfbQzU4PVFj/5yjTtq5qMqnXCbuNEfZoHW1gfpQy/Pn/QQ30CSNav1LST6KhsU/JQLgB/ -B6K20TqxA9MxLFaW1oLzA3qKCMfydYbMTw0oK32Srw54b0EV3DpM+EAAYzks8iGj+XpAqrH/BuVi -vNXsc4ZqDowwDYx1P5n92d6A7594Hg2A1GVMT59BMqHPjLhx1mu13d+1mF0lJcOQ6ss4fiDLIsl6 -yIe03sr08f3PSczlQf6Wmb9JCxt4T6qkAU7REWn5bFXCwkwSP/YZHRojX4cQqFC7JJQm/A6f10vh -oiKWVcneRy+7VYP1E3ctKOFhCi5rwTiQ8XmbniwVVBcnafPB073RHkNMiQWoRC/MppWGsFE+d0az -zXkgd75fCqD1a1uOfcLY59D1k6jlhYMf6gQ2gK0ll15uvF+1H03K3OEafLuw+9OPIGZNWF+qgtbz -gyotD786d5hUf6h7DGB/YWP8LOYRKm0OPNCNNJkfBumQGgoj37FPoO8BBvvA6xIdbkaArWjkU27w -AaIHBK7GLY5kQMZfyPNJfCzNhZu5V0QhkFZFYcguVkmEzkzkrmVVD8SesgDPu1h4Ijygn5c5ULOm -kTKkZ1+MBMOEd9vPH6Tobp4PiY+v04uc3PdHEuDXIC49J3gsaw3J+vIk0Ef/ouSexj59ce6EqByF -1mDy3orB3eNbx6QOFX/Njf8wO0urdCsS7VzyvmIzeimejrMjqRzX/EVlla8ASLTRuoPwK/Hp0Rzv -w6s3tOQwSHBBZrcXXKWPizjQegWZBvww+8h6z6NoevvbGYNsXL+Hk9b8WQfYBLgnx5RvAxpsc+x0 -XJAUFnv3dtuReVtsLlwYT9IidB0qh7OMBxmo0GDPjwsX3zo1GIuQ60b2rIKzPZQA8b6so8m58TGs -dQ37gjv2Ep5YD+F6wWTJsfy6fJmo/V/U/DsbGZc0hWt0aEFO3yye7MulwXxv/mKFKge6eMQGCgef -MbqqQ6C+glvUpjpOLqOh392ZFLsyBG375rB7UUy/a0p/VmsrXlPsGXmHtBiro2cR2lCzUzL0K9Fp -SM3+d0ZaNTWeuXUSuHgXlrtyltVzB3S/pjV7NQAOn1ugWNwhSDfoCfJ3axBnULNfJ8LaNBJsg63X -9trDrqBhIye4E22nTgGSF3RwDAYRndm7waE3KafA+tzUuN4mRIGCq/EUM5K8djYQhKe3sNPY24ha -ShQlnWgaC8bu4LJu2deSs0k9ion+hhziZyeT//emVY3F0AtlmPgmgMDjY13+l5Fw7zKmIRf9PNaL -0/07ZDTGiFwed3tEM7xKoMSdrJm4dTq37zHf4dX0dFqBsYH+l6L876lkvUxPQc3ldIOufDlmpTiq -2aaHcpl4ctm89X5NP++9YMjSTeRzcfA1nR3NB24ZrS5XB5J12zCjP9gM75yZEcoqzXlWerUjsyQB -/QXr7iIMkC04oVwdAVH6pf9nZ10eCwmIECOFZuclCx6PaZp9Hv3MqF2oxZUiD8TH3QPKIfeOylLs -LQxnWqk/hQGY8dpYJKSr8uZRL+Ie1dqDvsfBQy/1DZ7Ar1bbYaNH5B8Ve8ai5Vh6MlvyadKvT07g -+SHiCL0QFpbkoxf/tlujtBiKae9SWSoDET53c4EGGO+X0EJK4S+y4BfwjgU9ycg0m2OnGK+BZE6h -P5hS/09zJla5zUWkhKgMMp0XMacNq8W4AMEg6A281oRPDQRBZrK3PyPh/CqYd/0NcBVSP0O9TV2E -LpdxlaVm2tC0iyVZg1CsUEgiJwdcLd1BUEeR/WfuqoMH7CR6xLRt33zB1p2wCHW9vJa6fg/UWeFB -JuX9WwKU4gtiG46cmPmJelzdmfbqYeuKvBCHPcYAQD+CeJo0YX/RajrEjrqZknQ2qzgq1Id3C76m -C+6EmnZ1e3wfzNo5xiB7mgI+z0v1toPq7qfBIIk8pw2iWuVV/H91niab/cjo3UfptPEfvai29u9H -u9198DLQVjZaWq3nshO7EeuSXYqT5QrNObmr1JhJhkuYKH+czMyHZrjUTiTy1QjVoVECnLF5YAhl -I0RKu/fQmDRU78bLrckWplzqnd8Stkk3SFxTdrA2EniEgIAEy/vCIchVDf1KFNGKSZJJxP7/zfi+ -oJMekAh3h+W/N6u1mVPThFGBbJbduSOATbGxurjZKk2CHjf7iTY7XnbPAm0j4nua+ymxsjhXMVyd -XXqVbVKMHJuOsGBPuqvwTTrruLdenlZcwoX9BOukYa0nwiPtk5THnKEqDZbmpKnnBOmXR03wsQux -4OokV0T/BXSZ4CazaAHyGEjWiz+p7F37hSdSVa/DsIdcb4YKw+yrQyJvuzBYJ7JvL8EshbNFeGiV -wPAvLdmYiR4jimCFpQfxOh/mlOFZ11jTdedq2UAi5v7ILEpd8BJh+EFEMkazQNYYyemazuti/bcw -TmSJ+FYEUNn4+4yUTOPllQsTU9KFJplcm34mX/5D54cimfkneGwp9eI9LJw7C711Fn2tImlj/Txm -G9vb8/KmDmFBEGU7rryR0RKedzPHq85EXKsXaGTRrwY6sRcQ0DC/g/ML6msrN5AS9OzYFJeFsVQO -tVHA/0BWcCuPj+xOKQ/m2m6reSWvPJZXRXwFzLjh71eU7L5Q0wEfNJcSf6gZqfVwlYcoe8nGQOZG -yK2kCRMZ9vqFuO6AGp26+lV6PdUIcwkfPqBuYDk4mEPSiir7Azu2rxmGVY5lTz1SKqf0U8azKeRr -moiCQz0y6TeFbinNfsuOke0lAGOhvjLCXlNkMkS1GP6ccPVrHE7USVd7Cie1kE6/GBvkMjOmksJB -pELQXpYdSeTS18yQOVb3Y+ZhY98Y6Uxf/24g+YiXHUI0hxTWLVeP9XRlMhPU8/j+B1Hw1nTLY42E -bLJUV1mIZGdFQm0vna+XXp6VJCsQfxZNVCVwZmdSVo3eQAF5z/uoQHWFsCEAddL3J6PG/wmdEuSK -hx90qbNUt/+s6avh0c6JT81zjrgn7ppaYCQydFhRfdatJDg/Vnb+gvoLoVlxyOjePBCPtgz4XzwT -h91Jk8kHGC2qN/2dGWiMsnaPG05KZnevN8vP57ER4l2dNfROHmxAiZQ828eS9GoC79eg+81U6mJQ -Qa4dV4yS22sOSgW7GURqyH+ai2moyQ+4LW97Hc0Mk+Pf42XEmP8z4O8qNUIFf/sZCNP69aTv3w10 -IJ0QOHw4O0bPb2vHi6o728/u91UikqDw1CCF0aRKekbxtQp2XR9oForjdhZHdS7iYvJNYEHC+GhC -QTYrsblR0hxMy0TKQa5wnezouCGGMangObQJD2pOKg8Hq8ofdgAQ+cRBd6XT7FguRdWpHc96xs71 -T8f7GRGyxa1UAn+BnIVyXzSPhozsZER+UWi7zVWvazVa3ti6dngm1uQOcUxHGUyZuO9+4APx4R3j -k4Jk/7V2hqasVthKQU4JysKau6IsOyLvRGYeU+jHCf4koKisXzkSRL53MNVAg8D5d2pFvgT8ajc5 -0oSCvOKwWaF/HQydvj8HIfoqYGfr2SyA/NFWSNkTGRu8tHHAE4q1uXHidQEzM7lgs1vzCS1Hjxm8 -ZMBA6H6ONUIcV23deDIzTB+jRSAx2jLy0ADacAGdNnODNBVfmL4TYqKW1oYmoUKWZZhMLQU3O/zz -KqutlJ3bIHzGRJDAB51rvTqEthGukxX59DebwcQREyvKRwfP2kviXsA9GEYRRb7qy6qfcvkDouWJ -h8aJqoxfghORT7byyZbqp7Fg3ch9bHJs5b4uEX/TzxkgC6d1O4sIYq0mJetxjDT3/aplzIYsEvKk -wMX99KQ9vuJFpLh3lLYuacN6NyRR26mUpuOOjZMO+NuXz18AKhLrmvytNscTCSWlJw93z7HL6l2B -VM1ojycJNM7BZtqw+orqYyfjAFtCnkxwKhrieHQoBfxDCSX70s1fQ950z+Tm4xYdcU6U5AkHSme6 -ViofnpsAh+pTFrBaiqsmHclaEVxkcxen/ootMut9ZLQpyQFQdcVhMCivLzg3AGlCPifIu7DS572G -ok6wcIkne+d6UDrCuXAtPEdSJ8PWnR5TrjWu+UrOMEgEe0y59j40maN+cARnnytVF5MsNr5FdhhH -bg7I4iD2cIew2AOZOCfyPo6IwtpTVPkRFJsVVcIOL8i4iItU+mptZ3KgOj5KZvk2V1FgRzpO5G7W -WsHT9DKosbQoHKlbqFlsDoUUt8wheO+DUwy6tP/kZKPxHi5V+GHSOm7x8D1L0tJ2hN9HLE5C/rhm -K0XhHtTrHPRA+227LvwkXiddbA0SD5BIEXzsyoPzupA/qgPm8tEkpk1RU7mU8n2cCVIZdKZjxE9F -8UXr1S53kqj0nezvBTTi0/TZa5zUPLOohca+eVGBA6ldXjzrmIVd90jbhwUdRus6vE1Qo2ETje0m -9XPXFq3fnnCHMz8zeo6ONa4Yy3mzbjsx6WvihCho86soG2LPyBs1D87ZCddZZnSOsts5Gnak4FTU -vWk/6kVNijyFhYH3AAQA7NUoI38cAMUUUI9gguVd8C9Twz/MwC8yGcb17NomVs2UwxHaP7thbVcQ -q2x9VgHaeLXDNg3N7UvBHhDwaXszytMK75CUlB/lCC1Cl3MDfOSCQk7yQWy+ymP1uo96KBBU7zWn -y0mbv6V6iSHbepk4fUZlJakFsE9RxmEXfpuMQolVNjw38gpfZuy6MjlAAxeWKFaWJUFRinh9XcBq -+4qVJliy3nWVd3rWHkz6eEJRhOPNI7DwgjhoUF1bqxQO9s/I6y6NpLjBdyC9UdHZoF+P4xasRmjk -cUzsqLESoMDgsvpDpQqfN6psg4tPO7Ty5n5ojZ4EOoEbjg+aUjbiPkSf/dypIjmrV27eaGQROoPQ -YsUSUJigzMFOamAZoqPlaJaGxx2lS0t4Okl1pDHIcKCgI76fn9Z5t3m+kyomowhS8/wg9VTmoJ1/ -rFFy1A55ja9iPE6UaRBhP9pkphhopAlc2IWkaLedBNHThSL9KwYfxTmpLIUqEje+N4L27PPftsRU -sMvmci03W7CQCpCLPbpUVh1Qm3RfhkiNJcJsxMVYuzQ0KGNqR7p6/ifFZ5yBysrp8VMg/PN+tWWF -+qc+cjHAJHe3diSDBXAB/haNdEIaMcEL4jqDgIK8ScLBXjONYuGebXgfJ70zBfR9sjsBJBbbb9zj -n+35Z8b7MlglKU+Yu6tRLmLiuMHFLvQa1f9XpC5rV6npHdzx5Mag2iI29IjAFyNPFtcEdlAvRCIM -v7i96Xx4ktNoDxgkWW63vNws+muC9LFUOwHnskkrin5lJy5u/+r1wvC+nTCvc26DC2X+1ByVBF8x -7oV2L//h7jyHWw7mDkuXX1IuB5wmM1fdur8OVTvaKzivQHI4aWdei6qkXC7laQAp+msX+nSu0gmf -DAu5yLrU7UJYkJ1C7G9bdra9UXwBC4tIrJcvN2+b/e/6ph48LZjXczBkXkVi9pnRLIDxc30YdAFA -tWaT4QjmsUlKCGvHfdHh2qO//CU4uVRxrxP74TWfY3R46ovjAhUqruA10M6CD2kDtgn1HqsX0epq -/kN3m1Ez2oBOmsmAuSN9Itt/dBd5pve7fLpRygiT8HjI+IjuNrtvFZr6q76E2zLQq4ceMPS8RbcO -tjQcMMzEKYaXHJTRFyOlm2z2I8AgEmSqUS8YS0746Fi9oztTm9DUil/bicH4mn+FiMhoycrkcN6I -K2NPMp7ycDXrwaQAzuFgdTGHClfRv96LzYcG73GMGEeehstHBxpAws6IGUEvJGW9lVWcMaDTK6qP -r8NorEDEdBvUE/0vAjc57l4o4+Vk7DSqFnhqvsp5Buq2uWeNWP3NnwkFSB7fHqfDc0tlAZ4QaHkq -Qz7N2Npo2C7V35KNnYGGihHPN5Gh8Pp32eLxiY/Exk3iVxhry6W6saQOE9wzaG4qdHwgCI36oc9S -yp4qWBABMDC6WeQpjPOJkQUZCf5Jx5qkDPLQ4EXIb7n4R4dvSChUUVQ/TsDQyQJf+q4b8lwDw2v3 -2BW3CMS1FxdAcDszsgWqtK3X72UcXR1s0WIhZ3rHTdfW34mHIyONdAXo7tfcI7BBBhXVZb8bEBkI -SDaUmPGybkU9Xwvn07tv/fd11hVQb0UPKhKnZImDl/odMmnQglAU1D/XKvvd1TVEHxPTymZG1vlF -M9lm2Ky8ZYBIXLdds4qVyT6JqwaRGtef8cOXWDlr8+bvW1T0aq/6OKFTy3U+zzXopr0PIigjpt8w -0Hyb4zmSJB7eIZeMQReTUF/PJRkxaQB7RijOT++t5Fu/r5UZpWqS9ltO4tWwBLxZLO8P1uxgVWwA -2jdfDtOrUual35mDoUhSxXSHkUKcHMgYMyHhmeMZCGlVVGHht1YW+DonmVcY+HpIYso7imS09eqd -7A6SnzF0G8tk1+4IV6mfH5DuANVHmbZWyHj9F6n9RxjW5LDuxYuHHkCBdpDiV1ZpwXnXxUXjfpZR -NQb1GTxbc3THFEL/XkqrGxOF+x8YYnplFnKZuddUH+Mp19wQNxV3gthylEarZdrf9cZI77OLsbDU -bC8Nk3GUqxo4cs01RY+8RcwlcLrlr+EUhCDcPaqAnxEn28ulnV1mnqcDIDVrZuAb01Ez9scWepNj -VH4RI9rDEvepOLNRKKa9al5V/khOxkudKM3tTt1ZxRhnB17FKLi2zgjJ9nOl98zC6GIt3Fo0+otA -AdP9xhRllUhmU79fZAU+bSWYjRGMSwAAutgoU6s9WCZ2VXs0141dUxIo7NLagQE6lMX0asNxLoxn -AbcWIS4nF78jhhjYnVscep3yPX+MoNUVut2I2qihab5sUFbblQpN4tme7jyl1iK59dBfo8pjAq6M -Ebt5MlzmM24+1j8Q/MTXlB/L5dZDHY6CNe0kL6VRgcsFoJE5i0nx1Y0fDxELYjSC6QMJ6W7Z0s+a -fv6ulEPrGDBEZZymYsyOtVmceJVuhScrfhW5TYZ+y7nkZbxqxYhvOeLxggeYlSuZUnjj0w2d96io -p9dR7eIL7BNNNQMNdrjyDE1t7YGIujGYndnnHbXo9uYujzAv0dNY/8ucIbejbACEoFPW04EzxYjC -IAtwd4R337b0p6dAVYIcgkoHkVigOeWeHqqqqkDNq+w1QE+UGs75kePkmh0Z346pAV6RQerHQlzg -gLkarRRphnJKwr+gURD2ak4w35tfPfUygH4nGk/BUm6DOghQAFYBnxAQNtIL4WTpsR9LKcMwyZ0V -pPZ6hKQ6TVtdmleQIO8coSOC1QebbfLukfrKQHpZz9m8pqIF6/q6O7mwBg4jPL8jsT1P+yQP3ghw -ywhksicWOT6Jh4WTVZ4C2A417YorZq0qjjnNRlljYRF3EoVvZ21VEqyLpRRhfK0c5LNmaWnq725T -9IkWfkxlX1SmS5BDil+SU51XCsA6WMKoxEKZxEOFGV9glAcCUfu4tiUnZ6ZmsOExK7i9JyBJfjEy -vZ/KWscp7BSVC28/j4eJYTkkcH1k7PBIaey1E5yZMeaNDc5pxfNvS8FxqBL8/IfY2qFAnNWi89jV -z/Jc1/tvioKz4qS6GT7hr3kDdzLLBxfBzAwN2v3qXkUPa4N7OkTFpn+32GZQHOKiG0ccEhoKdJJs -82GYzuYohXJFDh/r6mVE4JqeXdMaC64Nc7SOFXZhcRQl/cbam/x5wfxHD1QGkmRBSP3lDYF+e81M -E3c7NYef845kJEhTLRMqPIabKAXAfN/mcDFY5W8Oz1ibcpCju0W/mox1CbsIKs5T1hNZdZn2S4hG -D/1XzI3Ne9GyVaE/1WceFp4XwzWLrOXjuAhNFvhkHPzO00jy8YAZCPV2H5/hwnEbZnhQ1KfewqUb -oUTfVC0OB1etnhWVQs0V/0YIEHgPPcy5WDIabTKMzvEO0qX5bulY2fotzsYOReew053xR5lBqeQN -D198pnvLwQIII3Fc1xXe3Wycp3gAVtYsz8JmrUZHl88ZznB+Je71/YCcWaChEEW0vAZBR9zQ6qSn -WaUXB5mgR7KM0tqEpXQBWJSkwziVV1TiGNUIgVODS+neGDxT2nLnnNVQFVc9CmsCFYPPZBG1wMSb -72hF1eP49XT6aXA1Y3QjrBFxCpAaeB7Ec7Xbnue2VkNgP/ViWykk+NCIo2H73n14LkjaSWWP1SJr -hyFuFuM9hcd/Pm8NKn9n6VOFLt2VgT+yHPDUlYRCRSdsX0Wmc7zc/JyihL2E5+go+BeLtaFxcLFS -L2fuyjM1FXQjqPx2uoZrOm8HdiB2TVaUXH8c8PDN1zWxyN7JOo6MrsBHIicPbzWpTObstaLalOrl -Yc+T8qjGgLUAUk7RiHP67bNEkv1x4/GozUt+/px7zpg1fNC2/oPslsyA0jw714bLi40k78CvSuEV -G2C7732TikV1hKCs96r5oMgiDf+yiatOSfI/aDPSFCwkbVf1No4Blqx8PcNPown5kkGStTamzBLp -DT3cPiV14k3CUtbEEax1cfEtTCBGxEdbYia3cGRLoFeZdFO1v/dp4blkGmebDiFMPRtvoXt9ns4m -Gh5VgPsV7/Opb8ESA/iUAXOfvnqe3bZ/e2/H+VfbkB1s54JrNyceWYHjIMz4Pafrex8US0DFiW5L -G1MHq8fed3IMiqIwndNS8TBhlC8RPHffeAZWIzO93O/OY7FvZQaPFMULIk8pT4/O2AgC8Sxa6+Y/ -OylYZ/rS08tbxEWon12rorJXlcLPHEkeuUoftACahKuOVrSyi0EEbrvtjhByEkaXVqTVY33MxZjj -c6WreMNLaxdsaNwvVGh4828ufns4EYdksuVYb+sdobtZzIGTl03zGl1q0b9la+lfVca1Uu+J5Urj -nUyClwRHJYT6bTBzgw0jl8JNfdD+Ydgqt0sWhYPOatg+VMhnpfmOHZOPpB3rGH+AXQveDdR6Xf7H -Cgi4t6mwbWZbI9yjXq/uAEfFK9raSXRM/bd5b2OdJk3fi8eudQ6q122m3KkXFjlTu8VbIqFV9FcQ -50tmWJwh3pqjtGRuk5S0XZdk+zj/Roitqd3rUgtDjyyxolGFGxkPWLJ36ncBRJ+txWTtq8jTemQZ -u5aW/Lpm9Jm2NA+6SBUDaKsSCWKTXtUgKTZoPRM3MMNswxv1sgw/k2kdlO3HKphryRjNXiNDFOd/ -RvPDkH54d8e7L8HOCihEFD7fl5VIMcecFFJWhlGC88dM/SGgXX8YViUPqosf+PmZNUGiaAESnh0N -vXdUl9YYQKgOu/ehA+a2sjJ04mtudoExO10i0rfj44MzgqdvCgNyzptCmievG4w4j/megzdQiQ3e -l9kxITfYGo8nLkJPxUBpAnWkttQhlEkhXnNoBV3iMKlIFFhylluQ4zEuSCdMQkmw7Z/7GZ2cS/D3 -8xix16FBTDEjjRFpqC7fKLJBwtBAkpM3GFqSp9tuk265VjwvQQRCqMT1JEkGpDAyQgFiPfaP7wYG -dfuDhv4iMebVOmXAsyPZPyZS8brqrviRtYQ1bE4sX0iQbJUcveGKYRk/0thPFS86qrp6YfybY3mA -apgKkx6igKFg6ilVdMSmbM8RLPi61SrqU4SblYP+qsI9IjS5FdBcGaoOpLu1QwrqMkODv8PemRRI -9YI2PEKEpj5HmKL8z1wm2ni+jC4y+1U1QEUEVu9X/Ji/h28KMkphDKN9XqkdgO3uNjC17NCYdymN -EJAyyTFJMg1rT1VRC5x49FrH3GD50Vy0JB80OreYexltFIIvtvfPoEIN1KCQdDv5FwQfmbs3XO3+ -ZUGFRlBpIOfyG2e/dozbqdFLurGEB0U/92QPmbmKvU+ZC1p08V7avTBV2AxLEJSWl+zra6s4ID0M -dWI/4h+G66TsjmZ9KnMMEcEd3TlsuNnSLdioDuPzeOxtsKsRadZSmkZmJy+9tlnZdQacUUTu3gl7 -E86kVfJAYdoVQ+V9SDDcure5gyiVuQl5/YYuLvhzosdhacRu7yZMlXm5YmiDNAMg9zTdiq/6c66w -fh5gdnssJeGQcs8DPWp9WVPBz3JCFOyiLwbUTRfFFwTGzqfNxQjzpH29Ze+DY6mNv9pLUoA2fda2 -FIlgiUAuOEstfCCt+a3FIcL5j3f5WfZH+3donj/Dm2kZgPVPeozyIaRb+1bHQYlxxWiTZNFFCb38 -OMdafgc/NiqY1OIUjpAobXvzOxske/SifhtVCvRj8xVT6ZfXYCs5o7GcLZlQV+zfOWuOovPZ04aV -T4tB0RwScT6vqRrwg+i1Da/lAS1/hiN+vgpqQb9GnCA5Y0CXHA9F3cpSL5ARUrmEOr8ZWkYJG8KT -uu5NTZ0B0JIndAo2BmibRX6j2lFA/tG9gPddMobMPOZw/QZb3YLC4+5H5WWr0wHaejKSDSRJaMDy -U8tMUQwj8ZwUmCfyAay3Z7MQsY194sEVWGr8pP4EzpmAxnNR+QFuMWi7xFdBOdX6Xn8dUKeLewBT -bnsBnGkG6tBjy9/cXByZ+2tXlwwGVQqZVHwtlKQ3gePqahAh57LYtTNKKKUtiEuH1twvvsWS8GGl -tklvz7G37j3YNZjX2aRbmcGsRbMlwv3clAEDwy7M8obukrXOzjYH9JPFdG9C/lOKIwRJlvTcpUTf -VUf6CozHWjbwmL79SnLX05X+w+FyhaLqQ15MRgN/Wj17x184ecBcH57j95wtS19dTzdQfz/e1Kgc -YiaGDLVQIoJtD1l0MZQBgoaNvFPCsL0MldOTD8g6kEBPpeyGbBSiXqBGUh1PZYCGYcG4XsdxL98X -QrTMZ+BL9IL2M/FwcLXB4C/Q/hSjtfHVIbgVixSPF5jbY4uhZSYgA4qzkER1WqY3jTIS44iz8wl1 -dtoF98MPOmsQf4ZqZvpWOSBgIoVdxGeqRUuYuekdXeO6O78Y9xZQE2SaSiLD8OkIiVBR6IVvkZ1C -9xdNCOkRnP85xBvH6+LfaPu04gmfJiFyl2OeOTMXTV7eiZPA1Rx9cBzgEzSvPOFhMdJHQ0nw/jWI -rfGLo1STs9QOXyJHC14DUEOI0UEe0WeNIMQ/BDhGhs5hd043MMD9RNnGzLzk2HIebhwO1PPe2BeZ -3UIhrPahmtBUY5rBdpRUZ9dHLlxaqb6pNdpssGabbIDuC7LpnceKD5U+IXE4hueeQ/XhvA5DZlOK -0fHE9LDOeAwy3EJI0x6EyaIK+mxDeSy1fI52ekEKvBmGlVxZzeZoaY+lqqoNKCkGRshPbFNCh8iM -MD9xnlx8t8TYEiU1NaFw5G2Z6rRyOLvG/l6OudqZYpZDttmmJFTZ/Hbc40wQZla2PxK6XLX8nYzN -4mzO5EyAUOopvezQl44TF54etW4UE8gpvTrcnMnh9z1D/Kqu4fqcnMfDZ8+s372PG5YhwSTDHJOG -ajwwWE77uXfTU+VnaFdA+umVszarLhu4SXkP0AvMXlOAf55weh17FvYLCgrAyjHv/DXU49CuQFHt -slbvL30KTHZcQIBtayzM7xE9US+igMBx3wCM7fCJklH2lSaXIezoZm1siu/IxCQoYi2wUOtd3P5x -Z1gsHBtZ4RIzl8qcpSTrw6zYRAqy1IU6rDJvR9pzV0UJl0LO39y4xRCs2X2ObbSe2YUxWw5GRugC -KMNSby7JUsvk6BwElYCGGsJqCajEVgg1CWBzNEJ6IqkJzk2PBZz0Wx55fN0t0qU+w2SpiFQq6uw2 -Gh5xDp+m+mTNskU+ykXDZe19xQs49EHx2pfrFqxQgduOHGemfWrSK1pyiQxMnp8pDgVj2tmgfRm7 -yS3zcMTHfZfXgMumPBU6ADYZIZUxcOKfr+CPqSVBIQT+JnYqDcIjOOukvhmyYtNu1g8EMXsKhT1Y -hYQ+EMzGzYrkRRF1ZZ2WOfHW9CbqjJqWl58kVC0sxvcxiL8lK/M+LgDVkMQ6G9Zp+lKSkKmM7UYB -DHH3n2/v5awcAS0tBbFMaw8lDnp7P2HId3flH64OIsKXAlEM8o0vNSxT4EdZnr5hjeK7hjHm+ezT -hBJbJfGhmdd+1Rr17SWUbtpyd4eAyl3DQlieZl4qjIFedth0nwEzJZ3c+SAgOUmotoFll7JlevmB -+/X6Ensslx5LI5n3g48C9L75RCn/61krcdNYd6Xxorakzcv1GDZB5LXpYHuy8o3lWhnq54pH+HgP -13An8zVqHb/e5qcHuUlUiBFHCP2rMn8+sUBW4qy+Eovx44EjjwUJ8SpWndT3hi4qz3+2umPWwm/J -r6HcFSY/6W49R42BDtl9M9YnMONjQD0FvI4fIMX9Yr/5AY3pdDffICTb8V+GYTTWtKKAJ/pUIQck -WHh0MxA8zzuJhN0sYWHNnbPIHt351pxpQSQs8x1KFb+6t/5mPh9qQ+GbzkKlu8HvUyFmJdmBFwRn -itVvcdfQO0IJXXIYrfP4fQoET3Rug3mFjNIF++FiSlihSobIrpFgbljIJZG7G/AMtvkjlh+Ay3ie -s+Mp0q+8YljQnwGjgwQaH4Ux0TTL/+UMbsX2AY4OkgFxi8Py7VaFTOM3Sn9IxES0yhwaQSs31STs -eSu9SXPPg/YS5tph7im0GGKFyp6BzCyOMwEabFuSmCyjEC/m5lKGVzXdoPdbYPqp9jQqp7qAj6XQ -6T8wciFr2ozodigrKWomVMt8rDyNgOfiP+yOgcz161KlPHY3/xOe9KXg0GHzCZOt7gjaJmnIPZ11 -YEVKDVohkVj/STvPHnfkb+KKWu/sYbV+lKksWuO7V7Lae9xSBO+8IK0Ev3bZuHeoDDzzp9KdFuH/ -KYBTKXNE4LssokgDvPN5p0J/iEIwOI+xO+Zn2UlDeOjO/Q1xEJBeDQpAYAxZTDv0cVxcqtVYWEyy -txR/NREEEPKyKZSU9pjVUqz4ZyJDbwkzV4UHL9iqRwD3jRG8/nzsT2rCgs/pnx/G6H2+dMyUIkLI -mFY3M/xM4VxUEf9sVlKcZ+uJWhhxuXPqpVrmtqvHmP2jQT50AKazos0IEyTWhLatuzRQvnTWAIYo -VCJ7sI7V8eGnABZPYMpLhUovvKnL1M7g6S0Zq7CSC71OeVKKBYa67HQ1NNDgcsUSwBzPuPqyaO6v -2157MG7KbOvDbTXTALPq1RFWn/mwTaP1vCM+kGcLbnXa3G2muVvkwQjuJu2l9US57cIeAETPB7WP -VkSTYjXWHy0HfCsUVKhLlh+WFpsEveVdAVGO4Ilny45K5L24lp9jKtg2qBV8XAhAfGroLCtc1sRL -bbEtSm0qys2U5Xwe4iVVtPiFhGA1ZTf1+X5h4fsT50/YsG7uSGjRfQKQJcr4m6OwgGwBwgIWjm62 -XmQ5Qc12RNVJCzMdPeiAdQ0yl5en9+hztzpuotnJU3DBiZnqW55FiDop0a75Oa3fORtc7X2hA3Fd -IunFqVD3dEeYJnT/HtRRE3a6uPh3jx05nkSjk5Bt3Uw6ux88SfD8O2EkArRm7Y5YyiKooE19FWyN -C8TaAJCUqz9fjgBeDisan+ScoAeY6yjEEXH+/cXQOjps36kUu/qo5qrGTE7AN27WgSH1K7w1qxCg -cNRKLOE9TXoJ0l8fsIdlNG+is2ioWIuBO3xgu81381v5iCj2xVkeBvpbFF6dcb0CQ5Q54cmDICw6 -LkNtdPAKdTkcSfOVaSoAGBsjvje83o7E755o7heUBkmbTGIB6Z6hzQh1st7eMSHEvNUiTk5n7f2O -nJoaDEJVcsDt3rbXDjmm6ENS13Z57HmWh/2gcXy81x4d8B0d3vN4lsxXBX3bXlKYr7dk5M8cZIBq -jSa7x5g4rlkQXzusutoE79WHr8W/VC5BlESiHIxPSeGKX1fIqT7rEcRHL77H2eUcItq+t431bjrQ -DrgjnJgnyIRtfG+KfpMqCy6DjL7KF9wnTTd/ZLgU+QqFs9xJ/4TKAw0/2qDB35/a8ja+nblLMNzT -ZYQWhog2g8nSdPwmoTsYJC2Eat8Pm+WXoPTpErxx03nPs4rQnn5cSrHld7gJ3OhXByqFfAXp0e7D -aV3q8XUOfVx0A4BxFjzveJPv7fzB2Jo7FsuNju+EkYY55CkqpcMUE55K5bIaFixNaUgmNebJvHMW -olsnBfLaDs4l3A62ca5dEDNmHZBACJF4Urtg3XVHGgBr8KGCX6PyV+FD2I85X/x5bmqsPAHZEsaR -hbJqQU6gBM2ntyGwEOcwhqtRlBUfZyX1ICcdbptQ25TE28v5RzH18Ai3wtQKbN2wp9cdOVu5nSHL -wF9mzNQGXl9Et7iFtEdeahDatnHpjJVeU61PqLYRg1di2bZUSwFKqu7wco8gXDr3op6ODKrP5bLp -M4ZHenJqj0i+o+WConeAhzhta5R4pvdygXolVQpZs/B2DqH7UKk5B65fudobDY3lCNv7ML5kPKcg -/aJ7kP1ze9QMgz5oJ5iYxi3pXoDeJJOIWHxmrapNYyYD9PJQt36EPGjOCGX+W5hCdI5noV26Aij0 -FtjEoXmkcsAOUWNXQmc2SzRLvPtBow1ZQNHm+EqycLNMoKzrGNkiVk/C+J/8mNI/eLwN389iXJEU -CTw0DyO3MRkQ7+OhCXkkrXKEkb63owvzxoVPA/SnfPo0EIPm98ZbUDBLghTT8VcpR06CHqhBq4Bw -n0M77kdrZ8E60ndmltmf6FrDip1v/zHZIfIirKltHl8B6tBdLB400Ru8W7ynfeTYi3BMcc/vZvvn -GdhcQKnzJwpoh5oe8csSJJKWtGKQc+UFATDo5WHpAmMso8vlvrM2Wo1C8uexEtEOpjkDVFx1h0vy -Gpcds4KGLHSVBwRaAlQRKKpL5ipB/IIRes9PvuXpduvDWhvYj048HKLHMJrtlCwZuyPySTxW0CIM -QzxvFT94HgztClxEotPGmbiuCvClAEl3XecfbbWXUWLvAt+wj04LKl1MXYLi/N1ivnpCXhJq3uz5 -A9qvbEz6bkQOpN/bmT9dEBi/4g0KkyC2PKG+Pzd27ZXY65n4S4cpEQlTZvcksntRhkMU9m+VsIRA -Peo43vaAIMli22qNetTu+Molf8slt+80A3tM5qdbMYUPnn9eB86lRHKU2lzEd84rYy8Sv8mhsDJf -AL313SKE+NAjaqbeP/Ms/5In+sBUsi1kv/TqfytAY3KiN4gIg7MfUbnYN1vVJmix1a89i3Foz4ST -w9vd7VDEPFyKinImfkM411EvffVq36/BSIl4aJoT1zULGzxqg2ATftb96f4U3TVF01tLrmgqecv8 -9TZAxvtpmUCZYFjawgzudkEadpAXrnTeH9P33EwD9Xx2OmvDtIJGP9SUCczQknEXhEzSsn2fITCy -sNwnltAtJuvdnq3xUnk7zO/vHeuJxfH8T6aEvwrsZYSldKyo8hC719ScYufEAEcQUxPFvo1pje9V -By3IP4uw/+2lusqDf7hLW4fBP11vNvK6zBdbeZKVn+EnmsbhJG4f3OregQYV7NpO3DPhsna+OOn5 -RQN7CKHVdrFwY1PnXQFMhWL6k50yZRWN5BVulhSE+6l1iimqXS6yUjskadFkmhKvuCqap1oguECW -8XVwuQZ4OTWqQ05OAcNi5xb/epVmA54xXTWIhuWOEy52JtidtYp+mtLtajveYeCw0D3aye6uvU/x -RsEDeZ/NwIsFlOfy00DOIsZstPQ2wm8pPM8zSVIrp3EGJKYEpQjBHmj99lMXGx4B6oiRzyh8oR0B -ehg8FGruTPRfdO5JntxLfrUGntqW/EMsEN0mHDAxfh9dIt52fdmhcAmsJd2R9hSKhOq7XKtynBv8 -Z8vTcVSNmu3B5hhA7q+SSFPnF2+mbgKTYqOW/a21z1dvTW+hkdpy3UubgQ5YxkGECjCe+R5Zr8xj -oKi6QDC6tb0jmoD+ZBEep6GWByNRa098Nmza3ZdD+IIgGRaXpqkMbrXqJwRKpdTeuXBtnBqyAOJN -GhoN27HFFkIRZrYl7IQX0ui+7EBwr79gdohgiD3GlTKXFAkC6A1PDiFF14F6DGU6v7umdNQXTd7S -UEiJkIsRZs8MdxL8zg9CHfF4Iz9HKxwUEtdqN45Iq2NinYXN7oF4U9XJQuDwV6CsJ/zrh2V+Zt9Q -yf6vE/Bww4Dg3iCNYwni2wXOrQtB8ylAvUCrn1wVJZcHOw5Kc3++tJ7tmZ46YHMSW0TOuofyJ5O0 -OQG4op1KeoxCDjplrmMYeNa4JBE/uF1GwcE9glDcn7x/VwtBth36Yz8O4cnhcB1oubovOB7luQk0 -c25GN/JHwbkM+Ma+/nY3ADdaYK42G9n/02c2WJ82oShhPMHIa6XARptAduxGp/h+nuD1YfO4W4Sx -+oz9S6NoD6ExfXhie5T3S+S4M6DpHxQ+lz13nMHzlTGDQXGvZPjMcbE6ohh8hUaVfIi7LMauyFhx -qhf8JDA/pnvcElcOOTf3BG4igxViIcvDQwkmGSpEBFxYueaNQaqh4oWbnkn1TFtx9/9Jb1sheduQ -2uIherBFUIrPSWwcJE9HlpAamFWgVpYcvfUHaAVKbsf8uBlIm77L1JEAsPoOqmuSqzf686nRIZMK -Cf+XKraIS7IVZHeyYWbo0w008CGIdMGCOGtMMhsGVeyFTeNsTf50I2p8kImH4HBMf8mQ6ssqcADK -kp4hUq3KLtE6ikFsAo79rdnRm+y7YYTpROXftbAfycWqVBW/e1+UcBl+5+bNf4YnUTXv/X7BU8QY -tID+YIGfFsrscGAu0MKBsOt8J7I7eymX/z5r6Rry0bNx/XOXAEFojV4mkjOdFa4HzwWMkvKXX/7d -lxVA+IaiYtC0640ExwhaVep5hgsUlNp2NC1v94lzSt0kKnGQhqp8qZQUj065WmR41PKx3vbyR1LR -6wtDpzKd2BJJtNdIT9NVrx9rf0A/E/xGmsq+rA0cduLFsNi0yOL9CYawcJbUAT7NFNSGiwujZPG+ -cHwsnIW6BpIEPMxchoZkLOAAYPAyn3S1OvVUXguuyHEmTnuqJIFbuOWN3COZ8TfTwqkfzCZIHTGO -RKDYm/oBYSqosSpXyE9+wW3ojsmRe0fZh++gjzbd9pW5ssSBda6nO8m1XqVveCYchl1SfCjxmbYc -ezhtADhwVCifT1CzoPYTBi5GeZWAy0YRpsSZwOnqQqZmKMKi3vg+Twcy+Nm4AmsZNslQ692ZeaiM -RlyVbzWKldtheTcSgPLyuZzck4a9kk8Zibpq/nQNmPf+w4a7w8fHjYQ6ohZzb87YahwPfmO4r1NH -27koHS/3c+Gf+vTRHXoJhDDh62Uz18Xtm0dlGnOkyUvUdi5fFLGpm8xPo9myKYTo//B2IL08/kjd -vl3hkbySfOUL6a+pQPH8xS2GelxkU8JTUXEYTiraz/ygVIB3WG4WN8PqMlUs4aYjeyWZ7MtHbTLy -Wh0jCFX8ZQX0TeMYsidrjd8gyRgf96WE2omqsg03I2ADrYOaEoZwbNri0JBjzY3eLjfw5vu9TZVN -QtAfZag8lD0996Rq+YR716t6Amxbw0DUdhyg1GZYGksWRBAGxw15q7H2A4/cnKnJkK6OHWF9r42p -gTrmPT4pJItOxo+CUe96se/893tGTCCMueodSHHqI2mm2eq14H+174CWGmm31Z3aTl1XM0S5zqu8 -zGmen/bbc5PTrppEIhV4eZbngerQoGQkrcjIdqDtytsmQcy/rARBji0gQVeV4KuN/ydxgG9vGqjg -p/pWS5T1C4xAC37O55nDYViDoV8o7a5FvNq+5cCu1Gi1LvbLyQC/lSWcqWVwWEEh2OeUQGcdieff -31oNRWEJW/o8IysvBLEt3j2Epg6j/fG4pcWTXNDRnp2XgAfJMyTsyY6LmdHjM7or+rFY6aKh6No6 -NNvlqWIs4eSYOBo2dgeu7fGutHLbCGYQF6Zlz3rXFgQE9T0kpgukH+f/1a3dce0UDSpHigbI3563 -psABB23YRWJ6fB3pIulkcxyRMldsgqBb9korGo2L8zNkxD1qtxJcT3XvaIMQFiwi1WJhO2m4pa9Q -PJ+3CaCi5XgC+7yMEvJoKHzM2UcofYu6hd/ZiZOSZvf2/tOmUmB3X54kpqUTS42VBV1+5n1cJRfB -UAYkefG8ZP2EjqIt9OBk7O32Pn2Vf6z/p+hXjqskFqBBrWyTkwOm51X1npBqsER84LHHz0I5PNX5 -5WJ/S5p47jDtALuozM7uTBWUtuJztlD+pAY6bAm4vrmVZ2ZIKeITOLwKfo7WmxixZGlrPrZDfr27 -/THRBqVG2axew4oHZt9mHkZH/Qb9sygGwAjtrV7hstVqKKJlXvCgauQO6byy6tl1AYnZaNBz3mEh -SpmPaG8b+taCAVLQaEXKgeLbXYNMu0aDQwf9kksaspezSximfauAzmb1Z94Dkzn8blkiICRHRddd -p1EQhub56f0WcaI0ppldH5Bzs27HSG5W6zwx0IplWnI5+O4TOPf9ilhD89yo1VJKhpbnqE1A02h6 -OiFGtLGvuPT4KuNogf6fami3xRFXmjD9+iDXi6vhaCy/Givzc12Wru38A7CgN7+tPGHgJTZh443m -URae4wVO/6CdFxgGILVNmcHqP9CVMMYJw3mEyjQNRRHDHAc4ydNzMtv/PqBLqrIDW1QoaOW1KFHu -40P9iWHtvmK+GMN7w6TCgCRSexnAEzedH6jArsXTHIjVZHiwZotv4oPkIZnKCAjqZuc++0zDD1jm -YrbuShqVbO3r9lcZIWcobW1im4wxBAxtSby1jcX6DE0K0HJqpetrD40Z/cGmI0onFQT75RjOTK6R -qktzctnhP9JOdzXI8vKmPio1iDUte05ldkq8LGv8CEBMit73aqv0kJ/PJ7ubwPPbZ3c51LH7WeVQ -8X7ZBoMYMPLzzG3ce0+ovN2Xuz0krcWDGpwBU8e3Tdtzhezje+w7Qms+2TL8KON2FHlg5Hgoh+Ld -rwMw51Fepb3t7Jo2EC3nhKx/qDMp8vMQ27pH8jERrHLcxVZvdwPdLCy021wY1VrsoAS/AFJ5uGHV -Z6AF83XNxs93Oh5jrtPZ2FAplnIU+0y6QEWbYzPEaq7uIksHFx3tX+m3JkPSCqfz0v80yOpf29Yj -WDWrmQBBpVruXZgf/Qo2NkDsdxbPLwDTWjFrVG5cL+bl2ZMqezkAu9CxoTc97naMVf0w4ohJGbs1 -sPvJbPOqLCzaELE4X3JNZIPWaTAkAVBZyAJDbBfVWyq6VY2evehdETJiptR8WRPXG2FQijI/ZhcK -CoDYC3oVmWxCTz2P5t1D8ofpk9pnFmh480ifn8X9zO83lHzdwXHdttHxKgm0sebkiomYcx4FDr/w -M3Jrv57f9112q2w/WZfwVuCKu9hCFhkfHoQaTRZSPp40Ly2lPCCeVXXOnQCENnEELH4rzV6MvEnM -Evy0jcsTM0/s5SUW01UXTMpqEkUmdn9aSChVRZUWJchM/pAvhsP5skB7evoATWun+liaLXkI9Ywp -cd6b0NGmtNSMmAc1v0zrnAaHTP59GWQrvBM2f85BUYVJbQ9GMewZgjo0BuvWSshoOvuu5l4VvF/9 -MPOzFZDrbRADWMqRi4ZxlULsciRRNvfxEE8DOO75GnTsqqoulIq8NwJV6tMt2qF2KuGExJ51MhB4 -us2ZQ4Zt+7UOH63GSBJG8nKQ28wDanTPENuy755H7h0+CiCacRCHhNVIqF/nmCXqM8KUxL78EkN4 -SSlTJowV5/dFERBV7GRPQDcprlduVcLkXK+kV5iUKYVuckogBh72Na3P4h+Z8OHLjgFeOSaXRwm9 -xg8WWnXwPcreHy8fZjl4TVvr6/XQWrgyhHsMP6Kg4lChLW3PCXtpusUBHmZpDG7PmC6n+yNyxheI -9ZKpk1ncDyrybhdPOpP8UuIqbLLxkMeXt6YVigIOm5zYnHb3n8V8X++5PXs8tXQm1UQoohRCbA18 -rWNJOsH/Wc+gBS/PJSbX4evy46NnZu/v6v7QzUPQVPj0XfUWoRgUC4kL/v7sDh9ZUvU8no2yMRfL -IzOUd5uSE+emjTnayQJcXJ/uXFN3h2e49Fb5iI3/qzshRAIT//D2BPe+AZ1x5MjAUngQdJR3Rf8+ -QpsoPqhsJTNRcPnEIU2Se4koYRpHqLo7zwmvOy+vKQW54HO3iXDE4/KmeuIFSrULBWT4FY/puzK+ -QpAhe3mCGySNLdp8xO3jj1a/zurizR/69TFvmLvIqd3xh88yhVwVcxWHQpmhnhhu8XGkn1p20O5A -lMwdmSkOeeihHqd4jaikgtaPJ/fZ4ysHR+IUUSS8s5WAaqWRIu1sJbTMQAZlrOOF9+WhkrKFWGCX -FV4ooJiaotPrhnZL1d//wnXVDfr8dGBKWXgPyaETirMvwpjz88xYamwNsbdfnHOtI/qOP0dI5v1m -S6JUrc6QbCSl7jpT+LNILKQxgVw7mZ2AMcU1hsdi/H+wsVqExZJgyqN26RnDBON9vRXrjMB1tBDM -q6r1lk/mVFXgaDDyZ2j543mqbel3/k9ZBEjdZQgo4Kme3y1opKm5ugXuQGyLku/hbc5NA8Jix8OH -705rUcyVCGk6NGhWj/AADv2fsdSfUaXf27X66sIf8rttDWZuqZdZ6NhIJ8ADx7AFweph4aAYVj51 -7R/evDAdjuMJJIO+Ja/rWMhJZyIJg44tG08xmSMiaKWFfgUlFWMNJ+Lx/kRyeVvvxMd2fXFf4SP8 -9uW3zuPyoFAlEzuVEo8dLlRwPoPyat9BJqFBnKbtf08ySEg0f7eUXlRABkKD5qL3YF3HYFNxULw/ -6kn+RYKAP7WcZ78M2lP55AiQa8dTzUCRvo/n0vU3W41Bmk6cdPJS+adMtbGDDH2IdEMsKmBb0Wj/ -01NE2SpmvpzSt60ZwvcRl8uXGXtvXbTIUgZ4x7kBnE1oLQHPponMlvii1ADLrAhXKyzzWaHkM2Vh -D8uPKGb9wWAhmVRV9X0kNEWxjVjQxkjL1vGLY7bKW4+ph5xB7xiS4Nz9wDCuZ8JyavhNqAI+7xzM -8d42EeCAjhUBshV1vdL29MFe6588t7rtoONndPPdV4fcrbJRun27+DsFOEK6Vg0jNwxhtR5eIa6b -K44aKvw5trN/96lkNtymptEXYky9QwU/iZ7Qc1SE6Ix72HjEfyfW042385vxvC9ROPKSVo6CZYkw -QMxZUZyjhzdwKWBbra8GzU4tJ/TjnTx2yc94YVSoi+skEPb7Php9jSXxtCjYV9U2LmOCDi34RkW8 -a/2w2PM3Lq9+MZo7NgqKlu3SSfm6BWPHsVV680pcF7tMUTP5q6N9RI6lOmgDCUSlofmTcWifGTC4 -Ut99k9AL2Gpv0jlBONDaRV78GI44xVtoEXTdgDudCubLPAHIbeaqFZ1TxmA4PkmUXTUNF2KT+z6h -vbN9LNlfw7xOWViHARHT6dUzMElmzKG4a/280GELMnkVx9MJlByZgTgHOXzodSpIrGLhUQ4+2cBf -uQhmjrjvmsAgTtXU+AiD5WsLXikoeM5Kp9FN0bGF18xEcSL2Vvd+n6lP3iINjHUGiFlKpV7gnTzv -BZ4ZTqwWU4zLhsTSRS8awtuuBlSA2m0UfhGq+F7LQQHMRK3qaH4rBW5g1lBiGbJDWu3t30olDtqC -ThLU2RSK6pwgcGp5CluP0oeLlZDmjcRl8P00hcHyMMfVktS6suHo2wgEmnv27c9/G1xB4NlJnA7s -6wDgjeSjaYHYFXhGyxW4ju95bIEOD08DOnyZ7t6Ev9NFyzlIHk+SzmmaDmLrKnHIDzesckIMtn0e -OhefvrYgm1V2izC1Ce+KG7lLNd4KR/VMTrgBXjpI19juWT7Glpv0wI9OSOK9qEA5dvuWNQCFVMVX -ExuHU2T+SeZFVRkq8mKqhyHo2cGqOcKYU7/MyxaWCzfbwHqv9gFxpuOKBEGEZ8kW4Z8vvloXiZye -nC0hsN1M2jwJUS4ENbJiQRxNkp+4Vm8PMSWYvYhYEieKWrzOyNVmtJ0OhrUKL37l+U664elVZDeC -p7rWauaV7xR7Bo6EqAct+II6IpOgo86Oh5LT/uz6EUg8xmG7/j2b0jzUbZ4nHzrKIEsvv4A6Z79q -utqXJzWbPs1nJHXqTn81T5ZrBMvgoam6pQZL4NS2ocSujDn20jS9hp0/xHhVu36QvUUjPVrmh32l -cbnd9z+artMt5Yi7+TsOkJpetjUlR1fX4nhm3F+kqpcxQPD21dBOx6q9GNijYCUFA13CvRfFwlbL -h0m32363nsvjfIw5XiPiYVSDfyFYamYKVfVS2cxzL1tV9M7z2gCkl+WEQJRzUNPFwu+DKeP8Bf0R -6WskiNbaQ3xmUcpPMbZvxx8UmwczgqUGXOAEYKORsI14Bv+5KOqxzGNrz8yfEqAvv+nJS2KYpf1a -SGS9OU7fzHwEFUnAYgjqeM1czCGYvtwAHMFAqPcB9wtQS/nVEAN1KVbzDilqi9Ct5ni/9r/RC9OT -Mnh9zl/XarJhdtAXNMpSkKLoaIQtr6u0W3iV1G1nsl74RCFyo4q50iD0HH9bsD7Tft5wJHO7+lin -SVrk1u7hc1IdTfOJojtw1Zx2WEWorKnm4dcsdLPTlkhHTexyN4kuYGpkSTy2ObuAji9wFOt4PGwx -SNqeX+flv3lS24hsVPx08RetkTSGytIInfNZClPIDt7bIBo1zBP10p5Ln77QjfPWWdq3dT2RU1g9 -jqzXjrz3YLth1h50N6t329BXgd/f6gW8MvfUunnIPN7FexJ6tZl23nIXo2ndNl2oukygfoUIaoGZ -e1GjnQ4VCJQhyiV/512fqYp9E4wXgEos9SpMIXxuLKWhOm04XgEnBJfMAOj8hfWB8SAm8OPEW+R0 -Ek/Mo4enGCrXWR9FOpLNoHFmNLb7nuNg9tHdY9hFgdUq2DrsqVQRWjCpI3lGS5nvMbz+pKn9SiVX -1GR1C1uSP1QgXPNkGkXBSJ04Xo+1Z7Bo6qrUeIVjixxgnkE1I8TBdWjyzE9Be8Kw9kbaShXgSCms -GUAPCEVRXCw8zb+4Daw0PUndbRG1r6OagyQn0yJL67eq0TvYK/LZiLLDmEpOKA04LW+pLAmqibWp -Bp+yOUhGIN3H3P/1+SgUYy650qJBPhlIzqAE+ukpNrVKR5Q/BhoifEdji7P6TNeMXdJd0ouWawIg -t5PvvnqykSosHBj02eHomIayDFIjRTz79V1TETFKI2D7WTD4wUesbgdeun4neWMUdQFLLR+SS6MY -1xoc/ChhHPuwXdzAWee35U4rFBy7cMC1v2Vgavn9sTMJGEPIVeBvv957JtfNGUmojm6XcDdPkxHw -KW+RgE2BMmyqY8uPZXZsGcMu09k9lYUhdGtmRD5i5mU1UrlQiPCGDFYXPeb3R/mQoO32UUOCaKc5 -fjOhTnZATdoweQrsOqWXpXHKX2lFMopmVNwQ2GPoMfxWI/2j8xI09UuEsn/R87R0cDilqQpmh2SB -ap5fu3yMPZnH8qX8dWyKrgCgOhpVqqdT8EVpbItN9JgmYZO+aQVKoMJvTwn7hCC0Qz1NJG4d7E9a -D6gkf9jNkymud5N+hbCfhCM6+BlBaEDUBC0m9qfEb91/YMAZeRzcuRpUYqBlYaiz+ueCHinrRDZp -YMvaiiy9TiyPL6b5+2R3W6IciY0rzm7k9LnSvBF0FpQtpL0VP2pJb+Tbed3lpPiYqq8RHtpwpG+w -/KMy2LcHkE09S3eXjwJzKfjahsZOW1rnoixTEq5ohFadCegkEAIo8NwJnPFp3eu7BF0C9cCJh8Q5 -37wATHjXTWQ9Tt0S3ITxScFEar51Cq8iUpeSxicMzXxxjE1lubi80LrhPK8OijCnc28ONrcU1e+y -/sSYXQcAt3FcTInVW9K0+YcpDPs/KUAVIWsUhSokrQ/w0tTCRMELy6jMPRximeNCl3mkK5Hfplp+ -hkR/Z8+hMPpUXJ5BYKKW/q+DqRv13n1JA1C/1qV4Ms4p0gARnTAR5pE5rRsdvu75Mbo9wxmZePX8 -IqviMgs99ClXY8uYUKs1UYw9XyqBnlw2kkKwH+S2dNRCam91mUEawKZFxv6E5SxX0tJxtJeAMD7v -kYIepauaGcWWgUjQySoOSdiVCWNC02g/Q//K/z1/u+OBVdU+40YTPv+krC4dqw1HxNWHMsKaAzPa -4/vrSbB48W3ZaK0N/AjfE3qXbDakmhBRB7050PjL/uDaND6CYztPcqG0PBskLaxxlQRWXG5OQvh/ -gqN1AWgurisr3qt3ViWL3GADFF25JzUTeu3pts9hL3nTG0+FKelFecNpGzRR4xCHBPv9oc7LPMxA -WmaBd5ThkXDdbXx+RSZboCHoc9qI2WtDoix/2F305oKbFlMXfhD0jHL5dJVfBCu9+Y3mO9FopauU -5gVLz/ls129AumYwLN3P77sUMIrcoYfw1lLp95HUFykAdKrueB/yJ7EBNNffd5ADorq94v8NQzuV -4urPKzjgPs45klYR+bD3FDNsKTC/Bf7+FYBvQXqNlN+FLx8dqqhaIvQ2e3WaCTeKwgKtZTVC5flS -7kr0QXes6XZzmGNKnMCvk3S0APkdENSH9GYczdJMjk/W2cIUEDoZ14KJwsovCFlfFzFqDXluFWtX -1cTdrbDAfGZxCtpKmyVFkq6/WuHV88PqkdZ/PJk5ML0ytX+SvIgL5JJWcivd9qbQy6lFkvB2Eyfq -0X8ynTH05Zs2FilE6QXzpM002IMs6Ltn8/JLpan69KbvVAnvxzR37tMcjCSHKhDoO/yCfL9Xp9WQ -kIkgUw3gBuMJcU/uv4QGUA35r3w3aL3ueZ1kcGJ0VXoeJt2SSGvhy/wVa7vvg55wnJc+etX4LGuO -BguR50xkKXM6Q/Tt8c+aMzAZwHTFochQ3k3kIHj4K0tJioJrbC1SQcxzcV2gE2ndDrOZraDhZpwJ -JJQRGV0uBOKJsF0/rn8x1y9s+bXCzN5KfHU+BmGYV9qZ8BnlTckjqRvbCIBlvWHoTBIkgrhlT7vX -e5QAw2Kw8EI16I1nNb4KQBejXEznnBbhxI15TlhAY8Ol1Fvt0rn+LJPE/9gU5P1qTySJgJDmMr/6 -qLWheqiQ/sMtXivkt02qYgragfn7dAKZvdArufC+Jk0qafUs2Nz14wi9gJuQKpIyd60fIe8Hikj4 -DEGkdm/XLgGt7chQGSpZETZbtyFZ40rExMvSc1OqxeJzEXkxY/4P1kXxAhxmnhxhYZrUqUGS8wa5 -MNgmkBPjAAa70hJ6z7UBmG2aUW77GFyqy9lrWuUzKBCQojidWzWZPO1aQkpjR85QuQN3yesKz2TB -MDlInuEAEPHBoPEwPLtiv0nwzwz5dsjmKpZ8tt1arc6x9q3ND1L58a2cvUZHqwVn2XzzASOQFw2x -RXTpLifvId/3sxrC4TztXq9yFPN/3Cmrwa72MJx1jPhzM9GSZK8mc/Coh5ABWqh55CIEF5mAxgyX -hygIOaeDjiO4dpZYIHehBWgqAFrtMo8SaiA+I2pKVhQZ2SficZbJ36Gcn48I7moNB46oowORMtat -BGRKq2XzcZXFiF/yG7cgsmJd5NySzSdQkRcuGbfXat9A9rTsDS3+Eo3CBKgjuYEBB8lkhW3ZQa4g -Z//sRjqvfEaHsyLmTfnlPGSDU7ijFM/hkNjw6HCMg7Z/2bv4TUu3EWY4+2vI92D4XFtDHIFUkdio -3xQUvCfpPhMB1foVdW1qJd1JSRZQiMgUzGZ7kIG1atz/gU6ixqou0bVtBnH5/36Uy+DsnO6xewfR -f/0uHf+8K8M6xnu//pmahHJmzGx9RLtDi8ePFpeqzsNrf/LLUp5m6VBUTfni3lH/Kzexg9g4T92c -Ui4F5+1T3/x8eVvHROpLzOkuOESYlQefSQCixUQChKzkzmlm53ZA8/+ORH68Oc83qMXqRVwAPbrS -0/Oy8KIffgRMXplVtchSWmKisfVun5kBOsCw5f2RH28SNDAab5h4UKp0SBXKEKOgVKGn3Da22VIf -n7KjOLEGWf/PaBKxNqNoD9B/kayTcDfbFEC2h9xhVuwrdhr3hxla5YJbmkRcEAkTV47vVf9C+cnf -m1cdm9OJp82kgARorvJgfRUjwxMBuHe4C3wLD8euR82VJNAhN3rxfFNijC/03rKMnB10HCjySj7X -nm4729WSF7hOeVI8oBl4KwFlT2XpCavNGH+5dqV5shuqcV3Kb47Z7zHgxB6Hys6QzCS9PtAXYp6O -OW8aI+w9tDq4CjBQ4+2zMg52aq62rqcUeh93Z5Z+mlMlD7M2iG0JC4k3OkMkdizxSLdgTto+jIIJ -3/LXGJvgi35FF/6S0azNR/yXpDiQi05Gl83bPesJpGJDnNBRYF9N9P0fN/LMlSOEzTWRR5lMds4o -68xPcZGSuR609QzukEKeGBbNBSCAiOSchVZ5/IJVqeN28kMleZ1gXPZTvbIIimGnTyP7ehLFNGNL -DjqBdDyj71tnSqfmjjI2TSaCxGFX2Va7+plKsEqSlOVXaw+OlyRS/d0lWEIxrs6z2T3mkSkgYDd4 -DN4ERN56S/6fj4YYnssoUw31VfEDtBLZTHPsiccL99s/ud18CX9n3u8b7qKSNWMHTU8yc0CTGk8r -xj9LvoRdeLIIo2h9S1KiSjyj7K6zSGfhWdFx9soGCtdP4F8bcuKOQ3EzxUIRmzKJ6Py3gaVPuH5Z -yvlrKfx6vvBDKOO0aNMRAyu+Ljix5zoXpEQZI9tSnGMCw/2zJHovU4qeXvW7BtQilNAurVaMyfsx -iOx2/JEpT4q8XNgQ3oGKSVyQ/+SwpgeIdf5EclM9RjzbhfZWsjJI8pNyW9XtFrIhi3fKhjdl37T7 -lVXNd/xPB1jDdp2kCxCdiWvkBeBh44cpRWRyBWMDs2RJmsvhHqz9r0u5q2cGrtsyCApwOUZafQLF -zc8s2lM5EaoUfUTobcpTJ2B2+4Xb6RSm1sgIV2VFoikHvuErQJMx03hjA15FKdTAmaeuybh/hwoJ -3OkaL/CGAJHB4PQ9Fj8F/7nkt4zOVRIyphwIzY6VG/fz0Dt0sC/f+c0iN1Vd7fBtrTG+0ugw35VL -M3O1k8EICXLquB+OXDazxqostd0Ga1oUDDuDBai7VQ749LDpBS06tPYdmJZ38Yov/pzcbvEsMPXU -cTeb+YVStSnxtgRSvjMmSMuKuKjlTFaf/6wEh8+gIBwQXZlRH9cI4cE0GSAQp5dZiIq2nzD2q1up -SK1PkaluPn3fLXHhyLomkVh/4j4yXNDjj4qbeF/w6VNutGC4nVQZ1FpeMGgXImNvNPZ3+CWe+Ogv -aBuebmL/YjHufBELxDejJ4ZTOolm4Btf9sSkBzwFNXPaTYfkduGsKp1Z/Mt48Viiq5is8FU/FIDH -o0UXw6sR3V6qq20VbOad/NymdgKYZCPPAF1dT7Q2U0l2hsHecSOTfgeEXMy+mOi7+u/MpQ7CIiu/ -1FrJrtjgi/Vblqhjm4bOAw2stBwPCFxQAXfcGqwkmOTiCwVQyU8hQR0UpFEUp6a6yjcF5ZOQ3eTe -H4keGWhaHgFgmm5rKunxJQeWwJ1bVIn+yFEnyk0oolqMos7T5vS4DAan2Q7dEW0RETg5UZNV7JbG -26bXr0SKx95dKC761F/cs8hjcAANSmA/arqiLyXCKEL1C9xp9ajy7y4lr8+ODjRt7gvmXgjWBEiu -lN/P4qaj/2sdJ33iG0u9Nw6GgMgtnO8zkqGFt4m+IjDgXgsABk836buNKB/rmZhqLHAniAtsp4z1 -JpTm9gJao4LIxWocXS5OPxVCKPKuYXWRfPL+JCFBRu7o0hccuS3K39P4NBGMFIR0nm4VIbWnN9UJ -pPldSmXEM0LKC0fo+hZRXlmNW7GQ5ohF7el/NKhBzGAnZ4tUPPrGuoAAyUdmyo4sX1T+9cdR0y4a -VppJHhXRG5u7w8nzKX5SY4jFALG/KF/SKmhE0jEPlD0r7wq0U0UcB3xgLwWPkFXFSZVFwwhr2KRu -YXd0T/AQ8YOVjsayuBfN4NXIKQk9SliKYeb7l84+XsF3YhMO5apPJNukS5gsnhk/X/euMBpWLaOw -urhjJ1J4QifMwGV+bMwFHkAut6dGd9guLeIfDZq6lDBKZ7rZmQk4LhqlH9MpR8EBK9r7pOE8cjD7 -EnoBGxMvzji+kcBZjeR/qmEvPhP1NE9fD6ePCX4fTWHNoL1qz8eBS/W6tOhunHzo1DRLJmN4u/oY -VD0MVIVdyW0oAbQtNIrhJMiKWV7Tx6LUrRyW6vXA5dfA/VNPSsrGqNrEklnfyxmd0hMrEZC8wzNs -0ImKb9loS96xuw/5Ba1imlcr0KI8IHszG6+33xcii/Uxlw84r2UECBAsTjm/EL86AzpPxvHkgaUh -aPYdtmWOPPm3GpWOk5wyuQwNni0OIy+XCp/z5swiuuBBs8eo+yguGXaEUuHx9kjec3MNYhXJ0Bxu -tdj9R4Hp2yI+Y9yT4g+A9ngR/RF/66POo9zcuaxHd4/QwOhJGqyB7jEAMocwJewd0NcQvZrYUV6p -mSS0h1cG5HFIr56fum99x/2ry/AXgeXHQV2Pv4dojqKaogyeAHzop0gPxX1SRq+ohkom3jr51os0 -cdEfPA8Ge3OQUT7zLhmB1XokHCnKYd/G+Ea0vxQyT/Q/rHEDzs6Qu8Rn87ghITqU8xEor7ldosKH -a6eM2aM2Vt0wDm8WT1m9+66inJob2gKnAsCuCk7lQxs4WJhveACF94X1BQFicuyoYjecNw9unl66 -MnZ8FfSkPVYWBo4NNP2ClKvORqFAcxFEWd3r9iUetV01SilLSIKEKurfUP1cftMdAWDPEYotAIrF -GEBXQaEhZdkeBw73MABsYclJJ/agGFEXD0prw/H+1f7C++M3O+mDv/CTmUhaduF0wm1XIcdUeIzG -9XjCOWaVICDjxdQbXIYo7IVSygtI9/Pa+JkeOa1DqZ2FIiB0EGmlNttkk47tDYat+RkW0nRPfXvM -a3LOKf7HlDMBFvzoAjWvHc9cOa6nYaPTylIBdP3bGPXrC2oGIS5YgSAIEx8NhOb97TXxQaePAzJB -7L6O2bU31L5Ew203nV0p/QGj5ZFjEUnr2cZFVP2KgsH6xoNYDmpCN6xPA7H1DrZ7q2d4XKLiGMVF -ZIcLsKudXn6Vcu8gdQ8xKF1NrAuSlu0fElv83hTVYW0KIKkB8+xUJZr882COOTC4RJ9ny7VRj2B+ -gA4t/OFp7cuOTt5oD9RXHx9bzgShCx2oUsNtCD1CUVBh7gX5v4eZCQ9hHf9VFu+mjS2CySmYLZ2x -CTT+gbPAFqo/fLbUuSghttCyFkzTn7Fq3Oy8H0t+kh9cfVpm5W6PQ+oon2JvzuTllEO2btcruaH3 -j8ljGMgi0RW3IeliX2QuYo4Nr/23bKHZ2BD5/qsu9wrgabu2aOtsziVXl7G4axNJwXK1lO5U2hRS -XB425Vl5Q+ndU1B01z3BWo4C8OVnSGszslrPY1yIZS1QyoMo5i+2G9/0bIGdUBAqPYRlSKupzJ2E -lmAc2c+bZppBv9isVf9JlMvB3pcMlGlnKw39YW2FOuPM015aa6GKaYDFmfX2s6g/TDXYy7MG8/gv -0EflvMN+1TyTMoS15qkR9f3Sol+hlLu6vRC/1T9EKDpWZu31n1RFa1wzLI8BOeHAM/WLnasEBc3z -eNZhF9oS19iwZiBw9gFUa0Y0ya0TwULU2OQo6zR689+cmtzFxtFr70V3+/7Fvu+5Z7AU1r0qXDbv -aD8fha6sqNk3TTWqijUevf9cbMjDYBziWLfZjlEF9Tv/D1S/Clx6CkwaTg7zEId66rcss/EQW9MG -EErs8ty9Ypgv3hivImTDT99W6//PMVmVfkE3eg3x3/YeJoXywZMQNeXxhD6bKCvqdqKkDwnndprE -DxeKsnFjTjzG8ArO/FDspenXXoYmwNwptsowFJAdstL38lVU914pwwZDbZT6mi5vI8jfhs0LDMxj -L0rTUTt65f7R/ykNC7CKgXW141OhXea9HPc6pGmOT7TJeLlqnzpgZEd+eqzDd9W5yvHJLuTI2I1b -0Lio7RdXfk5nON3lArUbTxnGaGo01HvHBS3l5yv6mYfbj8yomIM25ROgvpciv76tGjOigq52MhaR -ma7woUjlMKw4fUBj/yFVGv3aQlevZo0ExO4/T5Q8r4lJmADAZn7lIC1+8tMLhrLa1Cge4otNE/T1 -sV/7vwAohgnE+hf7L/2zIAqF1xcUrbI5zwQKDWj1yPaaVG25cZJjy0DK+/SHzKz1j4tXYiTN9UAU -7oocwnroRnXht2R8JISwR0OzJv6+n5dVGR3k7iJrAGHML30yXCvs2xocbuFyrLGfe//giXKlJXb6 -+AC5USFrwMOrbH4RZWssJG4/hqYvGvLxGud+6VjLp7g0Z28J2wob2Hgs7VwiNikWbvurSrUfMFE1 -KaEdcOmcPAtGtHPKEOPskONZQYpljnFB77+Aa1BU0EglD4oy7MoTIFiy9/dA+UeExXcGQ6w/oC6N -DhkWDqD4u1WjNx4P1pMEJ/OexeTKiiOSkt2IhoSDqfkUrpkJlTddg47EgXIFYVsJdyxajunt5a6Q -+ujKUmNcfgfd56h2uSYw9uSup8kK4aPJjQn/Jw8NUADfGyjuUGJLy35Xbmgpmo55254EP0CgNfiO -LJFal/X/oGBF1nll20N7Rqu8f7gV68q9l6Ac2nGvfu2ser1Wc/end/S+d4o7oUkw+xKdN36fZhPO -gQYVseDuqn/tjdEx7vEjMV5k+pb+leZa2RnDMQWyE71RITuAfMhoZZlEPFJSCjq77Slit7IJLiPH -5jJInk6C85V9kFs/bf5NdlMC4FU+SM9IvZbYNeSMtIwHsH9LIG735O/+Grw3U19udggYs2+z45/n -1Khsbw75cPQVCgbqexujwSRlCgfTsd4g/mA2I3qauo09VsUZv6mryB/0nO2WPeO+vMxT+oNljhyE -HUWHSxJ/EygeSxQok4JJKSUOrpGynWLkQuaWa5N7s50QnJqnMCNkj2cZi7ga6iaEgB6FY88dlt7T -NuUiMEvoqfttY0dYCUzSJ/XdLoW1uqBymdFW8y/PSMwEDE7Kl8oYzvcq22xzYM7Mcoo7kG63bvtG -JtTbh4i4kp4y2zV3IWQHwefpBX1asowtIQS7uFQnZpIdlJi5GfQ64rzjL2FZqN6a/y3/XJZwFpgp -dLOu+sc7uv50eOC1LXx7NywYprz8vb1JAzPVZjdt2lIXICbK1NxDDNUwZ04s7NApXwozL8ak2lEF -G6IJ/r7fiuEXdOgEAM+zxwZDvG4UcM78Xr9GDy90zHKOLkk8tFckQDLeGpqAHw52HvT5vC08z+jG -M/krb+mARHwJvXjJRNaG7dRclfhFXLb78PZdY1X80Jn3bL7zFQVPCkiCSB5jlojLp/GM5go3u7ej -q+mpGQ4Culq+6rUriP97wtxi23f0RYez5Wk9kHnSyAH/NzbQ6I7L9DHA497BQuwdYpTGY9Uq72Np -la90SnBqZ7Bux9MMC8Sudv6UCgrE3XdDMtyLCi43mOvwR6zYwNeCTHxYlDtwzlbT9IyfDqDg0mU+ -bePn/+q7KDRlxYjeqacar2ZkG9WS6SSFx774PYppBAfPlqPaWy7UO5pC/N/ina9mIMWz8oSSRJNa -EPPLuC5y714RDBLbpILxRHu3p66NSkKqNkFnyjhc3m6+7zs6jlpmBClq50Xc579iLinwoZII/hRe -+X5JA0o3/ET/McMtHaP8cdAtzSAImgiSo7B5efa3pgx418DlzmFttDbmkTtKPlxl3EoShaEZzyuB -4ZgLaLsGhnh0FQu/qN2AirtGrsTIPSaEq0wxp/9TojDh06v3maBOI3V71Ol6UFjnK7qtjeFmBhDo -XqLpZONPbq/cqSaHd4uLyq2qL++D6yesP2nzZt9Azp3XFO7EqSd7Tb2YJALTIxZVx77pWXVr2jiE -uiPVSk2jI0YUOO7auHaxhNEjmChtGGli1T1T06JAWHBXR1BHEULIqH2Qo3Bxf/xEM7fveHSQaoX6 -Nnj/FCl2xLwXjBcEtpkQUOjI0wXFBbaeQUMD87Tca2ATPF9PEHUn+EohT8ncpPOybKALOWoM+4jn -rfyrMuhVwLglYaTDOcsNCZwy7QY061sGpWKB1ECHgeNFKLD9Z/DUlWpVHfhwXhJs07CyeAxZz9oH -r+80nVIi5K6Bq1aK4mhje80sBhba8YggtuAk9i2ZNMq/jDUEl8f7wJh/OBH38OS/BjkKwnFAO8Wh -Qx0WllbDnRaVfeJdiI/tQPIdizMqxN1w6BiukYhro0FWuPtOgHcD1+fpHCC7mDsifSwgip2VqfzO -EWVgBg/nV6hovOIY2Exx6Ej9yDw8cvSpfPQDOlYI+S+JDVlyLXkIZeW70eJpSAmoQthah45K/Kh9 -3SGdu/F4Z+l+m37wJXUK5jjnrIHxqDAUxskRjjgoWD62GNnoWqzom3KtMNc4XOCWYBJ6DYOq9Vpm -QcndByarh+930nZFywihtiBbqKTUUULLVQR+dfQ+h5VaSSnG5c5oKFPC1v+ur7ngm29PiiBNC149 -x5mBprPqTrx/zdH5U/3pdWhcsGjAor2U4G5Vms2DX7yYK8t/HbAnVHdRj4QrGRrh+fi1HriRq/3y -hgYvqyLWKsEanKRl1Riwt4ZgB7fwISt+5NqjN/GqimTAkKbPCYFzhLceKY4wM8AXwOS0czyUBkD4 -xr8XKXQhAs6f+nH8Yzz/Py/LVe3y8yHhwJeZYBbN7CEutt0J45t3g62OWi5MBUKFD4HjAy3G9sqk -gEna78ov6wCZJCcXORcTm7M6uAlJw9U+pl9GRYyOdzZqY3Jopee6vhVm4YK2Z2KXAWNDfCa5Pb/1 -BWEoqYYwk2PAWiUnAuhGJivLbghISj8LDK4bTBPX3mKvHIv1GKebe7QwABPRGKXITksxtxUK0mog -YvklATrlEQ9BcYoAMQUGprGAr2A5DUv3U4UNzBwBEwizvLB/LuWccAnWb0eih7hnvo0+2JX9z3gw -yOS/tlrozhtX+RON3CPEjpCbm7PBl+SEtKm8N7zegVNbJONd+YsGg0vpnM6K2EhKtdMG90ZoIrMP -lacFAyIOGM8b1HssHO8w7RGjB0oTdTX6ysrZaJnuFFq1xPH3ic9Bv4fFVmpKQqdrq6e9js0nsmha -wnaOcHmy2OGcexeKT/WLcBu1c8XMFzIfjLSv7pkNEgfOtYmEKHktZdpz9InzHfuQabhRS9+9FJcG -niIyanFgPcLYMtJzqmjcioniurRznHGqn1XGzAVDz334O/5T9B/AdOiG4YdDqod4Qb7XnLv5nMth -PnUa19PW4r4NXSS4SY2OeMvg7wLFN+v+Icn/ujp11UPD0wXomb4cGre8zj2r8hWT7bHHhTvRmklR -0BQjLDqvyMnugsm6bVbw8zdBVfQjfQ/Yag6k4/KNZxthxLb70xz3zWFN+uHdfWYgJy/dcOoDJEhY -zs9cXjZfbG8xcye6kJZuPVFitohibMj+Wv01dvYWvqTW4fBjvDRF8UOH6opc2eJN83Vd6tMq+4qV -aumgKu0/U953uxcFmI78wCAGdBuICtGrshFdZ+fyPeuPTJBbwrVQV+EEXSqW+yfoZ0lL58+bGG8z -FiLGjnbilkobc47QijA1xBPoVtiYHfMY5CajvS1HkSsl8hl87OlQOKCHWBrzMXriCeJGlYLsfK35 -fPrnqmpORJpIvprpHOKVhUmYhYwiXAKi4fibBNfxKUz7f3IP3Mtey6TbqRtPB9+/lB1qEE9TrbLZ -k+v1qinYwRNITdT1MfBzhnpCBpyOfSeTwqtvy53TRLFeWkv2HHORyWWK4EqMSOjSBRrukbSW4BeW -doLTNjJmEduJkBTnbv7he9OC1pGJtM5CTfkJcGO86S7+j1ifowDyFlUOrAK1HJq2qE28t+VoxDEp -pL7E6tr+oCxln/RvpWfw6JLIT/Nn8LXsRzsPhsQlBe6r6zn0ApxAywWYh0shbmfza02rm9UQNidR -ZGZqN45QPTgfV2t9rxtzckNDrDF6s1XQzeCTxI/oPIOMsH93hT7+mz34/2LjFA7hAvSYHrtEgwmd -cGlcy8K35/4/ynn67Z31Ei41RcG0XpOYdW9iJSz2lGfFaXU2uwjEDeqSzIZFYaN4A75Jg6UVTH2r -UC07RCpg3Fj6GifciVfwIRX20kf91ZTtCkItRdJhY9vKQee3BQxis7pXszJMTKT3JbTee9IKtF6D -8yAqaZX0ZyAWhm2Gnu5Fgk26+Tr2p3jnMG2UvTIOZXZHEf9uT3vDRAT8gffQ/A4rNcpktZkUFNwk -VtCVGnfFsHYzmk+B4mom2g6s05CawwMXCJzMJnDapXNFtKwdhhQnTztxp/RNb8CFa8DOhvI/PGRr -R9kgDESOkyXS5e004H1xyhjJafqvKgff8Mwzrq8vDw6olJtKHVEgRGn3BtaTalrcC1Xwa15wg9io -1xk8iVyNqZrGuT50saazn06YtAOdk81tAn0CWsfhSB1RrTaMvuJW91nVUq4DNhbtKnyMVFxsdJ+i -CJt3NAzbdi5GV4MzPQ9Vrfb1bqggy9pjeR1Y3zIT6hildLlxVET+0ptcsTj55IOQ491Jcuw6hjxk -f5OIXIwlu9fkwtdbyJeNrQUY6I8KeKS8xA6lFSOKNsbnh6m2/OOdQZ++TQVHiRVv+WmABHMgUqXR -A7pArWidlGyPz37gvzf9K1bFjwYEyEpst+dOMHsQIVLGBbZMcojaqLKdUKeGAAcwQeN7sLsKnIiS -afa9bmFh1GdN3GczteGCN8gDGWSoisPbbq91ovLjSstfUyJpqTSGPQMZGr5QRI5W979MEsVssL1U -ltM8kGDWs5xm4NO9uIkOaxdQMpnhxmgPImpt86lExy+YxA+jSLgAE8TwYyzcUtAM4STCEj25iNed -KmakpRjoMuzEhuQMk/2dOD85RUVgPVhwRszUgrXD6RBfbSLOp0o3W4OtmmMB4b0Jy0AJQYWfRJIx -TSpvBBecXp+GViZB1nHa4TW3+d9fY9Z6l2F7p7oQFAH/JN0O2JhMh/qTuaePUxHN0GAREtGQY67F -KMyEpKVqzxTivDYPT2GtNEv7y4rWivq73d/zF1oYp7cl2dTEn/Mw5q4Gw3EB1D/54ISgRT3YQz4/ -zFpNOwjJ3cDmyetDbJn0gbgOa9XyiIYwPdfgCohkKCiaKy50+NA16uqVjKjgOfezaDtLdVobtRY3 -/ETZmyCy2kLQfQrf9x3ZOESuflJRPLaBYOpeqyKNRJwUYDnv9urf1C0K+KNDwQkIoqMxRq12vBSR -q/exCzQXWKnPv7P0ifUb0TCOtqqTqcsaRcGv+/gDTBNyB2MGYOEqFMui0s0Bgp9fM5fxGMSW2l4Q -OO3gM5tpKELLcSLpd0gz8q+oSpkI8G8Rr0Np0BQSVGc9TFC5s9TJEvIg6io/0+leA6Z5nU0joiwm -9MgM4sFYmzJePdfcnagAZdNbIHFEC2J8YCLZRMdiQKw6W8l2pLZ+NOS3Pj6py75eewonKhql6XKX -NY021OR2IstMmFxLusxzA5r+QI4YmpKckmoRfaqv5IusYoxaELDLnIMhrENH+pp/JrPZ6VEhXpfe -WvTa5bKuuKNFeW/cjjNZQrTHGghX2M2GlmbpT66ajI64w8KSJ6XLCGJ4r4asIz1iUR5dc0AHoeD1 -MzvTLArzkEe+Zvpa+FFwG4FbSf1IqRB8mrCiDHHdS+XmcmR+Wtfxfc/2a7m0MFGmpyR4d58OfZxe -HyKWpvzfHsqTumji/SzONlMPd7o7T84iCHeNAnTvEcs4Gjma5fDiMWr/jxDuUKL/y/uRGZbbc+35 -x8HC0903VssklO1+j6WYsaiasXcpeQuvY+HCiSEJhB7x9OCrd+ReNBgeFIe1onS8uK5TyLJRHfJx -h5fTLBaUDzXbwOnYhbKpGOCYv8M39dw1rQMwTtxINjB5IWzgUrBD6q2ih3nm9zvMcCy5N7ExxYVi -D+vuOVl9D2rP3bwBDCDl3t6eMTVZKLtide+8X1uzm/qP9VwRGcVeuO4PYlIy8OQ+BcmgzzXVQaM6 -CDjEOmVtAX6opGkZsEiO3RX0NPCytc/194B07QaYil6e+yleZp4P02Kj6houf2DvHnntkW04MrKQ -DFzMCEPyzZn1MdoCVfhlh6MNAv3Zeab8CC+lYFLZ0WlVxE/vJoC2LfqsC1y/TZyO+y7fU0IfnvDs -v0d1Mb0NbbAJz8AnxyC+iRd24CAnmNdLZpA8i0LiQ2bbSh2D0Fnyf5r0Lrh84TB/gzA4YXhljW6/ -HzAOs+9s8M/g7hU19Uap8rkbq5lg2hv47G9IZRt9lyrbnKfpKKFfi+0Fk4xc586pS5fzEcFAr4Bm -r2V4V4Kfjx+5LJ4Pf3+kKDxsKtwVbyrwjqHCE0atSd3MThg+TrgRbfspWvvmQh38HEcNoI7dAzmA -FDs1qEIjiGvCcPRQ6Mpr2GZC9HMg/8inUejOBEvTt846p94KjjBGXNGzNIbxkInTOzZMn2TqdbCW -pt1tvq1jCofuPnJ3fRTUhXarXlGk0yXh6CfpzraoWrRLGbFuuGgIirEQAvEcjIcSaULFlIJL3t+i -hKh0dDkQdHXFHGplmaEc5AML+FcHj7VcCm47CWPpaTW1pV81HVSYDkmFRRhXQHf4dw+MUJe65cpG -LR3z1VNmswcj107OXGhSZmQHl3yZyM6yI4Id9oKYGSYXuPwW9MggbXwT5WbDZB5pwgvuubZ8QwhJ -8p9pUN/4e+yGhQXs1tpnV6PXMO9OQCggNHiRQ6UwLPZgGqzMOsPDjTzh4yh3pp/eE/7KvbOS4JRP -l3ZqqpxjTjQfQbQATFrPl2CXakRQjRlXWBJRH6fqQ7Uz+H/ga/FRHP+p/hDD6qEAIxK8uZhi/Qg2 -VQA249R63bJqvNsC7DpH9hNDa0YXluIzLD/Ixzrl71ymoVFUynWw5hS3mDF3hlZV0DucScg15zJQ -MwhvABX/+xJ3VTIlQQAkVFvMeSR2gO/TI2DciMMeOxgQUb24B9TDOd/ijVDHg+gZwrVendN+gC/K -f+5KOkLQjaeURlkAqT+6g7NV9djmCjI5lvSXJUy/sQ+v2+/KEO0hHruHfEGTN6i4v5rKlNyB0yBw -Vhk6/6IvrqqmEOW9rLHaLSO0xL1HsOpa0iq8TBGohnJzRrzh5sjOG3+1JYA/2bpue+JmzRPAcCdy -4QMW63tfM+xoAjexuSyaZwwGWlVqsWvoZJZQpajfIxFL5FpiNGl3uxIm7if9twozHvA7PpIQE1rM -mD3QviqgnV2PfMb/Cp9z+1q5w/hALDGSFntcnlbtlziJVRc4r9Qv8EpgiX0JbdCHl+t/ufPNx1sJ -4yxbAsGofWwADJiifHduNlXBGFOrqDdSuImTYVdSItlmL5/3SS6rnFAppeyKh7ma9CM5Z63snk3A -qbE8hvUOXcxVwyAcASZwFtWNSMMZ+y9LDXombsldIiFGRbXpXW9HfO6GOuAbJJvHe0pkUBJq9sba -ZF5szgY9VpDMWflTgmdRoK6iF4wfTOujsupJf43GDPcdIZY4R8RLcNvNqthO4F7kcnjXD9IoiIJY -j9qTGM2VnZNc4hPn1bklCiV0TE/HUzWvqbO/+vOug7fMWtLa3MhrTbwp5Ko9FWC0eHWtcOt35X8T -yjLYVIhHOosabbl9yzmtGKylHdXCl0qlZbdjtpXQx77+9ZLokrARh903oOpT+9xBU7v2ny8+pgFO -kEs60/aaf9lOYTexaMSx2+iA9amkewAuzgytjsCBdlq8ldKtSJmFoVc98aDaSXqnVHPPj8txAiFb -Ly430RGBL2tQrgcrACKqW6HRbOF90zUw5P0A3sWnLNj00HXKfDoamQdSGI8bCsXE1RlmboZhBtkF -C6R8c3+zrd0WY5oUVKHA8lk3Y3cPytqOBpovBI8IRlkm9kue3UFdPcc5UoRZDWd5EIbFSEOE7ROK -Q6w5rChYzZmhv/VVwuX5XitgxuiocGxiREcYv/JBra2gGUyK7zIsT1WarEPMXVy680SsuldAzU7P -Orz4r+a5QLHajH8pIA3L4185ZlDiwuiWiul63LG9F15bmg98ZsCn9V54A5y3vzgYzjvTqiFr6z89 -ocsXczHadNUNTtCPaAEX2Je6gGvvkJoMrIijpFpFvHwIpkBpYFxNyFWilDwS/qNSHPNsPtrSt7/z -Kf2rLHvTW6V6xw2R00D0SI8Ea1lQLqt0DudLxLuga4c9fK2IQxyLr+Es19ikVe7IwillnSGcl2sJ -RSXZOMQUX0wDn78qmA2pjU08ARn88uEOKpdHQAzPG3mfCYf7uvUiQnvRlwNRW2h/UZ9C821zhNO9 -t3mp3ZEeumTFnxBtp7utzur0GLnhkO6Pd1tBaTRzJyrKm7VpEnlmHb8J2EgLrrLtH4tr5hjam/w5 -iOP7Kxv6YcSEtdQlX2iWKRhwfMXGWkmrkzTWOdFSHyWQrDHhwdf8e7TclEc0Vnn+BC0JeS6+I5OZ -ECAtG3S5JSSMp2W8sGPDnieXyMKg0fN76HwVYTOCDhMLw75WDeR3IHbtt430ITNAz8yJVpv9bhxV -GDWRwi6nXCFJPC4wd98ZSzfMCB5KKgyuZoBD6qcdYNaxE/lPije9uVVU3g9wjTb1Fo80UMrwxY7H -hDJ4mS211zf/PhXyqYDlgz2apjxyNUUnzu2FyOJto4K2ZA3bmAnYIB2b76f2SjHz85+SCCtw2ZHJ -KSDbXPnLS1yhq9Y+ztTMWlssspuMG36411lIYOL/7pvPp3NB+IpuPLuuCgid2lwBw79lcGyL5+aC -fxE+AkFUlh6LngX3lnGHcHTxG1UH8ggAULoNP/NQdfkQY+6gYXfptuuCNvnt/mV5pkMxnC07VrOW -SLVOJLdy1h5reh2V1+fShAdjWhRWqI3siml19q28KJ/wK43V0sm6BjNzYYFb949vVcbpZyBbHnwo -uW8tk667vjuB6ADROJk86Po8/uJG0/7HUxFPCQrctwLy/t6OSc2aSj3QyZyzCLDKc7I6wiKi7Sa/ -aVoFmExH7kMVu2cdO1u2u5BZUMBGPh3e8Awwohg9zA76isVbi63DZme92JANJdykgEbbPfxnQAD8 -G6x7PezTsZhVnXemGrEgenJeCkOgB+G6tSftlL/sCDICqofQm7sV4Jj93WasFFyzPAN5VUt9X+Au -y/k/zGgPB5fisEPL7Tavjtbx+cstkkhPUGA8gorXFVC/JQE7LtH7m2euQMzbBVQFcT3FXF6yR5Mz -5LfMvsmkzEzc+vi/sIyviao1TbVFD1d81e0JmvjrH8wQKZCfjnjEBcX8zz0j2uVHw6sNnh8Ocs3C -8pNAs8kEbr7Y12yVdRBWNpqFa+aL3HpRc48BPtM7fe1e2GI1dt2InnJq5iYcEyzqye//LE4uRBNr -80ePamaLSJtpw1KxzD59olPogNWjvqpvOtbEn+Qi3kuvAkFYNR0/FpfiJIuLWXj6/5vm1gD3pMix -I7HFNQ//iSB3Z+hd1BVYChnm+DjTM8Dg51schvKEwvCfmFZmnFpT+QS/JuYNEfdsfZmjL/TX/zB7 -i2KwRHmj2Pe3CLHGOUtLPEJ6wALESdzRRXI80mfvdGmrmSDS4tabdpP5+V8bvJltw8KFtppeSdmi -/twwEanuGdQltLoTPt1pM6AxR9KncBvvhWA1sHVxQ64q4IhuO5n4DyHKDnWclIiv5FCBJx9Ionf2 -5bIhtiUFEzXKUViYBJDesPzod+Yc5vsOV/z+hjbDq9Ydvx+G/t6M5p60/HBEGi/YISWHgqfW8/1R -i4SsnnlIy/uLiW42CsdY2+wCSXXx6766Xpb2lS6Zvoefym/tB/v9d5Lu5c/byuBBNKqzpQG8FT5Y -jYtRjLUQVG4MD5vWnfDJ30Paf2WT1YsrhUggBzNfKSnihKuv2NmUu/rtdi1erKhqdFhPZnnorJCW -dJ1IFPOfj2sa3IKRVQ2+beOdtUjp9DU7AdZwdMMSSBcyAb6i4hpxutwaurnuM0lEOQS9JEAnaKcW -F3x0w14gjnS/JHi657hwCKZ6BKxTKA2mdglzvGZajZKnaV4jkDGQzB4tc2pRfIpUmArr+ZPrYwbS -rgEJOGVn5MKnmFxWfqqu+uZoXtbTCZCNduuFitPclEkpfYcEgJHjoR47Vnn3IC88YDFPDnWCmv9a -97WXVzP3Qj7fgm8IkVUy2iuUpPBf1a8oQPaZsDgJiesvAaU79z9xsFI2/hxejBPTt0EXOSMkgHC0 -446DNQ4U8UQYMl+Ph86Lplz8kG4yXSn9iKN0aisqBlnr4qbVlni2EUaHd+AbJjV8GbUdggS/6dFD -KGnp10g7VnVfQLeE5kVWOZbav/BjsS9lL6u3M2ydCZoAdA7cbM/K1rJTuOzsIWrP29Fd41TGbWYT -8hgQsU2B34pBQ/AEQ60SSmuvAW+fUbcsQKKnSvPzP3QpdPlFxGyqeu+he8pF/C7Cdh52pZ7Y9Tzg -ixT00MqX/JYUWcUqrzTafMPe/1BV6HxvqtkitGyBxSbGyiX19M8rQOKzMKBxqZZ1qsV6Kxn0I5WC -eHSUS2vepEtHNjG0dr9GMktMnb8QGTW+AlPhDHHXkV+hfKFrKPGE8ddVzfq0gS1Q4HpbJu44aeHW -P5dG6TgSJS75pgx0yE+aqP056PJi10+G8XxEjNZjh6IpDe81I5pwPjL3EcB9Er341w2zGTyhXjRX -oCVFVA057gosXuCHQBCEZTCi5OnmeE3U743X/uL6afuqXEKFRM+7KgqRx4Ri358CkLr6Z2rHYXGy -N8NOHQVBLLbo431aZCYOUukKV1ZfKWm2K0+BSfTDKZd9YMtrbdK6wG+5IJokajm9lCX0gs7jUYIi -TziGWsYJayS9a1XThLtblQjkWqdNUEYJEJ0+H3L1yeCOHAFXckG3pDiMlvBC+PYvur12f3r9x9p3 -aG6k3YkY/ZS8+X3ON6Y2bWpKerm/pc7SDALjo2I194kL540Cib/4HXRSUn8Mgdy7Teu7KjbProzA -ThbBa07K1KRoKjtJdOftBxCZpfMScWz/a5bnvXWmXoAlaIwzF+wVGo7KocTuZzoAUXhISGoyHfBy -uSe8Ki/DZNLih+gZaHLyVDvyC8PALYeDRfvZDOLzVPU+RecbbKrPkgh2kUCu12xUBjPbzWgaUVJr -/lV/yZKq1JSeWL6Oac/66JaXqPYTmT7NlXaNoTW8LI5pd9wtP/vi30KI44nVN4ARzR10euKyKNqM -yJDVOaK9pYaXy0MV6bHhU5F6qlrCBnkfBAClinWENWfbnw3p07coof+4txMUWqjK46JKoK/nGU5X -BhvDQD5i47+rnP8mHqp7++ynT+iF765nrQ9lbtoPAHOQJubBVFyAJdl7L6inhHg3HJle/c+YDd1E -WbDMrSR/an4/KgfaKvTyix7jsMLb4zku9H4fJFmyGLjaWz8JE6Gq10R22pRFvBez+9YkOf6gq102 -arwefXbLa5KuZcjTSIYnA4XNpR++1oGMwEwiY/w8g+EZxX6Av0SlzGDaWHmUYMtkSgqhJtWkvMx+ -7LexJ582oAzkTETEmUHCO70AwC+HP3hYxZpL3KU22SnDIcOi9ClHZeoKrCJLVMKsOm6iDqJxpnZy -SZDBucp0uxKQ4gIb0LJeGozNiHRnFIpmQ+lRYHeme8srHhuYb1jLRccHqZHGzmvXhBAYklFwuT+Q -yKX9mQFVItDn/KaJUZUbDSVYeVlQbA6j7nOefoVpacZRc4KT3H6/K48inIsArqHz81B9GqPAAT+L -cuPDguBcd2VA1bzV2vUYWIWjrX8ZpRpV5NUA+1v9nHIKjlcCje4KF0nTbUHLpPU2tNvFc9h1Sizu -kLE1S7AM9mjnzo1TR0Vg3Lmy0u4cP68HtZEHDigsEgtcF2/7aEd3foFDwLHVZZQ85adW4H42l3y8 -3sDQJcx+nSOt2mJYqfuZZtSMLtYsLb+bDQmeD2/RCfpzWZetBkUQ1fIKoJG8wn2pFZW0qSO6xnlK -VZPAiwTkQhXr9EmdNVEGFCTt8p/A6JdW55X6u8o2scvB3h5Z2r1V3gae6FCM8tc+ab7SJZrlgxBs -0OElSWaPDbDPjKHUAzFTTYJnOx52DVY344DjZ0G+455alWeP9LygjfB8FdyakNWW8KJmeXaKtylw -njvmjfUr6dj2aSe5ojA2OwLK6JoL2vQFgYgm24KcIANQYkcdxOMujtjP8kVqmQ9kukxYoM6U/hoz -p8SadbCW1WwQu/l+E81S7aSVC+D2zrghm1MQ8yAYQZIN1cmaQKX2OBFQOE5DYCjSN4F9vqVe0l/I -iAj0fhdt2dDflFScDlT3mX9jQh2DfRMW97OL/RrJ8pkodT7NwSWdQ0MNGerOUTdy/0cTW2+BeeBu -EqaV7SmpM/xNGg3n1CNrS0bm54GZV5x7imhWbqiXJW7/9D+tGYzWQo54Tf0eMFTA8kVCaFQWa3Ub -d1b1UIF4h59Z9GyH5uoP48XLYrLM5NKkvMKgbTulpuVC7xYY9XyZCXcIdh59qn5V/Ed53h7M9y7s -sLaZewV+4mbH+sbhSk4XOJuFzfVYjGy00Hoe8RbaJ2iHIaVKUNEp7MrsP1NFSRKOSNmyjHoyeYsq -ZHolJ2y4S0vFpq6X1j4F7tajKQEe9xPS/Rqt2klJrcSd9Sr+V8AIj89cUoZITtIYcmsZuTIhxfri -8g94z5bToUSGvcu5TwQJ3W+kDCKSL6m/Kx456/dkgiA0/o/imdAL5r9Vvmkuf8/lKiyMqLK9zM6h -E8wLw8O2+hSbB4bZElMi37R+Pd/EaDT5KNZ7NR/MJqVPedOkh/seL70//Z76lBm5+jZJY7+JM3+p -AY3c1nLXGCZxqWAll9opclZVdDUC4jm0Q3MxBtUrKvJSt9hDtIeBkZ0k4BahcSeOC7+1HBDswSMZ -xqb25mIGVCDrWz8wg9JBk9QAwnX7XlEShU1ZhUbDnZt0zFF67xBPvkJnqQStwLmlKecmV+XIAibA -1dNNiPoIG2mEAWBtvXqXEoDpA1o/6yr8VXeyVT4SZPnXTTS+Vijv4eZEnIeXcpl8kF5BLU7KfGG+ -N5WY/4KNbFJs6/B1OYzjA0pvOMxET7iZG3MjUO+2kE8LAEXEoSQLxs1jj+XeBeHPlY0vLuP5fHcg -xhRCaL7Gt5JufCEDnsTrihBv7y/z68k8Z7Ambdd8/dN3rnFQjpD5qFAiCry9QJ67AF2jsyk3/rQU -woO36S9b5nYeG2bdRa8KbhXmi/32s513BtEilgOWrv+sZrJv/ch7f04I2J/bWWqZl7l/vKlbRkO0 -GkK8dpq98fzwpI3JlRd9vZf/grTrMyqH71IF6shGmrKAq2cRKQdpfQccRGfMvcooQ4hzSvqN2qLG -/osmJcUmZC1F0lS6he5hdbFsVWTVmq2AuEBxZUIGCsxzlgnpxVgVYyCYqcFrHCaF72bAOADbWAgS -P6bxBaMpdxv6WFbDlP2EsIJTrlIcVM1CHZHTS6/Sd4btPI1oHY1mRBHMHyWFvqz62BB423HzxC0L -ypd2rmpZIAeVcrOXvLxvJbwtIx57RkL9slb2IyVrbA+5jPTmJZ2GkH/C8v0VAXzmalJpg704jUKG -9FRJQxFPqqW6ZKScBNTMjf98dh4Of6xeqbf+MdVN/N7qbTs/oCQpVE0vavYgvTLV0rVFLGOx2dMt -Gdd1MunlD9Q8Svtj1mt4JaAccrxE/98n8yPPZKM/aSXczpFWe5R/lLrKhxUEPx0XA9tdnoB6+pGO -VrJaujFqpwVT2To//1SPrEvj3MBZ4JOen1Z4SSTGbwtupxOOBgDUSplYnPDVnzliZWJH9Sj02ZVF -WcUSYEtz9d098g5bTex75cWs3kfcAb8nhwdxV8IW4jzyt4I+mdIQYnLJ+Ef302PQgoWfizayVEF+ -oDwsM8jNBmhgsQC2klBa1dO3LdoWhDKAEix8oUnb5EWUK1yvjSE4ueGdkI3We4IihvoSTNHRsrOp -0kKQpFwhMitKdccXWovsi6QqLFvGB2XSDyx4+b5fZjz4Ewl8lnh3iItJ4Hye/6KXuZS6ipMU6uT9 -j6PoXfMoD3EIctNFb+zP3dDR+KF6RduMgCVXtyCYKqWuztuj7aCmtWOchWqZM305++Hw/FYxKBq9 -Zs5oCBjfU3Smk2Jq5yX627JwGMfVV0qkiIBrjk6DTqIWLihKpMBG+RWqFcuZZJOa7v+/T04ijN1A -qIBbl9myR+HrAF7mCHcx+ik4kRjK2vxYv3rfjaiunOIhsWJl852KFUoh50lwd7nwWjZSF45jKwlK -V4Fh7gxesBwX1EbBy4d8YoSKvU702Uiz0xI1tMBglWdrSRn4x46x4SuIrluTgXivt93ukPyxBwwp -Gsq/hAS4d797hzVhUn22uVZEL9BJ3MZdRHvgY0fQ1iaH8aXLuGqUl3xmTbT+Ww4iy1COmXjmzX8l -qjNgwDG+Vw3PXin4GZDTdBpu5boG+R7MaBfk9eHmHWZMO50vgYFYeujeQOGcfJ1qCorCW2TFXDEm -YMXxrHzOitpjJAv3ugf8LzhPF0eNad+yp6SwNtfMmHhR8DXKJ5X88LgezXaSX3H/PgRVMZFiW1JA -AF+x80iM0aPkw9wyUuGIa3CKeZVJ7eJI9WuYx0s5WKlpniDXOlYEEGDXBzC24cy5t8DUy8kcPqq3 -h+cD+EWjppxAtbGw0Qcna4DKueS5Pm15PyXp7cNO6hunRc96GEtQdo4l/nv5URIYUM8aLxoFFiM7 -+YZMVMl2GCgixa/JctCJ7yy412ECxRSATvSlZgqcEVoZ5Zg15lHbLKD2WcC/9RQ0CTW3bD9EYLMh -fj8TAWhWLEzeQlmyLn4M5xzIWMShBjRlF9dXVCoceQR0w8ZvrGoe2s9hk0eadDjByL7moDfEa65r -tBBAsoDwfdjHNw13eZzrO8j6wnEozL2YAja9qnYMXZ6zjh+ICaADDj9ikOHuY3BE/9MKPCqwn5H1 -MUVkApFKgTsy/MabRMddEVRxFX9FXGEVixUQ3regKffwoGrlukkpGPOgamJB6ByuMZKGS6eCyBhz -bf74AfyV0+RwICvjoVqShQFRkzq66g+1/vklXMOTjFw3+qacXr+3IVy3r26h3OBTSgJNVy5ghI66 -8wuWfX93ROWsdHSmcCRza1ZXrM2v62YS3io9w2GL5cx1+UlFgGAOJ9vFwwM3eIV6dmsaoib8c5wM -lZp6ZqBjeEMSiiO5t/YUZOP2ceyLQyftPDJKMleB/7giyD33zE9dSpO7VWqbD2gi8qHKTjLl0W1X -OjmsfrN7VrF/acrKgv5zqQamhXpQMEsifwDf/2q1i4wbVp0L5oqCpISZ3JaVAYk1IfVEKrsRUNXi -RBDEha5/YUxaLC4JTBbaCw/vwM+nAogTkAYJ1cDvTXc9aZ7h2+07WoI77KYpvR1lXwOewTStf3k5 -Rm642QJklplLDd6xORXxzFvECfvhPyEmwFcD3tX6aC+OF8XFG0diB4d6Od6FkzYlAvxFUGRGiI0j -VdmdSBlDpfJtDgWpFqhXwgvxXV+FHZ9vAsnvpFjrNDYYw9m14k7J1U1qseaOEuBNweN1cKq6jOTp -3kwumU5aCpEvwrbC/01Hr4HcS7Y7W06LKXN0Oia4CZMEWpTr+qcQBbsOZcUdvBLFWT0eM7aaOzCZ -5LWV7NxAoZxH0sE9nFc5evUymNeZZ40R6gt6kEToGcLUqLEnDGq2Hdm4CavvOugOtXIR9fh8b/V+ -o2PQuCImVmgesjX1Sgmajv6JnlXOtiSeUOW/KinKRZmNeh3946/mDa8gZ9oyvymm4tqxgXL5AI88 -sVfT/aEY9twJzWHYtLZcgSfZ6m1l+tgM6Wd1pe7qbGWTzGeWhv3KOVjkdTAotr9/szJM3dsG/7Ck -cUxmyVRlr11AynxeIGHjtWWX65nP6vHka9brugwGuAqKR3Vo2WA4/PYGEfXomOvZFDXjQC7TRu6H -VWLeYJ96NAUr203A6Avf9p1EOQCvrqoQcDyELBPyLKdaf0+ypHuqm9AOKD1AMiuMM8/Es/R0WFEI -zntT1cY2eAu/GzRcHIbUiv+6VtYbPM/SXcTFsEJA8mRtJrRHlqjxb3Ipbh9IV2Mo4XL9fbKLOq3S -n2t42Zsh6hQQXM8/ChTQyT1VHJdPAflqQefrWZaHkrQbWlakdFYwlQe7Nsjg4TvTReTrF4Ju2Yxs -DxYhVFrZf7+zSPg1/1cTjM//Yfiq0kDz0ThTspdB8yix0Yl9lhUdBAciWI7OZywKIQBfFnMwsz2l -qv4zEd19H+Sm6GDRZbmWchXCCUvkZyVECeV0zGOcj91Sah1LUKmaC0qcRu1iqyty1eic0prLuPfh -Mu9JYVe8RbIZBIF9+p/jbNxiMK1vAPp7dBjJJW6019XTXe9YsdP9MjaO+CL8N4Jdu1N2NMmGJHtV -8mYvPpd3GdRiwNzOUNZxv3D0m9UI7NJxPWA2LZt91XR4Y+6Cf9L6FxQ628EFqfk30R0j5x0Yfx3W -7Zp3cWQyJn6tq7cWuB/9h+9dnDDjQYQ0R23pZ2NSzVxcBzc9nnuvKGgUN0Z1WH6AYhdzy4AJPyKq -aYiJMefNVqlKZWfac8fqQQpHmh6GV7fhWBePIEgy7URBETUtxHc0Y59YBBFUtmuNLZ9V0e5sx9tX -0SzzDpFvZq2y2HO1irjbzeTHQavnvlaYko4sOihWWrarWXdpKiUxNwQoD26mspw1sWrrXpM9ssrc -756BUb/9cLwtgC7R89yWPbL3IUW94fFJbtKIXLIp+kPgt7oL5omCU2967fSrYG9N+EKPN30tfNc2 -BJvtDCPxjiwPHBfPr6JaUAdhjUb+cKPZAotxK5KwIlVPTGYtZQ7/vY9GstDnASwPP94THOKkZJZo -4C1KfmYDHINY47iuyMQFSG7R4W4RIuP9eJjUN9UgNBxl7Zt2QTkSYZj6+HNzC8XFzhisHujnSWTU -EcgIcRHEoxgNMIh3yIbpWAzTkTqeKqj7iU+/Y9xAm3muNHOhJOXSFvy/Gafomx0UrUtEXb3HHvJU -rY1FN4E6Xu94tKtm1be/uyn+CWTZwpW2/e3rLXhVWYzBijHxrlIpydzA8ciLDo9u4GJ90TfUlJq2 -J/z//dueHE7LPdi2AenSgIBpxVvXsjMuW9Q4lbjHQCHCAD76EmUze9lHmoyAFPqG6t9tgZsSc9GZ -aIQM8Wk7nmd18PZc3R57lB+M74XDK2A8PfYgsnjr9ylthEjiJ89R2dxiuyFB1pSts0WAqvtVwPAY -NL2BuLfRJXPUZBPFqms884WaX/UK8XIpYC+ZlV1Rz6g+bTUWFBL0bvIqWydtCrhoEddtzY2MfI7D -77lSPo61AXYAGg3ThKzI74U6hNrwzsHGc+fRzxl6yyj5Mhz5sdMDb0NFLf1ws5inqIeiSmrUiwMn -Nq/jQctZkLx/mAZgUQCxiC8WHWEVIOjTiq0ptBZK05yL41kysEtlyS8joa1tPHghH1WwO3zNeDBt -HFLiaBTl1cLnfkKNWYnVfWaWp+rTmwgUpWdOpr6ZJDTVoj3D01CY76QslEJWknjrNLkth9LrEE/l -7grN7VUPz4VnbqZz4yw8GWT1XBJ/zZ5HS6HHSMYO32XJbw7O99x3j96JWTdfTXpW+j+IUqaaRRAu -0ZXp3mGW4eGUcNQdeQMGzDJ0vCwqDf8h17uEoMEYD+E3fH+m3h/KqZagkEOGjT2CFTmHmzmk4HSD -KX4ymoDjku7Sve5MTYYrJn4+YSKwKYXsEzHbw78+gQ6WN5y/C4/cs97yn4j0wxnbIqYF9HIRU6L9 -mOtQNqpgPuUrewFHguDrlFO6rrFgpWHQNBZhvEUgdm/BlDX4o4C4P5omn6mDKIv9whbEKlXFTf7d -NTFCguKeoHK1wggVbwXioNwjTSqYAtyIA1cL32ly58vM7MnIoHqL37r6nP8lddKyFrPyNFg7kKuT -neeCG+NksOTunJu/PvoXQ3O3extheRTKV8izgl5JZSPVNs/6UVL7VvLd4a26XpUo73nYIi8EnytN -jhGnq9KpuHrDl3xsn9SwiFH+J+vlRtVBUuCkWujhA3QZVaw0DGeO3FolleBkk4yu+rd8hS841EFv -M3Bmrl5vOxrxBRbpOdwlskPFMrPr6hc6fq9OvJp4pVP27qIhn2exq9OuUDls3Wt3uJCDc3+OVaqx -JR5zOS0rNO+VEo6gMot6YwnSeVsCXtVk1S5BVHjeuFNF4XmBeyjhAimgo6gKU6gGmf4fmrIxJ/Ig -ZSj9OwafmKTwcXzprOMemRfB/jcE7Tsdv+JrYjJPpE6lKsKALqb5z5gzycaxN8yzRE/7CxC8ZsGG -ZVdpMpZLhnS55YCC7tIGhbh7WVlWk/6ZfRX7jyFviPiwVr53/ObFfD8cs2YpwzJopX+7lnISHdnO -iXTu2144TZJqhwxNAEyVMFiPPeMGuTzYTzKSBJqK2ZX2q3ZRhgui5ymGmrBYs5y6JmrVHL2Nr2Tr -iHgzilG058JRw6X33+zkYOt4pLKiN04VyDyos0hqyC9e5r0Cb3MmJ6/PCtfMa+vVtftecW+TzeLF -oBkltkWjFl+FkVDJNHfHGVGE7OqboWoqwE0y2lo9622iVPmzmJbX4yflVpIZ9o9vgiaEx221jLt8 -PC8sK3GNfntJAj2SUKkmqTeY0MiEV57vKP5iHs7ESB6h3qv+bUalpqnuwOhzKBOkdcLXPA6quPLa -9jxDTO8vyg83XbbOxmM+iMpn8U/XvPyL4esXllpFxO+MGgM+4yBhSQhgnicG0LSjNu8bEcs4y8Z9 -tKxRaFNKVhsgHhJXKkKC3Mvptq1zfWlBNWa4piOQwSNjQVwtGzRh3GfMfHJOgNwfaRxsTbHERNk3 -+C5l9ky8gBR5Gr4LlotqjD7wXgScn0VVqeppy3+hUygjJqDeHTVLF9B0kWg4xIJL7rmPtQfbXYUE -JZrvkbMfJlHWdP7SgcxkdIeC7dPr4MPZ1C897DmpE3v1NZ3+foqRQR6d92B02rDuWECzTH02nVT6 -7PoRA8QQhN8qXYynuQ5pDBIGQqOAmbGEx4Mx7hbxnrhfOjotEhNE4InhZk5UnWCdGNyS6WDs1KVT -g6mlfYwJ3eHQsIC50t5DU0Q3JMhvBwRRfaFFRaO4nxpnxE3n/rjNOQ/ppCQz6KYnovWFjwsLlF0m -IAzOqtmK8OCOdX7/WilGw8ZvgsIaHM5iDXMPZdHNJcTJU0ErN4jJTPPl7H2hc9g+28ORQFgoQ9N5 -VC6exyD2ZhtfCWkbIcApK5ArIISfpwPWE75JMyrtwqrCIeStGcpB2hwn3FMJPf+7W+bq9PY2qHs8 -pbC6GxcYV7nEkjYqgEMK1jjbEGCouVN5RBZAEQqUJoAIvLsTN0ObiQhcslnlGGz7Jp//oUt9e41c -Nod0k3kKkg1SX6aKa6a85/kCG/NcQVUI7AHyAtWF1oXSeVx0lq4DwX+tSqtbITwcW6GVQ1O0O2Kn -kiozP4aCkTFp7M+sZXL0UwpCzAUsfmyXOk+mFtxceq8eqJIyJSMXLH8LcMdBe7hSR/MpOltLKRpq -+NHbA9cmglgagOFhSnjoFGqYMD9dUl5NROl7qHpWG+YWmU2zRatXmPKepsNuhqR5HaTQhhSEdbQ4 -3CqKU9r4WILV8atjpa/ePr4RP2YFFbsZzqYt0zzxnKbQmSTecCClC/33jennmFJSyyHN0Ki64UqI -20OsX3MRImwarhddtHiaUQx2kE862LJQnKZ0DLE6UDJiqTFhZp5f8DMDuNOj9qk33DmsWmPFr3aJ -D4Z0UOSdI3EWXwvvP5kV1XoboaKGfjmQ9DaXRgbWZkqxOQgqc9S+NgATBwLG22yuXFGU4oFWNARB -catOTBjkdxIFCZaZ98w+UYHfBuxWXZqmgRnok3nYqNdKdrHrUFZslyysrnHHQF0xfOQuBPM1N2vG -BtsUkWxx/+qgnT2f6eOaBrlMdotrp157CBS+GiFcP97yo3CzKotvSDbSFGN/THHI2WJRK247jS4V -8Bdj63/v6YcYmvFSTrR/uHNNCW1RqDrbN7AtkJlt/YxSXdB7AeSk6xGcJOef468mJb1qE5XHxKoz -E9niNvYIOiLZuXnHHCFTdI9q1pvvpkwoYrlPDc9ckO3XyfD1XE5vmsYrODxth6VuFLxMghVrONDr -XrrHhQ+LPinhBvvuhZsx+hniXbNZA04UO2l+SL0uDvvzMAYfJwr3jaBaD7kkMheeNvipM3w0wois -pTFYZNKNeoZDJapbKdh3Sfb3OfeotqkEkNB7MdzRG6bJUekC2TWwlcDCgDsHQBjQmB9hRgGf9NkA -Q1ds5qnmgitCBiyrGscYn+cuNmdWZuGlrqNhEbd+Hbb88bVGXhcv75MTqWukWlkr9t7q/7F1751u -NH827PUnJ2jt5ZJfrZsNcoEtwXWzzZ2d3eqzAzfHOeo7jBwKZUeuUErU4WQGgpS+kWHvqb4pY4GF -1XnQV7cVfR1q5Uy/BUD3oQ7rZPIKxOhFSgQbAoln8slSZ0V6osNGnly4j1AcCPF/9mBGc8C6Mn18 -nKWnYUxIQHseokQcUdhjrilBiYRegeXxSYRzOJuKtiJsZouluSWOQHMVAhd7U2qSNtDKv03jkHhu -TFUfFDRVmP1PI1DzTpXHbPK18SYs6DkbFFjzRhNTocNaE7O7xLoxmxGMbeyp9AEFUk6yFMvwEUgd -F/FNGkcU1hp8FJUCmMBZuV2gmszoc6NdMT+btlrW3z/w6Ls/eoM65zaEYstAdKFVpeipVKBjIwHs -jCpkAfSjpuxH+cbYTE0vC8HkQq60Ol3Zbnpn5weqBvLJo+W4leiw234cNKzDWH3q1aOvymHWA3wH -PuFPXYgsecVo3yzemVHzih/IM4D9r7jvZ3e61Fuxiw0ixFSwEWPIOE0dboxJr0CdOmKtm+pqQ/CG -TuzSUxNyCxyPr4OLHrnd/oeyT7jb04muuFqV8G74La05Y4/0dffO1Kn0aIlGRlemZ0o/9xQgywXi -dBpjU4VKjQLxOIufXnkjKVRt4HfWRVgHgNLHfr0Oyk6ePeVpm/cmjhKfeiatsDW+9GN7pObjG9wv -wISMc83R1gfSpMIxFUs3gIJd5AiMi9y/QSfRq12Cdtkaw3i2537h1v7jUxuzraI+XJ/R+io86Sc1 -YvOeMBNd3MeAFG9gH7J/+2vRkZ7/4IyUj+DsrLGktF3Ps4CLmNnnGdjDktwuCE6FZdBxPNdMhK9F -+noRFemu6s4aEYN//p8DS2bJanLdINX1T3Mx5OAAxMY+z7Kf9C+pdqf9iqCZMkBFB7OLYZLUkhzu -krcwgMigPFS/8fipiyFzGYdkGbYKlEaZHEk2IwCUxU9AwMvFElYH2cJX7hkHmCm9K2hEtHgGUZjp -tVr6Tl7O9vi9+ZL4QV4kcpCydfvlFpMsnNwu6j53cD/RlWTSWyRnyKEu8LmDk9Yqi+d2a2tB7x7r -MkVOL61OT0fCxZgZoDAilqA+nhKKi8h6n1bGmQsgDDkSWEfzkRi2OKemXOnlBsLkeyCaLHNweiii -Dx2vv5e722DGUXcnTgJK45aD4jMOa4+QiY4VOUJgey6LoYYRLatDUhtkNAEoDAM79bi5E615UQjq -xn2BHn1zc4JEwgLj5fJyj8RioqBDBaHJSc17u81gYQYrH3gmfBGGYNwnA8z4kNUhCs8V8hSs90JW -72kZQpjujDs7jfOzxs1YK9flB1EOkYf4eWrkNypJchfAAhiRdYMNKqXMeMiXxgC71idBPG931Wgr -Yib5TORZQKS2yiZUATx2Zd3ZNQ7iPXMyVpvfteOmc+VHwcJu8ogHgUSfSGCN8hXK22uN1roKMkFw -IuVwlk3oGZQoEcUIHR2PfAtx41tbZvjz1FkSHYwOPJTh3xl4CGoOEPeYYnuq0EwoRGn3hxYAlqC4 -Yx0JV2u9J/f4aHMCACHAWhK+MBu01ytE/O/TXvzz+8PXn7iWyI3pbVz7BLudQTwiMZrgEFLjGtAy -0305ziw//nyexxSQkpoTcWzB28STbCKjIPhBP69b/nkfOQtXzHpo9oB5d6MB34nmwAmNqLA63+oG -ryWwwlmnjgitktAfCBx/2tIaRNE6AiUK3rDV3XztgoSH1e4RAKFSX9/ZBnGAueqmmWgEEkLFKhf2 -kJE/c08aNJ5rIgBSnFQQEDhDMJMJ6jnefbCsF4G5f1h84mz4r0t5bxGU84gNLMMroLXCJiuOTCiD -eOWvk/bAtToyFcFEUwYqPNuyHUlc2C7cZ4BL2x4+483wxvyfl4sw6WoGOMpGxKmf0hSB3HvyW3T0 -KyP/EI4GEkOkLT2EP0LOXOPOC+4ccy57TUJtw3YgY1FqP+iz/5ChiFrnD63MYRbQQlz2MlVe/6xQ -XtvEcDGGXnYxY/sd2j9E3XNGr4SRMeyHTomEGd6lyUSPIfR2lv/mUy5US8KGzPyoXsxtanLK+AQU -MW2tVX7ODqPBdERGDNxvZHhqswkZfAyNleQPZ9PS+fKasXMbogmWKYDD1gDIqxIqOddeBDW0o8/F -y50lCrvYe9EbKz/VoXscRe3ZJx84lc8JMQdjWPjXcXHe5UAQsNStVQgacYwGMIXSoAPW70KPssFx -Tesi2Fyka5VNlInTw+ey/It+dFqSUOb2QTKpnViBoYpR6rPEDzVSLtxBvYnvbzL9ehoxJaY9mtoP -/HGzhIuoCDClSMAnJOPv0j91ib0kexw4+D6t/xMktLr12AI8EPGfPyhz0WesjiC8Iv5Kb+J2M6Xo -NXKxlBeNg1nXCWmWOnHkLrpjx6CFO9YmaTT6QQYpOVyR+saEZRpUcFn0TOyVCqHrMSA1gW847Ilc -nYe+yXUqcKM+tIaPV0GYSw53OiAgZCjv+v1z9leLbEIkWAuL3+YrNLDLWQwcnfmaeKx/e6e5ndmb -02HnzijBLyLfQw36sWiWz2lxhWFIhBT02HmFfauiKvlXzxHt1YzgvWoKXk8HK5ib1F51uVF7wEPW -oO4HyTTQBagmJ1sF3quPQ7mp5Or1sXZuJC+zIBXYr46VR6g8ke2PAyzPErBpCxsN+beoRBjAS0fC -XIHvz1QmBnPVhRXErSwvWMmUHE3xk/tqeTHppsMt34h9yJlRB2J5B5/ZJbnKhnJj2a5eq3jbvXlH -/kQX7nrRphrfBJ26+oJj1h4MSuHBBXnffKLwKpo7gPtnys4nFqMqyxObSI0Ygpp3Uh6/eCrR3hQ0 -VlwoRyWKgg/a5EqU2KHdBkHkkOVScfpOrowP32st0qNUjiBmZNgSyGSlLvdT5Es11Rh9GQXeo9mK -46K2K412ZATtfcD0JtCyo0eyv/o+mQ4Qa8hZ6+HNgWYshU2nrABO2uDgaZXuVbtp94LQKW6Z6Fs3 -Q6KslCSI6FKb4LXgD+wAV7Dce6TOV2e+tsqoQ3S82NnjAsP5vMZwHQmTT9Ycml4EzTqnsGSrtTyN -08zmTvLsxpbnba7W+EdQuVwqmpQC5kZlmZ82T0TwOAw2Zrh2+6YOZaa5RLrdSCd4/dQdmzG0nGjz -Ot2PbmJDjf8T+NSWKRrNjNittzJFqMskiM3bjwM+vWse/xOEo6KGbBo3W3n7vocHW3U1dvupAYmL -UqnynhsNTL24pLbYd2pg1afnRuLRkg2sFwgxT2FYNmik08UHBEG+FdbhHluBlHjLWNmFH7JZnnF9 -s4eZaEgDrQB+H0pJ565DQm47p5YwBY3pAez6MeojIBc+cgXffVpWO24xWlV1BF7j6vCXtP9FW+Tv -c9nQWkMRFlBG6bKtqOzogEgq464eZwNMlYZ6W0Cgg9dmzg591CUV3WRcmVafo5m8inmUexlVsEjs -AzweHD573wWNZSDOo2ehzI/wDDDb/ZHHwz3FGmGSChcOiSVQBJIlwdsmIPEVXKrLfNhEzAESOL1O -beMqmQfI1lA3BLJzljpyf38L8qvDbIrrk+88usWw2USt1UHtXuZXjFtNjzju3uTtiOqZHuQFZYXb -UgpejkUUArhqB/Ta+TaAWFseHT+95bAj0sQXuLPOyKFEcayM2dUCyizUAEo3lPbr35/AzyJGydqW -GDr3XcQGksnBkWC5KfXfs9OJr8tqdh5mQ4Ym9AAb2Q7pXzuS8L8tcwUXfBXc63JXvDIegJ28JArr -eGgHxOWjFQ5Zh32EEGHsb8skpt3AaOGnvEtY10NcLdGfG0MHiFyGMUOlit2A3TY/K1QsXuLjCN5m -Bn8amv2qOVYnIs75fR5M3u1ZqG/ZaeO+YgN6cEoYne0fMDg11XOPZewwPmL1z0kWPvbnZ7E9wBOS -F/2gX7M/ZSefXAMi5xv45sDhWnocA2IeIzVH00yKKfQGy2/CF9mKJST0/0pKsKo/CkGoX0C1RyJu -bAOKo9EEvidwlxVpXOQ7hsi/Spi6D9Q5Td/QyQgfLMjIe5k+dfmxY0AmaDEKlH1IYJhQFdPnT/kZ -1cVXczpJNqsLGagLEFhhnlDFcRX7kWkYv8LMuHX4GSMOuB2ebQUO/MP3IdtsyHEdJw/xGe3zKfoK -J4Ef5RRnjufhNHGqAyJQX+rJFl7XYH/bow9VYujcXeNr4xV04X/hm6JLIb/bJSWfswLwJpbFx0wz -dWVuZgvyAa1AjGqZI+KSiKq1vzjKEk0XS04TN5Jtmnhlpr9G3DQM7e/ixwLGVPlc6dUwN1PXvva8 -guKAKaTnbWFWwDAiqhcWcbXJ9AyYnF2fJwMoCcx4gJC0A08MXd5wyHRjmQ9s4IamrCBQKQswfq19 -UngO75V66fB/Q/mJjgtH0vRiGxnal+g60iYaxbUwb4PqSWG79qj8s1pELNqRovYCPGn5n4BNFdjS -L65P/XYt+3pC0HouaghkWAmagONrM5OO+3Kng31VGa4QRh7woHAdcMbb6KrkNO/9/JRltgKtShJv -CJn1EdKHEoUF9vazhNquycZ/4M5IKqybDoBaJ83Bygh2HLtZUyqWDAFu0wYeBN/LnY5hbInHAQi+ -VTVQZPQYLWOYm9xipWYEZemFY9P8u4NNvGMml6vx6FAKkrWnli2yuKxVuy2HwaJ2cV2PNC/tzijf -4dVNR+Uyz6IJZDkHUHWKEDKOBfv/Gn98zsS2MiLpbV33AV25yttJSwh6r6vKGFw5zthgbJqxKNt+ -CDPpa0FuskG5ZfhagDnuaPWTJuERQvvMe9F10LFNSQH+H4zZXJPg1/AX9f/Ty7K9Jz81AnOQ6mY5 -Nj0gA26eJUAn2Pc/RUdpAu+L/M8z5dpVviYFylQ+3S6mtPvpRIeBPKnNIgvpC9PuRJTxYytGtYja -/vM4unoUyV+CF10UXovCO46s5G2Wmqn5FBT5d6DFVkytBv3qXWzXOKjKh7IoD0rnZHR+qKqJt50m -wYzg42jEVZAuWzTK/cXY0ROYT+UJMFbCK3/UGxnep/JolowAq6N4O0AcVFsUgWUwd6uRqack4TCS -0WsU+1vIQjDK0VPDNtuayE5hhRS2rAzaG78kU63G6FzhkoOEYhweLm77V1eCBZTQ4/qR4pDaD4vU -ZKwcsvAbdkWMP4WjBtD6PHY6A7peiBXZT9IzECCEnapMdfxacnMjdkTXx+0sIpuYOqMn6QuRQ88j -eCdQGJYDlT1w74wdHBtAS/l/SeTOFSApqhZd/VT/t5s0zKxnviQLpwbI5cZkua0o70Rs9bYbThH7 -L7BCwGQL0zUDk5Y79WQHCGnzezqa0x27R3s0lK9tp8pm3r/diyplzjZv/GpgHzVf5qwTi+nKKq3E -jvoNvExjvnN6xbjXsYG3buWhn3Gr1PmD8OQ58VqlX/vqwq84KMXSRbxVHDq8VC7n+r6jP2kJRNCu -zCWbdfnicd8dI4cm6wgmVybNCgIKsP8Aqabkx8bhl1MeMQjZr4/yUMbghKsNpOEUZJkjlEwV2d6R -bFEnKi6p9358ZoRmZB/cyfAxGAAS5JCQ6I/niIYD2zOgcoE2aBUZWkpSEz2XrByFVZNMxhVQSUeB -RbPtosXGbgzC/4fqbiXZFhzjceMKdXjxBIaCFtEE5wd7fidzfPUjr0tnbNM/+LSi0ikNw6jY3o4+ -VuvHgKGugsT7WBvyUYh4mbBTbyimuaGNXST/4nv8kGO9CF0hyhq390uXjcdB2kay4+zsdHwkcHgK -a+jwbMO9EqoRjws1jm5RtH5c3cdBukKHedM8kR1/ckjHho1zOkIB3E3oKwT2jMeNtN+6Gmdds0k0 -pM6EBJ9EHYt6+ej9p5GJJz49FW9sIodz/Z+AZL+XbDai65EuzRWJYFoBCwslcIl8Q0iyxYRSAFPU -Vu5WJUJ55I59xeAQ0F2SEAjMwVY+RBfeoAnE16kXaVWwRCvEk5IpzVVUpG/csn9MZ0Iw5D9J3mL7 -mPPVaRWkzoERE22Qq67rkH6Jw0CJBw9aNWlnCSzVQYN4WKp6Zb4Ybvu+8U0FmvuAV6mgSZTMFzhO -pGzmLL4nEQ4pls9HOd+S9wHNZUyp7Y0N0WRykvfipgZkK8reQXdNGqjYTftEAKCKQWjyQkPQEUhO -GnXTHLRH3vET+5wThvMlUuHlSkAjvZkw+MLQAKMxSAxupsb+cKONw6BbI8FP+90DaCzpkCRppwcY -2l104ABvr4t5XHhRIU82VMo/fT+MKvwuNaoQoSV08wf+uQ/S/LFQEnByn5gy5nmjSUx9Hy4vMmae -shYaFUQWT+9ld+ozLMSflnSyZYsMuGghExCSUgv3tTqKja9QApt5ynIGrW6RE2/SGEhRJefnKrK6 -YoVFj+bqxtJ1VwaDUFj7Nr3AGNcJKO9/kWFXzvaYzCEBuANfeE1/bhsjUrEhXUY3pG/0NMespBg4 -jR/kjcBd3xFBQOEl9UOY63zl7XHHL8BlGbK3sGhcsDz2lCkvOZvGSlEDhL3qO8dzUw17f82jGYFh -CNznrF/x+wPjxOoIlFd2h6yKozbNMJCPxCpje0d9HppSMJ1sGrsTNXo2G22lJeFM71Wcyhtb45mh -irVTH9VyJRfTddyUj6QwPFxW8UHD+ed2KpoluGvVPujoDvbmzJH7PG3gqgiymtRaQNqRLdqqP03B -V0GO/FYKx4/05Yv7OETk23SbQhsycinoC580hKjGe6/2T2FnUZWtqkyZViiIUMijrHJEX3lh+IHX -Eln26rKK37qByD/NEfsAd+g1JyMwPfR7ciMcjQkPTe41A4zFXhsnhSZexxE6A0Y9yR5YF3l9s+zL -EAP0ACqp3KTmqwIiPBAETRKy/0wLCB+gDxKky+eiPe1O30n/2b24OxLdkCPfSs8xMoLfpwK2dtD+ -wf6iNAIVL/9Mj5f/g9hLh7yU4uWja7dMNiAgS457f3uuLul84BRzZTDV8pg0pZHXrNIpSL72B9J+ -mXWg4o99SW5nOLuuRNTGc2A0HTbViDBuPzVtCYfrEWUtSB1jzKRAcFfRaXSwGu26ByO6DKpr/QKE -67gvCXPdYT7q/TRIXGgOpxzpWGSQ1rAk/NEtY5ewLLtrgt5Uyyivd9GimYUJihIt9ZRkWoP1QxSs -B2AcEaOhyxi2nTwq4tclOVtPmIDo/oD9K9UH17SSTj/N2qTzOT01OdR7/xQCsb8eEDMZK8/pdkJY -T/UDFyVszH7v1IpkbN7rJMWxGj7u12Oy7jkZPXbZk5WeAoD4HliF+5XdTUVUg+1cUTnTdoa8GoeR -JLCamyqydqk5xEnACeHjTaUjCH0z/sPk86yZwa9nTY16St73vCr4jQ+C2BEZ0RYTc2kr8LCAXIOJ -hSb0/s/8qz7InFiP8ApTgCvB7teAatapDUkdPGVgd3Z3KN7pL80Zu9zCpT1F51nyw0W7e3/tzO1D -7vKAXXK73XkxtE5YLc1ToQcoAnU4YULdhv63WtrQZ6+xagWzrvm1R2A16Ddg+WrhSWgKA56CEYgW -A4C313lMK3T7HQ2Ot7M/ue8BhGwRSIpda5gJBGvMO3DxMZC/W9F1TKHV218bxjvYcLq/WS7SlUt1 -FDZptupNerUp8w1sV1V1gv5k8s1UwHBVrInsefCjgbLDdCURo3IDzrbrQ1J2S4XtZKLyfbUXlm8b -1/wlZChCVxZgyNBnV0mKAU30MLsnVrmW4siUDnYbyi3L7T+hqXTQGSjX+D9gdg1DeqeHuaQsBEjL -G8DbSOsfdHGi/aULSZF0oEJ1Ywd0eGPm8gUSNKFMDcJk6+Aj/mHABTMrCSKNTdch2TcioSFz9OCd -n7Joo/NwF7ukyxW/dRahSRtGZ6+w4qp9h1D4kC9nfabANd+3f1u9/H0445N3wfTQkTNjeOpNdKkn -frpILl6olCUFEYK6FkHVEYdHyzmqBLNe8WcIK+JDj/8gvIlkp/H7OlNhIX7kkWzWB8BAu4ggJFMq -YPq9ZFeiw2A42pUapU4lpuqNuwMxfOPkv9W3O1+xrIflBeHezEN6UlKW5AJB/smS9OIF14r4q95O -gFrpDlVUwwhqfVylN1GpP1kTGKv9hv+EjrHuwbMY8xt+d4roZMPc+ZN1aN3AySQj6cyon/psTafX -h/h4t0BS+5Eb5dbCLINGSTv5fV49pZXBzuYmDmwQUBrFS1SAZ6lXmRa/QeahhSOJLdsH+e7WLw3y -9GFLYfkDtYEQCUDXJO92xGfD5rbn4zHh4SSW2feSEcNHUznBdLoHvX9681XW/QoHwohwvztZyHqC -8lRDQQLynuSiZX6Sk9kSLKKDZeRbzwAlndUZv67jgLilc9728jbfpAr6QZbyVRo0hlov+TUXNdFE -sr7doqB1M7oT98inateo6ouTNagNG/6U22GKa92GVAVXinLGPX7S75+sUmVbyPvP03dvNzfuTgjz -yrUfrhTr+Du17A6xOzkO3NFf16ygkRm6+KnIT/hmv2flGpHElG4UPZsybPF34Dt5TKpi6ZfRYgS4 -3k5bHy5Ae0qlEXXfhDzp7f6egCVzspEMmNEYCt8a3md7lUhhOwQ1f0r14cl7pSaXJ6l/MnBVzXPY -QGK5jhhBg3AysXznAhVjL3V8YmhO/zipLq1owyEEkQ7yQp0TiA7a0RiFy2zzqdcLm10C3pcmpggv -hdxwep1ufNCDfxWQW63tUz54JRnLzhkENRiV+8CiQWPseyZ4QvTrDBsGOsU6g4Eeqa8p8zHde5Dk -4azocxfjzR6mjA6ufa8uZ2DWY4jh0qLsL1amFuhnehYUsitxJ9Y9MHqFCrNQttRQVfyaUZkfcBfv -1fVVCIsDKd8p0YR05Sh4Nk/uxRASDQuAH5nZUvihHUp2WZFPwKXvpiuSt3zvOiXqEo3L1j5tULMc -wOpoBwrtBWzI6JgG1yKoZevBApDBScl01qXGXoj0A33RLqDxKe13W89qPVbc2qccQELvMJeSnpjd -D0aJjlXzSqgm2HrzKG25TlC58RJGS2e2RCU382nPVrnkHFDhZBR0qzVjmSSZxkC5gM/UXR6uC1Pp -HDLUvXU3RXNbhd9FRixjel+umTu7JU8LIfJYcU/WjjAJiRyibX2ROPEs6R8hlZEkUa4RtpEKoDJj -f5FDpYLN3YYcqIfr7qlqip0HqQbVFTLUJHm+rIcfkctgwIAnTNDvo4muRJlD+4tGMI7X78vnAaCP -RNUtrZoBFHiNcJ4Ih6POUR1+ZEXgidxZCBPddusy0YN2F8hVQCkIzEENBpvYqfpJk4AnECHzfbD+ -Loj669TmAdr/3RGDi7lXA6DsLGJhtc93fW89eAGYgUfR8YAr+avxC8C3Zt/IK/1hcSvGdCW9ZJgK -xDXM81igJMUfWOqDxF4ueZk4ZmUEHJO7LAXRxUu5YufMLWp8h2lEaMUC62r8dwGOMI03Fg3v1/HC -eqvsa6DFIc1j0QKP3jVPsnTftoh7SrCUPoyEHXw/oCJx9fEEyBSWFsunMeXxivle+adp1sy7kjAp -sLbGLnI92dnIs5NnLMeXbHnk+p02cTNo9DJbOIIW5e8oTTzQzjNtv0GMLe4f6hSxDCP+qADKBVjz -lH+qA29bXrr3uxJq90kBnb2MDLKaDsy9Z2kb00dHk3ebo+j7JpJoBLJiaLmOSzB495MlEJOz/wzj -TAv+L8BT6QlW8g4mbcKmWyhLhcvCJCrsIpzASMVgqBqrxQSNxsYe4DUMDEQk9HZLb0OBZdoK0gy9 -qoqZQxCTPDCb/6EcUKDgAUvzKLWYxJNLd4pUir6NP88sCpuSnvg/K4nm3SgqgrKLKt//4yz/465w -X0F1mZunRpg/xNuOamCth1XCJjkIMgyajF1T2QbEAqeCOTd4mO1ZmkUzapGBIo+1ABjbJbeR9R9m -ur1giIyZJGzcDGSQYKGuzf4Z3eXGV3RV+4jYaTjD+QjQZbDUimVny3KFoTe4lfLMxcTL0f+S7IMA -hhJPbmEW+GWRJWgVPoAFt3rThl8SLGk43KNUOkrlF91MXHjh1cxn3UI97iCt4oWjVvSXmc/g1+E5 -FifkUkfeCwDEqbZbNbwhaqbMzLFgw0Hw+luahFoMYCA5qnQmSEbk0LTBGxmUIvnjKpCIMtl9uW/B -W6rAgfBGBPjOAbEUaWAJjpA/1g02Ax/Ajj2oXE/kumEJ/Sx2CBo/DkeqVUq0gIyqfStlVkik7c5g -m+APjzmQNnzFI4Y92R8TXaX7Y1bMNC93JofOc77gdRat7ubqVKyMBJPKBkwlUZpCD/dAixqPFGcl -4n5bUtJG2GXFQlNRlWbjlbHJW3FU+Hc2hG6/Qq4PHhWtSeyl48PD9eEOInVNS4dedbancqW+DIeJ -x+v1uz16oSuvsrWIKe2cEANLfPjDSUHRhC8OvH5yYdsDJ2ssCpotsvr3rIXrgmAIokJr87709TwF -s2bTmXMhIHhEr2xBdVR7p5tiDs8O/KDibpHv5lZM4gWAENYYzdgDrRTnuMjqpZ7y3H4wKsP/8SSB -OY/ZBFrHeH82R++Q8+DU854BcbTgXDSKnhwQ7FTnmiN9J92h8pIRu0aOKGtZfVER+2ce3wJHsPYB -vN7b6zoKfk6wF/lLBCgNpWBFbexDfC8m8X92tXk5wImh0r7Wr9VTB27FaNc+Do/mXs3D+XKJmf5M -BiQ/e4YVctE2a5vlKH8f4zjWmMrCZvep876NyZZ5l4nT64zcbw+EMKMi3jx/zkWW/HC3LoZBQ/tq -CVC+usBLhNkwzvxenzEZj6buZ5RZeiSJc6H42B1xtX6wZmcYEtiK8ooNINoQAFgjzhykkP5JtDW0 -oekNPFum+Mg9/STVLwz3THz8hZkRgZZAn0XdhGsuLfOuChzwwzkQkRQZF6/XGuVrqzborhK5LQe9 -SnFPL8M4+o5RnGLfpZJXMBYN/m6Gqhu2vdEZzJHw7WCj6oRNQwaAwrqb6mZ+xYJGUEcuzSDLKvp9 -fSMqnIR6y9j90JN0/4P7BZeT1YosO0vrMTwGgIkPOfq1xIp6/p0m6Np2zf6ua9lxFPpP/BcVB1RY -1NPqULW4vzFc12svTjmLm+h0Ft4X1nUQRzB08s87oqSbGOuao7mvVSf2bRQAWs9B92BAKlpgEPXi -ia1QrFlsWGcW39EViUYM1pz6sph/dklL73qiFbzHZ6H5sKUdUv3eJFZCgfAyuC1+lwjC3ePU2Ijn -yXkugNb2OhWULBH64NIVyRotNfDBYffdBlvifzJWaSQ8e3pmYPAuI5WV3ymgosXXSaUXhzuM/3z7 -i+QnRRUn66GE4hVeRF0J51HpxTujz5/uo/SOqfC/p2LkNOioADI/SyJH6lgf+VHiM0WrQcYYxuXE -6GrLAZVSQ1NuluuHupM+DXd3jdmmWBKc+MZLmG43gjEGKKSrFWJDvgY6NQaK3z97w7JJojMUFQ8C -I7zjQX3yB2t+6e7saOtspOYYSJv27zsSFP27wsrInVksNqGG6aTwhauC96ksz+ffmbAsyIOcpDG9 -gK5V2YvMCIQ2pCqI5r4WEH7hhT5nQoQJEFb3JxabssX9B3jrZp6Nnsdikg74I5d+D3w2M3r9xt4n -69UiOItxUd32g/ENqf8yj670hc1snnN5bhUA9m/LFWCP0YonLYuPEgd7k+r8rMuOu4/VsWnb7a2I -kT4ckbky876AJU6NSfHEEJ6cTWN2FJDu4Ea0/oyytqUNyPkFZzUFReI6z00oaAq7RomitON5cbAg -XQHfiY3HiULPReev99rTRvjO6LQgM827Bd6Iv6cIjYCseTKhcSUl0Y8qC99Vw2gh95HkrgLfozNH -gxMGCMwJb/Gv//Dra2NrElc+FVqb2fmscmEe0GSKvFniOn3gFwWDTgdoMnLpTGEDA1TQMgas1xc/ -F5Lto5GAfo9ENrpYqqWOE4HsPxJJ3Szn+9oLTan/mTmB2eDngaXdho/sH35lxUN7ZTbQp+KPKEO2 -sgAYR/oHcuuejvM9mdV7WPH2CpZU8SiP7IPMkMCA0AUSOU+AbRpl8ebagwAYry4QfUZjWvoyOwjo -Qn0mf6pHdy9aWB7yJ2XrjBarLxwoXQyuA6GjLZA4f2p1FOW0E0+0mWyPnuFBBd9ITDbbe4fJTfus -NK2u38PCLjXBJPtvk0kmMkHn8mJnFPQxCkkDoa/DGqlAFG5l09qL4FD8x5uPy8ZFD1Re3VmvdOpC -T4yw+g4+p9HwzrrpKV8LylRGI+SFuA7MbVJQi0lVk+2WYZNsZwmaGDzzObAE1NlRcvQoPZcDe04k -FhB+ZL0R3ZCDrtJ001t4Gqn/vs8ODJ4ndGG47TmICCm/U2m0sHsrvUnwmDpO+oR4R6p8agkgC4hW -lY3uWrDA9VzeFaL5jKQFTocGCFJfzmqJNHBILIloXXrmJSRffMUDgNVpGxj2fFyRVK4/tDCuFsNY -guQDAu9hL+cE5h5hQPuYb6U/1zxqqVlUAt2jTiS9zFkvKeCU6rF6941+GBk2vwXE0iu2lJR/kWYg -m189Vj0hL5PI6dUsKhCZ5lqR+5QKJLrgJznLtNhg9bNWXlFgR0ctniHPJel/HuGMJNd8LgiRqJV/ -MddEmnfR5+dgR2f9tQUAayO4ZontcELPDhdkKIzXFoHL8e2v/DVnYynPfFx4Y5rbGgGV0HaucRK1 -t6wki2Sl92SSE99t5MEZUBOHwKqB2S+NozzNCieW4Cm8GMkU9jAJDqGyYhNtw2ZNO34Wh0EvBRuS -09cISPLgUWMlBwQC+Cj6ZR0Bo/NUJntZ7Pc68HopeoDw1Wx16qV+x/kUzj5SbYmSGoJhofPs1Ily -wXt8by5LbX68//Gxu0HCSIi+7gmNOPEImICnhu+t+FZdcTjHLwlIqgx7eQrwF4kn6glh615ADWz8 -u6h6vIcd4GU7ivW2+0AdLyRFRo1yU7aRnO8jezzsHb5Sf4QX5hyLcJGhmcls0ykchfJWOYLsefKr -WM1rqu/gKpSN6k/ojdlP2x55iLUzhPRI6IM2YYQD4Zcjhil1gvdt82ckKCMaF3oa6JqI44GgIIR4 -eR7IfSit/iz5MPIs89fQayAKnsWyOTh2r5z0MT/LQxG7vwabu1D8EzyiNie3BCRpeVQOVNgz71e7 -0Ips8RVQPamgDXm1IXEgrmqGwVpnUX73c6z2lSv8w9PHNZtSBT7y4XdbkRtAv36SQydUA6OhWH+7 -NupEl2YpH76447ZJ2TqUtldSfPhcOZ9qCmbbSz0AMRxij4sOjTe0s3l5ionXpeFZWyox8IxbyxJl -lTv0VvHuBEGuTyCDkGbfXhO8ia61nzXmn9erO0YtKbx5+YAjsMmilJPLSvP+GFVFG0F6Oo9G6/f2 -ApSHTmr4DezoTc4evA2xJsLzG75ipDhKifjNtM0L/+2DaS0rdnzYrMWOL0/wv0LYG7KNaWgumq49 -kT3q9uHIaFI1UL5MpVlwusPKBVa6QcPltEkjzykRr5WEFgi0RMFL+c4E0wYSFTQ2jgsU6/hrTz9F -Om0tlq7l97hrjTooQZoJ5slGkkXWsr3zUEBONuNTOF/R9lzDarQkGghfInKlReiDMgf25blQwHXt -qHFzb/lCML3y6I9TUDyCkyA7SrjP5dF1u0mwMeufhp0WiPyTCngbO6Ri0FGC5DvN+EHg5ipg/aqO -z+SsNx/X5BhPb3N+Mx3M1JjQQHNInzjzRqdSTvcze2ejJz3iqOu7aa1C0rQ6oC6eKQ2it24F2Y17 -JVnUUstmo0wty3rSu0oG4pO6v6iXq10s7jy9YJNTDr7+fiKEEolJ9Hkxkdn9inlIq4rBgWPYqim/ -Nq8ihJvurGeqt5Vu4xzKtHjuXW9aWFw9jIBCPn6d5VYea/TFzC8OdNr2/Gky+uJsAL491p0DJTc5 -QUkX29JfREb5Ssr7ez+Ry4NYaS67PMaCGOuEHklJyjitS1W9ecLCEM73YAHtlSTfnwULdLxrwgZI -1flJgndDRNxYpWgrJ59jCeljvP0gkWh15eBK85nnjeQuB5RBxO9Pm7PGOSmxDQl1QUF5pNJv8Uj+ -6ll2GYe9bzCaOQfGX8nT+ktEuSDYUBqSLUNYMWrNMNKXoM3AwqZAMSNI82/mgWtkycqPOjw0uOUG -Ue8oa1wQmjcZNc0lppoTqsapNq4Je8kIdMILNW8k1XwnMWshi0CarJIw/73U0OOef/kXzSkmy8dY -cuxFa7GBJFazlDoWU4/l37rzfbZhg4neQN+po/uJ/Cw8b5lFUxhMM3VTOsYFvxQc9W0BQVQKlvdD -uozL2pJATfDTfTB7V2E+S8t4/KAnntCTgXz1ZWNsX4MJWmg5HJes+mNVNlQCo4GJxKzgDh8LFeh1 -Gc4qcQwWmWyAu41xH8wNELFUOeqAz8BrcLU8fQbYDXHBuqh3dXq8rr9e+YcZNzuO1OwS0CSJlX5V -BrXyD1nmh0GHML/3MrNSAfHaLHtkl/YIQYs+ob0sKFygzmuFWH+tQZnpEVDCVBvyM6XAG/By2GUI -mcBkfk7NVmxKECQueQcC06sfCSlXnX1ohM8nP/zvgvW1kjGfj+MxylQsCwFA1bIEKuVcM580tpnn -FayrQDu3sy58Zr6Oaetkkq6Op/nqKmQ3TDjeVk7UXRXvvzwxRFeB5OMpXrvJkDoF4NG4j2s8MLSW -75Yl1m5hFKTYbx9u6wAXmM+YzF+kJSlao3CzqaRhk/Mk86/qSk3ITCME2krntk8ibHPWbYnXXmaO -jOnUtXZA7KlIPmo+qQT2k0RUM/EZ65qnhr2p9pDBxl2gcbn2nkc07Q3JjTZXgDj7ugRsPSp8taC2 -sDKgtfUnyOzHs/Q/z47ia2OX2G3PoF2NKHCWcTn3a/KCQAoRFXB6cFIWt/e0kr1iKzYX/efZGTwB -fk5F8EaBcX3j3kDs76WVhiyWjCyQaPD2m5kQQAUldrDRLNR2LL0wA0I3iTKigczyZmMbg5sYOcZf -u5gGUuEIpVOdRzEd5vFOGsGxmLGBOPuZtWV5ysIzXrEoHtbZXvywiXNpTrmhKvrbTMuY9rItRJ69 -50vfwzI0W+8yvGrri9yAFOp+inhiiSKrxMR4sn9EWFg4okiQ/+VPjwPk1Owc8QxUxirTj6vxIUVM -cL1PaTuybjbJfaoWAFgVCPQHh7esRQXJ/3EjSD1eEycc8G5yMq/I4gDsI4DMxOnNiuXE2fp37Ry/ -jRL3U+sXCss7Xxjn+dfu5p+W2VbhxC2cqVS6fB4IpngzTXYr98MItWYiR/1K6Z75ba9aEQy5dQXS -uih/i4zIAPGSYKl40MGVxq+I+SgF9dC9+8IXK4OpRR1GIwc/UtCVT4DywwN5XCAAJbwrG7WRY5m/ -/FaoC96vgaDYDGsMNhx64eiX+5rQ/jomBePdaht1cF+vYmfo7Ul3ctPeykC84HnvKsfj+9cW6KBN -NlgF4ijZaBL8wjQOX0wkvHms6wgTRz30Sz/fL9iYzOTwGJn7EWxqIO4OeWk1AQ6A9CHLXgNTNWom -Uyw0fEYVtKyXMBbwjKRRsQQSI410M078XEcg+SDoEVWZatl2ScGuc/lMbda09eQLn2xTP0CagoxH -zMlw6gJVrzb90DckOkLWvwU4/QSSLnImWW8E2j7czY21RTXjaMNcWsSK6cpgMBF+fQ5lzXQTz/xj -sZBPGSEkoRdQb0lLbdn8vQCS22ONA36eL1QecdcJ+jCDV1oxPqGo4scNtZG2ZyCXC2Qxu/KxZeiD -deGIsYSAgwZDmOt8xwWRfk53OzQHYPcy1RIAMTZe47FWSbkUsKLwhcoYW1LrEAYpNj7D9zhc1iao -JTMVobQ5ByOs8ArPw+86psyyMiO1b8dUZXj1tn5RJ18G+ObTXMoIPGtaGZ8HoN+mqUMLV09bgEPs -xHJa3nQ/S0RjF6FwP97uanUU78hLD7bvYSHp0cm2McY3G+k0tMxD/oZ9j3iUF9o7NWeWvLEL9d05 -kqk3afT5R9Z4sqwKsQNO95FrbdqKgksA/t+1ygkyIPO0/DHr6faJN5iw26rT4gJEGtY4+a3kqDvH -ebni1yAvqsizwkle8FU62doLM3e0iKiXg9QzOQbgt7LF0de1scvq95z2r4qBv660+qr8WWmMPAHW -/2fxvrN53EOnzOGaVWvKXVY/E+7yO9UwSmH3N9E0zJ5gKOwTjOqVPcVDUdY2TMitz7iqsZuVhcpw -hU/6OsmfxV5nyfqu6H/PBJrd4kBGFZK15FaXfmzM2mhA7bjpPbaFyIp/cmD3+sgAAHJCly+E9rEV -efAYliYcXwLy0Gd7t59Bq88J6g1d7H6teisC7ddBuom0LJ5Ex55AES7+fQO+JFCETozwSL2qKhda -lPgkm132HKybkFtgSxqdVAgQhu9pDleVdPibedWycb1Kt0uz+Q63cTsD4SCk7CMRIV4M7KK2f3d4 -Zb/zhtmFEnqmyUAWTJ7DznywAGedr95BCEAOEAPRVrY/2Sj/bTfMP/rtD5XvQeNdSwN4vGRlsH3N -+x0TSieuJjZsNLJgDD3iH1V8rJuIOi2hXGjM5vliSh/Q2eZnlW90GGBHllgnuLCAyLvfSAPnj3MO -Lr7tcDCaR3/9ZNPqoS1XyTrFPo9ssZE5AeC5npl0pcbPjAls7AzzeD7+zFjT+CKAOS5WpjBQoTHR -hMbL5cUurS3D1YHWYcLb5kB6TJBCkBcFsq0oLunM9kpZ0Y5o9hr3sdhDMdLwqvGmYKzPPX78lQ5h -5/ulzmKlg5jbaicw1RhKXAzQo2lJBxxWAB9E/WdwkAHkq4BJZKdJwQSsAdnZDWX2ke80UBfcOnrP -1KBy8m7H9tr+de6M+Ib1x8rcjm5I2b9frxqb+vQ+4scg0EQtq1fnkXv9tL2UagC3/r6JyihvCnUC -rLpu3beQzsE/c+D7+M7rpkPOac2qz7879NR75bbHIRmdsWhqBXPrR2C0e7c3/7YcddeWVKKClJV/ -ixpqKxzXZrAys/IcFuO2Y7pvP3hTxFE2G7arb+px0LIj4499OWEROIxMCODjkm3t2y5OjFciq9lS -abE6c5ePVuJAogUfhhHlvJ7kcdqjQISiw30CMhKwrouTp3RcgHm6G7T2Ce9mZmLgC+lWM/mnR9Gf -QLnBlpsoQItbjeG1WUyp/WoNpEZqK7N4MvjmyWVIF3LIyi1uvg/tGjooA02yoSb+EfUJvn+6arrm -HOBThG/RPWFojKJU0HmxMwLfBZ96PS6QrSVSlRNxtrzu/Fz31zXUjFjZhcl09ZN6+MZicZva1WZd -Rx0OGN5BwUNAt6m7HanaxFaq/4rlN4WJXJmtlhvImgi51UwMBtvJOUN9xAPQyQg01k7z+jTHZwGV -u+UCOwLaPeTkk37SWuftkuFANG2B+kDh+KOqry4xMLa9HXYrj2d/lrpaZVQzBj84+c5Fkob8h91V -EdbnpTrdy8kIkxU+AD6aU2OCHNyDCtUB/GH9Kea4DnRJxX5SXvhvGxnGbME53G8ovDyeU32wju1f -pJjISysOQP0WMlFiwt2Yb91A1FMxDoE25BbP7l65AgK1+r+aUCSPO3wzgjmVGISQrI9LnnGqpe81 -3gvmgXHRdsXWyUlby98zzaEYoLDcisrjiH3rrR6AD+UDppCFecPZTS1ijSPHWTDDPHAoHC3ddHU5 -8rWwJIwNhoDd/2OVXgOLsh3evLWJ1hABcMygpPn3QV5IggabK8K5G18acN+ujidIEemVIB2+ARRe -LBQa2svylTycA+XPqxjhItHnoWmwKQNLt4+JOHNnKwsCPfCpRXDvQzmD7XXy7Mfn1C/b3zbQse2h -5cT2aLjrzSec/nbVWxqeeTL+bIpHjTdHILjUruGnkWC2/Mn7dH4EDgIe9Nz37aCqhY9MOq1p7Tln -Rp04/GEcSTcMThMJDnM66j4887f81iqw+ySYtAEVWZTejgzdKFt8Rmd/Rv/RDeUxQb5ToROej8/u -xYLJpHSvoW+yesHpf39l7qm+I4HuYh/sTeiPS5rKj4DL0t2VXJ/65ZOdwfVozDxmNq21/wMOfRi+ -XlweIHwR1UsbMbAZ6Bgh4Gi07QStqfiV7U8VThiMQxJLEJ6PuwbI6PlSRZvd/HMCLH3jDbv7hvbe -Iig6M2YwbiWvyV1A0bBl2jVag1cpAArNnIcuYqZSFjLTRlltpFufLDxIv1BiGRNzunkTvd8c5Q+7 -EQL/e+e80jgNV+B5lEZT0q4pNhPEIEx+IJ2mis6NPigFIN1g1DH0m1oskwHxMhImVtMVY7lp+xd4 -RNpYHJ8RDLa5eWkwXsbAO+/OU9AWUtmYrnXJd4/BlXGtxgTdx6umgrXrQfGAiZoAb2dsiavSTQ87 -J/K8MNdS7WWmc/AovekyGXcwRHd6atJmpmP9bnhO6TOPXlpU+pl+FoDfTG7VVqU/cIgleT28nPeK -RvSJ4HLsqGCYIyywH+1V9aq4XTwwWcPqt6PUwXr+bGVIllhwL6S4ymXU36Hx3qY6xuVxqdNh3oBL -PZxUEZrxzqsWSCKsJlNa9/6L6Oxm40nT5ZattqYR9Gw1FM6GJ9BvhV7P958HZjjXcPzvxFm1s0uJ -m34gauGCKPGqFVWwwrdbx2oXbEaiIAaOfIquzvG/soevi2vaCXFCdo7nxwoPuVUz6zPPWgtWHK0B -Ki/QHb3fRLkpphDvXxJjrmRsAxUsTGGKLWb5MJTOveXWZvry+RiL8qY8jRbIRTLnfTjuUAREJ5z9 -zsSKyzERl86l/hMBGtL+RYJEoOMawMFf1h4YlXoZdcFUmoT6F8VRMu59aSSY5/+f6zA8dwDaxi5y -6ecrTCp7nvZmmI7FcipaLOjoZbFk+AL6MHWgCBwTZ0bk4ipctwOQ0U8LsewHZpRRqK4jtFJ2bdNK -3VwGVxZTLt1I+dDJcPfy6dAptVDWvPhQvz/yWyt1m3CA/2pLuMVZS1WvHEWTJeG7DulnherriPo3 -1EV5PpcEH8KCSZeT91x0/WuLVKpqWAV7+6YAN2ckpC4pl7lQN5TOWunKbctf+fuF3AioQ2Dg+6JS -J0YQLzey4/FnAvVeVZX3Lmh8tH0WP9hPkaoUcnLb68GEDuDDgh3Y5rSGc09HLa6Qo0Z/4MtL8wnL -ZALygnHZPzF2Cg/1WsXb+oPSzxo9yHc7Z99SdrnP8Scoprh/lRXMVP0jSY3nZQl5XjNKx1O2o1gN -bx7MZDizXGeu+RAjByrAuMcTTfJiQlOuFB4cLUdFUHGe+yggBq6vtUq8ezFVehjttbWGpZqZjg8U -3SUPakJNIRNTLg4vHEJaBiJezc2D9InIzt+TFphkiyphKtyOaRl59PnY2bOnN9j2UQeh4faAR242 -aWKi1Ho0IsdR6A/MOB6oO0P3ewYUverEBbgKgCaymAQFKE+rnHFEpHKmBho2R+jnAPNsQFiNhQ0f -J5+TvBfwc2NZuOiKxPQGDkU22yKBQ6LMDX8xlomSsQewIoLyY9SAyLugtYftG09rKoFNq6AtnNvQ -IQWF7JpnVQeu1zrsLIw/oHQHShHWLFF+dpODag5RCfrMwYqHxmdiyHzYMIoHI5hJ1u6x1hDnyNaO -Rq5b5KRciWYSsnpeRZK6rC5KTANO7EL8aGJKSAX4M5htYrdmwmOejfb5rVlHr45uL2htVAwDeHgv -IML94qe6Xz1ZLjVmti6MiB44Sr/daZ5v2tbRhZWLHEkYqQimUoUmmBaXmys3s93NWjgyh4qKaMFx -iComlJntBf0YP9+1Qqv/LzFGoiC0AEc3RHbbfhD1x1glvBekBjWs899LAwYioc8oMfp2bVXY/QAS -K17wVhgnXMehsqaNRYfg1ms9C+t1T2FXc4yVdSsJAb3DumNOFUdS26L2vhzA5vwfyk4qh8jEylFK -PaTx1XVxf7LS5DCtKZZqlGjsoUIjzMIknz8cT9M1BjwXFXs5AHyseVPLMtegWKkGV+3dMUD5wyex -S9ltIbnsh0scHRbf2mWSHUT3uY/MZD+qBhfp9U3t4eHVi/4/WDcJDvRpFWGbLd96DNQdkxwJrsT+ -H8dQxAK9gRqQnInNSeNlbEanRkUBRz7Z17UgBh3LY9lkttLXRgSQ/sokndSNxv2pfxgn0erRUMdI -NmG8ZDY5wgJEBDCd4LDHv5RmlpIwvT6tLCXcgUQ37118c6+S6PSDwpLj9zEa7kec5MqZAU/09N3W -OwfH3baKY3zZjk5cyvIEfVQ07HE07QtAK464EcIfjo8Uj6S1l3xCwNscV8JbrXZAc9hrHjJap75C -uUYLGrI9YTAv/m3hhskPqeo2sHC34YIL2AXV7CWlZZdGeIsscTFv3SelqZSJUL3wP0th0/w9HMFp -3pOFtVAbMDlRJninDITBv2kdqI/D3HNi6Z4oBbiH2R8/f2lmhPvfRAd/Rat3JyoXoHp4Zs62XCCG -Fm0ziOUFiNyG5SmbdQ3/5ZcI1F3QBaFP/Aa0I3U1XzU+jzoz+0CfpJrMN57V+M4PuEbcszTVS1HL -UOMiSMJF5ggGb6QIRgI1wIUU0Gz4ksoVl7oeAs/roh+997rMjEi1u+JTVrModwENIX4j0xZW1eAp -Uk6ImSPExDbK4pkHwplaivbp+lQ01aL/6wGsTTvRbuvHnpJMJ9Zc0oOrUuTWYnuk5ay+pcrV39pc -DoSUwuhYJ0Ne2Cq3ykIrioYRS+eQ6yd1il8P88JEOwV4sPS+uKk2+jhG0hHC5asR2Msvmo/yueV3 -W1xjCKKNBp/Cifh/ZhDnXbVuxOYJHe96UZJ1RVqGhezFxKzYysJOqQFJ5PUVL+zomt1gofcmet2Z -GBMOljMPupxVnM4kidHuqpLHOz3ZNOOADV3mpa4zMAlVkpjo57poiY70nsO8KC5Cuxq9oJUNBy15 -OSPLe+vSbVyTkUHzwdU+i78l4jns/+bYovzF0DoMEzGQaJJbavW+5Gam7RnN4PfVJEXN09B1DAI6 -ETEEVFLHN+SdKCMPxTjru0A84z7GADS8Y5QFqLRWWo7vKZWvRwkeP9FKeX6ATF5owR5VLGgg0VJ5 -UU9+MFJiw7HjLqJu6O8wVxHVRGEaUfciN+xPzzUq3VBgRcZnFcc5JJ0ihd+8bq0QUgt0AnM0tSO/ -iu1nIfNSjP7er9pA8e4/si061i4mYbNoaLZP5JaXYKQ/QcYHU1y4LNKBgUi/HkNUqHgo10gn8e/c -LBO0O+dMzAwZVSoGwvU4V2ofkC3SG3fWfGX8zWbuQMFThwHZobY2Se9K7J2gtEFyRda0LhYFji0t -NK0O7SJhz5EIrofYbNm+oqEOzM9LC+vV2ONpErbbLAsWttoq7YYsLYIR28cj3qBhJfx0Ou6F8mto -HTUlYFvu4VfrGPwW0Z6zMb72KSdoZnD9k6VCARhgsuotrurZuxLalVL/2hbxpT/j7BmAf1hMk0IY -yYg2wc1lFjWgPO2NAnRzI94n3SzW97YJU2E04PM3jHuTMrYfE1sHU2bs0v41Yamx/AZKFkKAnwAQ -wP830pM3F+TtfvSLe7NikCdKAHHvyYaPNERnyAjFuce6daHtdb9JBgwkToAOrYsUKKfiZB5kECAV -TpIxEV3/YDM9mSQg0eMbHug/eYwMlxSnE+wVY/owzLciyqD/BAnwdyNiopBP+TxOLYQ6WPBoIXu1 -r5vjl2+skXANJfFlXGzWKJTQOBSwR1FYNQmjooPUtaRdTaW9sO4jle5LRDyQU3WhqQds6qWu9je3 -CmBgBFCoOuW59/xDYJI2rtyI4gMZiBGaThry6M+l4zMjkJigr7GdycvehE7zGrMIdK1bvPMpXcUP -YpD/c4W+0Jpmo6IX3xG8T4QN2oo8ch2U8OurFtsaAqb+dWCIEpSSQbaBN719aJewwlh5wspIO13J -E0QqLhlI9A9/TMsPv/9Oy2kCyufTqrqpFjc+SmS+zyJ501Y2kMElPVf+h2uGmjuaT1Unr+Gwe6qk -JpFerLQkHodertqj0gZzUWAMdxL+6l1taM+G5pAhZ0LK7U9fHcAXEvyqTTShhPbZ2gIfKxFMcAgH -Bkn0Tstg3szCAeyWYiEjBl0XGI2jiNKSYXYuK5Qx5UmtKWEIyF8BxpFcLaAUR7SqPRrMf+Jq9tum -xiDSLyE3NHDOTfeI9EIcrYcu0OcKz9fBdckCeAiOO3Q7iaQqIjqK/qbQa+li5BxLukWJZkDV2962 -BNf1JhhcRHNnoySdh7dO1RZQfy/rsYlNHHeaR0u7LHPlwyolGjzPMdIlhyv+IxHD0bbvBBblQikO -vxOwAYdOQY6Tz1DRhW/DCMkTbkZ8BYMFPkXNtDPXL0LT2+Vj6BxEFulUOIvugYVR+YdrUqQDBwP2 -b2OiZQoRbEzmrO16MkFikUp7KllzO2r6U1e/+wmhNWcTPm1kbCwi4qVtIgYFhPZjXGBze1E+nzi1 -q1iY2sPOd2aIhaykoOHwICYlmK8+zM11QaC9R8dDKfFztEeOkzabbZ13uvRlCOVDfH8D4Q0oeAhX -S2Xam73HNEXJz56ebaBnTjTKumEK6sDexZabSZ7///R1j+5IcTfaeBndH2Jc9gfZnvJY5s+BkCXR -hdCwScEIemnKOfPvBw1Q86kWmBIpWxTCxMNSM1tj6YJ9wwcg1xMNs3BvxQrBeLuNOOYvWyp4M28w -mudx/naD2idsfaIUtefTCg5Sv5YCUliGi48UqqI8NMKFJweKGezzDI3p3k+DCuI1S1mEQZfjWblZ -uSBXG71+1cSAHFUWIfG595KKh4Qj4+MYtWtasdD6eMn0HEY1xoKktxhb8FQ48JnhJZeWcwhEMw7q -OfLSy03+AUzdCQ1pnopmJszqCVMkJEv9P0WJTMYnNgzSk5L4Qbg6TxJBHi6HpPrHHlZeyQMatsEL -4Y3fGbMtDQDC3IJuiYcsRNv7MixVhAumSgUrMW16zj+n1pPQgwYWKl9xoTyN7gt6fez1v9Vjq6WX -uneOrEqm7EOCYKaWpvGx+UGp7i3rJRcVmFkmSxG2B5IJS+A+U9gZ6LEAf2XVg9W0rNCXStL0+mrz -88BoMG6DsYs6es1tRUt2VuAo0Dz2azU+jLKmcCzftTyquwGkmyp05XQerdAmtdNfiPGZfGJHNPCZ -lILbI7WngCnIqIhjRIIbBsCiMO1JTmjz4rCaBO5dZm+sqsGOnQOq/myrzTEU13mgdcNqX0fW/jZ5 -g8X+89nyDY2wTdZeuQF9wrRUl8hiICj6CqMeJuacar1L8QWPrIWMi92HoTB95qBKfMSjt4wn3VQ7 -wfhABcHBEt3b4Wqg3y1VU9Y3yx67DsviKm+YlV505OgMFNY2whT2PX9yQUP0Ol50Sc9zbaq5om+B -FV2ZLf537iS+ajYxuNfUjuRUH6K2zvtqHAMUWeA6MGqQa2SLSGzK96p8ivrPLT7U7d488ENOexO/ -RTcHLLaKEPzTs5OQTEPYG2LwTVU/YcUs9wO9lXRaxCcg2jMNMjCY7U0CUHM0IJrTr9Szu/VQOnfF -Bd4+cFKJ86li6jR/0EA+ifuuf70y95g8cJfvOh2/7egdMh0/0lfo1t61EEW4x/fvHaJoPT3YC5Q/ -/iQgCtaMdTPlg44sT3khcvVL2F5yR+u/Ee409kcXc35r1Y6lR2uf9ZGfX1xr/V2MpYNjtCGh+VA/ -ilCSfQy5lEYXb3GekMqhUiGMFOT3g8ep+aQYEGKj5bES9/VlxHqPuqyKCG40MnrBwo7vpYJtBP2d -TZF8HeimM/4WmoJBpUPzWT3DJxk3Fq6kxXRcTxN2g8H8Tx1DvL4212JLM9zbqZGhv01erZDnauSS -VQF7Nz+qaWnyAOo8FiIst74aU56Jbz1pq+6pj0z5jHqv3AZKMLudvoV415+D+QpxbQxHaXPfXlrJ -JRg+Rs0lleDh3NfpIBGzsu9r9DFasEnR12RYcl2wo9IeYfFIw7Qc4WH7+GBzf1mJr1/6ZYnZGer4 -lz7T2CCD/jRgXu4KFWQCTwTSzmxeWSgzwsEguCq8lv9u53VStvX6wk4yJgMdFXFhni0ixnJaFzld -qNoOp1MES8KVA7nmKVIfALr6m6N7rKXq7qA519pc7up+7zZeVUpUsGJ8Kec4fm0QQ2YoG984WpEf -sDn6RWaT2nikKEYFrAeNRw5ZKFjJGFJuEwggLYxbJIbBQWfJ85ys40v22whhVMm8KrpWDwdmqRNv -spXuUhEaGDTXXSpjB4Vgxx4LSWiyS4oRkMfWcRaGU8ayD4djOqM2zJnvqx+O1kNTAFAXKRNJ6vQg -X7IKqsWDN8FdxTTMKMHMSSPAST7pfskO6HlpYeuQAsej4eaZdy9/DxUoEgMt0GYCbT+ZuDSZG3If -no+o7I/MVBMw/AIdddzs0mEkskALjX0+BEkGmEzsmPit6gPoZ/E+cfKlru2yGeIYcJveY8L9Czcc -iiq78isEbnhY1qj5cIoOAo7Elfb1Ym4tovlTzny7p5zzGj2Zlb6MFeTBGRI40p0xbWAV8QC6M1Mu -FhozpxGM1bIhAE8XoqxY6r9VnYFdLViXK2bCs+6BCTOLnor2+j3z/JS4QjBuZPt5iQDQE0t1uw4W -Mo9fhA6Patq/C4xFqQ5dlQ32F1tLA6l9qTEmacia2SrX5iizWO61aPEDUxSaUw44Hn4I9QICTn87 -uF4x6ljOvmbPsk6gUr623hBYerF8DrwSqUbQKUoIZS8ZcX5ooYV0npkfQQwMC+dwj3whD8bBTQg0 -24X7AwkoeUDIAWpa7lh8ZZ7QyLTDmXDsrynXO9x+TriGQe0NIbzbmymRDu69RiH5Ri6XxQCtt/yL -KtmdTJAVO4eQEsLwPwB6UxhVvTRWGtPrqnuGm5SXrzO7qe4eHla5dV9P42piX18oBova6tJO+WVf -OJWBH//3dhONC+eImd3OYmeYCXVw6Y9iLz4NksiBx1CPnyo5G+mVni+PEt9nA/hEUTIhCoi3dNBM -RQ0NqHI/6DMStz7/6xlWuCVzhF3bXVLmKMaS4+1cYW0iH8avpMN+NiAlUaEj0AmANH/0G1QWKIOd -M4zxjrCQWjDlUH6TmtrDk5SpSpyQfc1StPQZ79CiWtJQyOYybthErd9Ii8hlLfspmiksIryUi7QJ -Bxp7xWuHxlPvfE3wVKdE2c0+sGTOVkJUDxLE/5jBx+6Sotx4PkaARzVLP74wf31wleDY5GZYre1H -or9rA07L2qRhDOTILajsSYIotXNy9kbkANti4XMPZwH9VIWy8+E/qGLRD6s4bR6ciV5Vwc/gf9D8 -oQP27JFx+f/oEMRXVw8tFvfZ3egOsoV8ywB2Y5aNhnZdHnRiYLQfqKMIT0SS72ijHS0ZuqpsKSgp -f/plpqOFn8Y0HXnyZJgnndzEYqWdFwANdQ0k5A4xN5sG5tQDVT0aB4rU/YrxM4BGHJIYGILIzguq -ZlZpgCNyZ13zjQ22PqVxNp7pFlPbcQKjoT/RpehZwYivL7Dltz9uJe14nBsFQEpAg4lGbitOKpC5 -EieLn5H0vcirzuem+h0gn1QkLXX2SD3mhKZnOpBZXGskeCZ+rPUg8ykV5jsvtowcvFBhbiL7ewEe -l/mPLHser5b9tCwAQCjOpcBiYaZJAy099VbANaNAlMF4+/3tWrJwvV/1KM8e7EtuXOrPJkmR/wa9 -gR3v0xtCGSPYVWYrDuk74Rajn/nGFbQcrlkBK7z61XI8Jyozw6XAUts04YKN0ttwkqvJrgJHJcd9 -FOYgCDzWg9L56wqskrDVj+JceDcZ1O4+i5HmyUXaC1teHqD2rxl34rVQDRuG29qBirfyKnLql/Ok -1jephVeZWWvOo0EFOIvHoB6LKDoG5UKWwN6YuHIfhSEh4E8xoknDT3R0uA3dLKxuCzyqVTewD5Ml -/OgykiVCuuKnQ8e5D+C42o+7hnzsWrMiTSRgeYDD7X6DPqiSy+rANY5x3sga4YHJz1hc21vqX92E -KjW0ZFgP990NPALbGyBeduYFLb9UADXBR3j177wDatktW+bakWhV5m54OT3cIKlRxa7hKBwvxv8I -Oupfs9VJRC2nkwdCr/L73hBp5KSOOf3D6RlYIG/cFfwiSYqkEqODwWiFEQ/FaVYfjWPZE5V5pqRl -6yAYQM/rVHX8yCEbz3g2Ls2qUC3kMVJQjU+lYtRjgXEe+HrxMKzWeyJgsGBmKEOoJIVBEwjUfGOH -83pr2qEtOhHanmf9cXhWbtr2AzHR6gVnlnlNNjsElzAgYto2KzfJonF4O5WCMbIf+lq4SfQcDkJq -J30CBVnTvAMfM/xdLpuBU90aZxxy5fHfDnMnkdCkyhGyAfBi3/rhblB6M+DJoAR+gwbSY4kfWn9k -KGwXxzfbEYnV473Vzl/jiWZVkSQSHdsiOaADu9r+FO/gQC3pNmPUD5iZhm2axdCXgOSOXrVH+Q7D -R6QxM4eq1mk0TL/yCekF/qqrolDbpHdLI06ijak4u04PM9e4x7JCPxdiQpwpb3CvTQ1e1FW6K3GW -C6+lF61K4HivF14diN4Bq28dCdXADj5ciKPRTQQGUdSVcxczUdhgHROev3MYlLSVjyyy0QQr6ywB -klRNMqdDCzkZO1wQiTrDXJOFwBI/ZOCJL/kfQTtQSFn08AhJhpRUo6wWk5RR2ShuCmQ3qp8OxY8Z -u810K4SU2frhcH0UB/S/oqYCuhVEq6qCfxpMKDqdUtBDNlXONS+xp2kRNtt3b9oxE6NqWUq2YtJM -HVCGFALp7mfSEtl2XGq++zMhwzGEkR2IXIXL3eEwJe0aPzqekI6eudhO0ieShQpT32BgOGWfVMcF -+CCdXDBEqIAynd3AhxrA6vY/WAhdFhRpK5hECMbXKwCIhFmebXCNCEP7AtzXY7UISTwSf2ALsA6y -QLvZ2P9p2CMKGa2l0+gnbcCGHNDKRxrgTkhkF0JApSXrFBkcqY4QZFmIKwwrEYgG0T1BFfz3AQgS -lzvpMKN+vT+yM4HVQVpQVuqNez/Xzd1xxrBp2z22LRy3THg8XAuY65dvDtHffJ8obLqAP3HfDD71 -aohQluV4S8pqp5W9NbS/G9CEZLU3KpKl18u/0QHMJWRfNBiWTjnrjOzCr5+QLUVK2wQpfACOfHMj -jx7wQpQMKqhQGfRtIXF/ixsXaBy+cnEs0NHH+6G7m20IIiy66Hx/oztDjVFOzy0dI293c5JdWSZk -RFRxQOR4QaJeMRFgA5gbHlAhQ3KHrxgQy4UfN2Bg0BK9WCTsqx2Of+0KP4vt2fCEe986LJFy5y2J -o+m3REh2OuQLGhQaTYEglmeVtQVW3QB1H31QvjpHatzczCQRboQEC/ndfE2Bge0qGFQlNa11lg1S -nkA5sRf0fZPxB5pEgBHRsEsvPn7ghv2zw6b56GDQ9aSweL8/fWMaGGui6wnEf8LR9MPt5A8OOiXK -pPBQ+DJsz7MpocxI3biloBXJF8V6MWO/if4U6GuLq+rJDWwUVrNvoCaoa4qXR7qq24IeiKRH481S -4AA0UwwssO8z5pm2EVxJBVey5B36Scun5u5/BcUG+Ifh5yCKQ6DzSqqsrpL7kY+aMnAuUyNAmVvR -cQMHvCoRL4pnWjnIwcA7jf4xxZ7oLhSO5XpUH9wBkB5NcSyn8qnwaSFje51K8bdj4aGwGVA/u14B -l9Imu02da5jEkquqOcMBeFmZM9j1/JA5ZYEo8MTpFMWi33jaxKtrBEpI52SAix5EIyu9gJslfvPh -bfecP9PUKB1ED8OcpHpsl7H5oLxzKxhzoUx5JiDVxNykhda+wNCOtVABxlnKIGeevXK6Gshl977F -aGziyB4xnWYzLEjss1iBHrWeysUn9FT5zfW0JPfpsJyJHcI3DvUc+7YoI5Ggus4DXas8plsVNkXd -J35t/FSkTAMEpE6A0Boat3dJuYs8vyR8je3CarnEAE259zZ6+5s9UfJAm7u2zYJNAhHx941Tn9bj -9qlrgMA4Cvu4UY4I9DZ67gbOyIOyBpKrU4Iv7mtBewQ6AFrFcIJyDIF2yi6IiFsbsPPgaOPTV7Pn -hch7zIWeJCpHXxSCEhIeBBCvAv1IiqbQKBHtnQw6IcSvPPgA32yxvwdvsnmfuAwK64jaWJD2Xq/1 -G+QZf01UcrT6p6se0/cJw8lX+3RKwAYMoJwjIYXvxi/CvfGhHOhzgN72ouoHMX3QXMePiqYUx0Ls -wlJk4NdQE2xTPyVaDtPHeiKCWBEbaYwdtGlVKt0HiZTYtcFr45UkBeqKkleBYJ9YS/n422vtwzAg -szCwTnG8mJtqDzCOUuO1P3wYBtuont0G56RSJhM1BlIX0AQRvL0rHtqYQIamF7lqQQgHY9NNs1Q2 -KgzCLc5UXzr9rQwGM6O+2GuvgXrwt3Ona2+HtSeYxNSNz6EwLF5QaaVHd6HPxUeHnv1gLvBsVCBz -7E8F3GKw7aR2Z5tE+ER0ZZo6Fdm40JnC9YsQQM+Qwms7Eace06Gan78qGhgi2pe827O70uDHrTK8 -7PUrIxOjAOYFYm+qLhArGwtBOlfYr2eCymZ7BvcXJn4NwQ23038A0JABRo9O7gZulzE4il6bXhqK -Ap6DjT0Fr2kA0Ypn8N2HG5PxwrRyJUUKndFetm7Jajbr4f/I/cYphJ05IaPaFLTdSLWGtQPAHWaZ -/BrPNc8Urf9e9oPJERHeM5WV5PpbUq/s1z2GKaKhPV82n3PEkTbyGdF5MB6oIdFpf/LV5Ym1RxWV -orxKZ7c9WcBUT0Iwof1clbC+j4DG7tccamjZPEWgkZHGgQDFmWDALFUd6eXjVHvuIVlCw4MRYHCi -PAK81DuQsPhSnJsqDuCCHTptCPIQiGWurV9Y+Um59OBNwKGiJeUDW0KVcAbDtKWv0QN+eaIRpd9j -03UZ5y0xgXedF9e9q7KsY5ANPV248VrR5OGLc1t/uijnTgPq5JH7rOIkmOBiyoJzeudabVUqDREH -xfgg4Lv5ndpJkwRhlsy6LTdddZ9PunpC1Ri8ZFBx0HSnLnXyLugd0U1z82hJRONLoImE9K4wHDQ9 -agL9i62EZEFdMitiZtoWFSkuq58WfE1nquCCKTdHena2OvSFN4qX2/Et/WUPbUFfKtHU/xWrmJ8V -WM7plisEt8OQarWHhWo5w1dBLLSlneooFm+MmewOgJy0wjTnKXQqMiH+j+4bE8GPEGszEDufA/mv -8++98Y6pjCQ6ZclPsrBLCEQpPIxexsSTflSgvM1bvgVE57QJAeT61wDUmu1flu49dQX+3ygwsnvA -k4xdJOMLeWlXC1zKAIXiNtmIoq+yupeKTD9O7UGK6XeMaH25bQKfYcsGPM9EtNrPfWmG3y5njOVF -Vk+YbKni1pqu2a8gq1HBPxAae4h7Q/yEJEffZgWK/4PZqUAZNQM+oGeTaok4HdrY1ZoJtdYkzMdh -xuovSmkI11tlUh7xhTHiWe5mU4jtLjWhLEewY0TYz3hxYHxiOTdyfq8PNVyzoVAPKTkNjUpjauB6 -MnSIwqL63maItzwejIhmv5IvzO5dIlwSIWLXjXc8/ZclXxk0d/a/ckMsYRnG9GzNgS838Xn2wBY3 -y4YCNLMvA4YGkz/On/UVBM8F6OigajDk1KSDeU81Ge7cdRGgh3wjK0K8YDuTbMjtPEcubhZqi8CD -idxznuscjnv0pVf6zRJ1PDE2Fxmf/4ELrigdwv/VyELTomgXLvBlFAz2BOn0NulLr3z7GMWjARCI -zTi8z9ApFiJhJA6KcsypqMQCVwmQq2N6NEMz6lJxQ8OBq5oRHDdDw3Sf5YSq1LuHBr9H2rrkeNn/ -lkRSpPmbb+FTvGyaLBSMYyeyA7QVcIaei7B8CGzIsOe/5Ey0RmWkAt5WLNXwUNyy1KAFGEffTH+l -tvXfPihWXSdZ9kAWzujka79E1K8ZpAr/jW/15UYpWSrBq8XVH2DnIUzNYZvQnzCGZ34CXb5FQxBK -BJy50efGbsSaYd+/1tOJxLLjLuBBdlR4VD+h/O36m17S2mp8qwrdzWGH37B0QIccdYOr9sz53puW -4avC18COfeBuInpUC1jrdPQT43QQtHBAMqHRlYExjVS6KSsi4aNKgpkygJoMBmIzgqu2i3FU8Ezj -Udx5ILnMe7mKWEZ9xZxcFkYlWq1qajZnL8Rk8wnqX0V4/KiHKi1GATuaDBxDMK7CciuEpeAV7vwd -KK/Q3DcKa/g0HqzLBYpW0T2tDgK6MDV4xWiBh6a/gWm3b8GGX+09QiX6l/JOCIZRl7DVch0BU/zA -hg5Fc1E0OcTnHVelBSG/V1Oiu9zfIoAbSUbe0U7zkjSJCamXqtUHXbpKg0Ss52ak2tcgXbSq38WC -1x7z99WnMrtuVrC+QBlze61iwu+LEsxwURGBJLxAb88W/y42wMLiu20LTg9/zA2/JCOergVTol1G -tUnGBqBAZuPYXds3tTTdRc+nPj+he7ATk3DMinMwhde5+S19e0EXpLALtGxFjk4mPKzR2edNBnYU -MvAcx+9G7t7CIv+cBfPbSzv3zAgHijF4w/8wk1lCFtHrDyqn88wWmMXKzXIjM00oXrRnU5HR5vsd -iy3NwnoXy9eEQPZeEmx2UH3jxM4t0qRG8DfKgzUeGeUXGDQLB2I8SwYRxN/XLqzKEZuFtiAg0E3p -ceyQRZpPJaSXteqE7XUsmIGQWi6H0FRbG3q0m4H0H4HrUDLy5079lIWOej3DpTpg4NMiFzo39iUl -O6wG2nLj6RlGKX6hNinRy8qtqU/JBbJFZjpU8nl9cH+ccXbXbe5yENbJW9MVwPO5/3oranRMTx4B -lSbsu5Itypa8hizQE7p+htlZoJrNcaIb64dpjC+8bmus6HeXgXbH9nf5J9UNPB52oGrkB+0oorLw -hXtCJoZbM1AgrVwO738hFoqHWQsqxYNo8GAf6HVqioo4RA/z9Ymas9DCeYwSXSSGIRhEzbed2axQ -QRn9Ge1kRqxZt19TQZk4HLsjgSOJDQ5neGMja8vANn6DzohNN6LPQroL8vBwp7iKbON+9M0VHUFg -DG/aH4MvvImpRlZ5jxjkOk0KvFRn1GbXhK0n2tByUYGD4JUNG984FtSSvHKCSYv/chj8EU+MR6QJ -1dliQacjGS2Q0AI6K3o89jGKQmNsraxGQek7MoQfjWzpSx0t5gJkCt7/05otPnU2XqZjmCAcWS7N -j9e0SxFD7W0a0XT6PVBJ/c3IB1hEhr75MB7Qb3ouzol/eiZMVH0AI7DNYOOyaPhGIpqK/QCTPqkt -nF3vo34eCiGlWTQarPva8UyMgfSXTdC10t1Z9LwM25bSPf5OczRl1ymdyaO1kFF193r8mAkQP9CC -EZbomFKbbZcHwxwi6LuiaVWo1PYJESkYaz5tucbt39sN63TA65z+jsB7RdcYzNzLOZUA5DkKJF2Y -ky6PpQEsZ2wXc+GZ5YKIQxQrGU9EM33KZaPsRzTucPBAGgRvFBbId43wFWxP0XXD9I1Wjva5JQjn -NwNuZFPSosXM3qHU8OWkaUIFre2Uh/R/GeWxYjAXGmXQJBDD0l+6K9FYRGdL7L8Ns3uVgPMPZ0d0 -NUj+LBl30UBzvS40kMdeVb7cEsMa9zgYSCTVIHAhEqhIhBexOyYF5cxMFRrfK4Edpx3YSNGuYCp2 -PrDo4w0U+caJRl7KJYuacg7TsUcA9RCnGBCNSSkkXSBoxYX6RtsrscGR+ZoyU0IIVMw5/9K0zd3e -G0VHMYuHnsT3dV3BhcNuVRFeNcF7XGLhMVuU66OgkZmHXphF36pQEcfniV/gB8p8kuUEyKwgrlCK -LunFhBjYEYi7DyFSCL5kDNGAq8dWQwV6dJWBOkILMh4O+xj/EZlVpueitW2nafkdeTXeY5zV6xfg -X9DgSpA2N3waeU1vvWAv8O5hqwZvnZjfsSI3rWXOJw+7qoSmXICM5tjpXselH00yYWnWhcHih9zo -tVjlxfhSw8L4fQj6pf4CL0almyVbWPDDTJD0CfACCrFzEX4YcRzLZbfbrT945ZMLh+wsRt+XJX5f -QpXdf7h01ynFIMvpwykoBNfUkS1OWIi7lm2kYD4X0pGMNWG0Clud+nBFK9JJHSnPwSm3NA0aMnG+ -6Nj8UxVU7Uqr56n/2KIibhhAn69nccmE0rGcPWHetDkwlL+iA6mwJrkeQulEng5p3bv+/EBGgLia -KGJRLMlJuscF4HLZooOsnCWztLYotvni0PnMJo0BuFGtWrxLn3wv3gfqFSf3gUEAJabK/Ln9NOYb -QJGkcpr/NxTKO0z9VBgxOLP13F7TBJqBXUSa5l1yVa+ZwmZQxxmzxYj4Aujbv9vn+JNqoQxk4DrW -keFhBBaJbxQEo1qvQ/eOtda66srKTtBdjJmV7e9kqr+oEea7KVdlJjniTDXPLxQaTGHfCpcGv3Il -koPX9oRpvj71HfbZRSDnlkH0emfWdq4EYlUryXD/A25sGhTia3nimqMo65qUmCteublf7VM1XrPe -FF/P8BHjfK1D/0p+wAzsHwLrj1WnvEzZ4qjw+YSvtvaNSjeE8wQW4ViedvIzHjEtbS8WNF0EbQM0 -k4CuCx+6L89Bwu4TlSya/9G/6HBdAoHJDQMkKgHYzlwAfnbMJUQkp/qFyE5mlgy5A6stOWMSnnzv -oZiQIQNBvY6TNDGw978vxIK4HKig8fEOYx6JyXOvAqUVtMkn3V4+uKb4/Oamxp7PMItlcFkBctlY -BmZjl9CkWfOZ7Bb55baiw3ANCd7Zl3GmiSTsUyDDJq8vgROoUyZbS5Mr6Lj01UM53L+v2vss3MD1 -us1SrryH+8H1mgf2hYKt6nNZELinMof9hawTtdOtIzhPCvEWE7ePyzkTRbYwL+0tKQ32O6GxfRPK -C7+o2oPb2V2g/EttlNUyrlu2bJD/3eoRdIoOQckbNsqRWu4FIXUcoO3oUdEdbSqoI5BCnDwUPzsh -jk/9eQkB8sNqzB+/Wyl19tBnS2s1T50is8ckWdHwGyB0gWRuQzD8EQ5sxtwakeT3I2FRgeF6CZuO -DFvneRAt4YbTTanx9aUn8ll5vDsrwYryCLgF2+CDbiCXcCs5b1PuYOyQs74XtczQR2VuDaVn3g6y -9VncfJo5YfHIqssjxM1cPVJhK61p33y1KDQuxHCTiP6RQdj9V5l5JIPE9NJ/V/9NPv9HWOynttfY -ut1NYAfLwMeCQn1bvyWpvBnhhkGG+Kcl2iE8A7UUGPOk8s6AC+wiHcdEhiymfkd0DHfQzs6j8nQ3 -VgUdcfZbFHDW47MQ5Ee79fdUkggOWwGj3f7wx0lDCnbtJOcJr81O0rMGpNwSC2PUy6oZm6TsfMdZ -VqgYqi0tyHvYwfD/wW26ryPAUBCLtrQD5KUnJbMXcYwNfZzhDLD+H5/XOANSKGZ6OIIX5Iuu/3J4 -9K3AaUcSoBcvK75KuiqDHrywoD1InUoyJbMr0F43ckxwhajKuAYqB8WJcPpZhYCHO7nTxMORLoqJ -kawuyrRXsrstKT2MkLsX8GVMrfEY3cMm1c34iNgTxT1KOcTNiium8da+sM2XGipc1mZ/vY0S0fPW -EPq1C8+gpiV21FaFM7UpxcpWqpiTbyh07HK5ha5syeOHkrM8iyIFhPjwWxDNWA0Gfftu4xpjwGmN -RL1pAaXtYlalc4BbuCWtTKZIfVNgSptT5nD+g/fm5hQuRLxjxRS7Z9bb32DEse9qmKZ/Ebodj28m -WaIP1tT3yxfRJbz2ydXq1yYKDoU7/qw1UXw4RdiQhHZsTYEmKVjyqomCbE77O3dxGSPxaJhV9HGq -ZlodP2xrcfjgz9lV8abV6cLnDYGk+aeECzdizPoTKbztnK+R60HIqdle/hlwjR0+U7/2qFX01lpR -eInAJFnAS6Rbat3l4OmA1lv2ee3OsNCBx6v1gGYSCP4koD8rkz4pte8y2GaK2kncLQWAXbr98PFl -p5zxFxMXPCb3+d+smCwwWE5uTpjIeeLdgOD3bm7MobknnS2mPnvWDmRhGta02Kd8yToyZXFogVhF -6Yf/HqilQlayyNscQkwxVxCEzp5rhHPH1BJaR3r65JpCpV7lyU3dlBy4joza/+ChtJ5iMwIrHxEy -ykhferPC9KZFO/hQgrsDaf5TQdhbIo8s/FWuZyzEWbTYk9Hzlxz93zuCwW5D64bG59vTMtsmf65d -sdUHwHCStdCFR6iXnGFCoas8qRGh298Z4Kq1HkLc4qii4/Yi4o+Rl37zLplmRIg1pi3c8UWKQAbf -vBuX5Fv5FXF/Cd9SnedOSfgCsmxfT+zG47Uz8kUoJF9LmUq8R6feJb+EFWTLUfLr1chxmphpGuv2 -1Q0WD9zhHeYexcqNl2UwbRoWl8ZEdW30TNqFoq/BqwcgIyPQxHv/QFMdRKUAcO7FWkFglw8ILIVx -2527yVGU0aykLDhpd49qykTFDtvt8Yf5g+YJAPs6pvqhLdyBvadIV0Y69KqEooMIUAj59ZqfJPWm -P10pPrYNoN6AIfUEr0FBdYlRBOD0lBxj4PlsbjRljt5U51tlBYx3z2MoV3ymZRWQoubFAF+dbxHa -5yuDXEfyeGSPlg6rkX6XY+6hSolSfDaxxkZbPPI1iMIg54jzlkxw5ZPb5FqQ/7JmLo41EOgiIi1Y -U/R/Kpr9ugbrqg4FFhDwPo0LLkljCPi2WoW4ms6fGfLQ4gw7YGGNEOuR5MU8nUh4dNoieFNdCrbu -gboKqf0Yt19dmRVCwit2hO0k01KEMqMvTdYG79Lmm0GfsK3TJCU5izGa91InoU428K6pFJzHi8ny -L+AmgxqfrXdAKozIFWrH+tbOrW56t0NVxJhqglGZgMgI2BGSob5uoildp97Zq5dShRapvK257knn -4jhpb+wq7iI6XrrgYdeAQ48nIkniCRjmvnLin1squRomzjuApLhKZAdPsbk8DOix/x0AeWr6gbQt -px5b5LoRUAujjYdQmHc2/eSgnAwc256yqmIDs+bgCXB9iRKFtKnFqMsRqq2GT0+gmPcaimGH1jY2 -OVEfYYJJG2oKOEor5GtsmYDcR3U4bxLccmqN8B4J14+7LMXBcM4ew2Y5QZbfLV/U92JXN7LXUrqU -lD5M8zrxrIfWto+hKs2cSbJcOBADorEoNsGg68YvZYUZB4JIDMO4TcehbzT5zhMU9mIUD+IEQIfJ -wMFZZFqPeD2Xgg1NCSpL72WfGJYgt71I9JibnW1Ek9z00hozl/m7xWqZZjktyLXJjMCrO7hPLgJb -WGpJPlXQPLzKns/oD3EK0J/KNrD1hMFBfWbm1lya82aA8fm+U7/detUce1T07v+vebTO9WZDY1i7 -QpJ6cwl1QYroNu9WwwbEaQKxqwPyPoHonByqIq4j/S0TYd6nQeMPieIoT2hpva79O7avdgX15MOk -z1+sh2v2RT7eYo318CIK28xs/YsJkwtKQHD7mwUgdLZlBbSrMHs7yVbAeHOP0quosE4fujJq0Zgs -F9mT6A1mB2JpKwEZdd1SkcSv6dK6aG57/UBL/ixCeV4kyDKEMW2XyTHjdVKiVW2XRZ0lfB2WAMi0 -QFocw89XsoVj86RX+TTN46lffKV5F8uLpjuzSqMDNudEoyUUEQ7OeL1KzOlLd4T2Rkbs1A5kWPmD -E6LY7deB4QBC5xnx/9jfzAGy1gaqKy0CzPAx9tOYY46/9MbvlDjFBXLnMV+B9sf54Pm61k6ni9Wa -whb7QIzOHCVNJXQC+dEsTi2bcx6CVLgdPFnXcpH89sq7C8iM8MKpN6h1TGvRYS+XQsChtq4aqijI -z7O+/OVqT8sPP3io4/L7CMGzwJQQFzMMpSlzDt0F39PEr6BZjpbxLw1gFaWSCHxCSOKNLQvfK36k -0xQ77IYIXeuh19FFhsA2JOKoGAtgO2ondObE5i0v/nHh/XptbLRspwg90k1ecMHdB/58BM6WTVDC -icgM/nsWWKzl8BiaNEuDMFSfU6BEnBSvHJgxyq/2vnuLCESR9CyYPj/6dpzQ+mprSZH1KOcGu4T+ -6GMc8lR5afvFInnk8LFZ1qJcB8GI3Jth265u9eVqT5DkUq3sh7M7RVtp5jIsR9+FIZUIZ2w3bIHS -RXTv1WyOorHHAgYQCxsbFE9fnDU1v1X0lMIElhpOlv3GJ5A5pOS74w+1+lzjfHAZlgwOO2inxojz -TzvsJBxaDsCcTA1CbM2fiHnaQx3u9OF62eXikYsCd1zGBf02DkC2tOEjytudQ1p9sz/XeplfQVto -uGAjS218bW8ctG1nlL9LbyOLAla/5/agBMCrEw174+GMeBzRz7U1lekSSoma0cWfvEiB0izHp9Jc -3LTLZSbhzfGO9WQ/qsF6EgPq8mhP8TH03i5Kab7u6P0IP3HOV6ny9byUH9lvt1G8q1Nwwe3afK9K -kmoQ69o03tsafltJkmmto9drwKDVTKoMiC0YVgwkqx2hruX2qzD17NOH1Mt2JuMCLiDGH4S5Nebx -2Pvlmek+heDK1aOFiatXrWGzgmsYaaAWRwrWeMHHc0Ws43iBQ1gkgSjY4h8647YmFVhENMwIHxUw -fP57SUzcl9I7FqoRh1VS1Vt3j3zdI2drtroBijjd7Hx5EcCCRAeUvf4yCs8G8rfX913d8FEuw8ob -178Tk+NOi63uLSCIxHmurmIHFpI3oYDvkOGSeo1GOtx4JsBpa9yAiKnkmmedNT77uiSQ8XfuMCxo -bHYJf9Lv93XdHNMjz/wuHfhag0vX9vrxvj+6GkMc9DGXicPFPxUMr4gOrkGaNJ3mWdCSaFIi19+D -DIJt++lTfx5Oq2PjDMX0fTSNYhwzx2OVE6QImHaB1AiPs2Lp4XMRSPyOBCVud5A5mM9wCGKRtwKT -t7aSFtkjcZJfwjBQSH+wo4xOrBPnoO9zummPMiLTNGuQRN+BHw4YCHbYZKDntfW7m/ldaLLGqqWi -xZrhig/8X38c91gkomzb7OH9EZ4mGrOjuGZsGK/foq0y8SzG/uW7ziytuC02seY8MJIsGGSZ/iML -V7IUJFCsbCiTOOlr0myt4Yv/WfmgIov0H8+3C0d/TyI+tpRpGcza1/9KzN3nWnghaE2oSNahTLpj -V58Ut1QkShluVC7nfR21TWoSPAVnhxIG+tMCxE1N9owinUTFeALlfpk3wl+T3emUCwPdn297M+Cv -Mq/rf+BosvoreThV9z/D7wfCMalu37rZJxrv1L9w8j5A/X/NPfI+N9l9rl7e/7hV77b/3GxpR8y2 -I0D0kkagaZ9XP9STb6auwLKdXzg/WdSgQ0LPAW03uqxdU9GxSFl0qqXMOsjMUxGLif6kskM2+59J -yoEXzKX8TtvA9EIJHUoqW2z7kxEwE7X6FXUjImcoBeqlkfpreir7dIXzFUowrD6T/srBHVooWySU -8WnIXP+xpuSTtJbkMvQnzvIJeaZZK70QcLsR4ydR0UH61nD934zOnHElLMbk/wxYkDIhjLn8r+ur -HIfMtLMznkVAS95xpKpHYH+5z9qEDFdTbsmM4VR4bYVA6qL93PtlsO7mymq3EQvj4qT+F3HhEnR3 -zfeSQSLGRCUL/1abxddFxIpHJO2MA9N9ki1tz+YIpCQQxbhoBWLJktryW/GBguNloAjyZaWrVFnS -HudSfNn0YrnqqfGLPZCcut0HM97kZV1qlhQdljCKFY966Fl2A9RvwyY6LgZvocDDapJYbO0+IJTx -qi+EX2dsW12yunJALaK6qgz5wPrKwar1EAs3PL1JI/SDuwqnlMdGg+MxcwCaJtik9GzGw+DnfjaV -Fpvu2iuymz1jYFmX1juXWX7P76sP2mg5Terll8B9NOABFuX4b2Bwv3u0C6x1r+LofMofiiKsqUcx -wdfH0kYUQnkB8XGqsmw4O17cROhkQxrjfZnvOjXbtmUCpVmMz3bLo1wGusJ46WAPT/PvY2GXzmaw -vMD3IKdZZeeSSMU2fDmTL56Y6k3y08FmkoqJ16SzaGbmYlcsCftc1aw2OENGPX7NtkVdMER/9jph -HEL6Q/oBxykhphqBdrtclAeHnsa0aTdQoqZqZj+ApNw02fsDx93RvdBxJaguQkiewLY+prKnUEGV -m0s8y4UycxEjsAR1C3h8WdugZDLwDpm6/FCF2N9HjY07DakS7tx8CMK8yKGQQoGGwDJ/P+W484lp -ywkrrjxs4Nkoa/z2mfa4+fwViJIk4M/oY3CrZkSelZlPGFyb91bSPwa2DfRkQ6blh/UaTTfnhbD3 -6KFIgMSZPDEhLP7d10dYyFC3eBJhimoW7rwWNHgFOEVtEkPpk8rs93XreOVPH4MDPwXFbUiZdfpA -UnAX6eoPj3rKaBn5D4vMHxR+aINM/jCkn13x6zy5jVHY4YOKElhKVGVwHUrO6RTQ+4UUip4aFZ7r -yFw0b5tL/eVkaCUa7a7cwA8yZhuTOFj0A59AC21lCi90w+Ncpsi+71liXWMTmHKuv8C12xfmwdGV -tNt/BXN/CMyKrrhUmHmjZcMePBqAK5zLNR+Wf770Dv4s2cexUg89eXMqiDsaAUMdOA+MjOSHCsya -fKfvTMOOiWTRzv01gpHYgYsyTdeyeiwXjjhRAO0Wbjn7x7HfEmDYJGwE7tWg4eb5nOoYKWcscNhy -XAE45GkYDn9h5KxDN5nhxaXU9YVLv45MDsweWogW72t3SCa2Pd/NG+QA1sSAkDMJQrwiEt0P7341 -oQ21DSOPwQqZ9XiQREpaH/THnveA2IlbmT38bMdQbiU0zzEfaWiHZVaw5qCo8Y2O5/d/wdqLo6TJ -Et61lGkZx0PgvywOXWVQdKChscarauuxSp8jd0Bq910goKlkMNtN06sDE4wAEtEXOTlObeCdWvw+ -yHrswq/x72jQKhIdszmwlGfTsWEa7Y2u2FxU3nti+oqt1gjOoqiqaNCquVySCOCceUYNRvcyGEC5 -07os/zCg74qSbOZdru+nBW5fgwXIk7+ykZXwzl71NLwbrTs/tHkWAzN+Cx14hSaXwNooTcguaNF1 -VwHg752mHhWOawm6VZ8U12LTbkXk2hkRe1vui3Xv00Nh7zf/e7Owr+zuHKdmY+MFyWaHH1wHC7DE -hggyI2nTkT0FmMKXTOgNAAxGJPPhwmjt8CW6Wvrdbcz5vdbnIWXCc8bf+tyAxt83cLxexu74F4/I -EB9Wgy+YZQUZoRnsVfxNH4HVNvruFjAaVTzxh8vaOlLhDnaP1Ejk/73v/HFNljSi+mWqHw6jH+un -c+eg3NE0YwYwdk4Y/oJ+UY2UA7QlxYPIlHiLo+NFTTOzvjVudVAivpKzr85gDjTU9IMbh6oOsART -ahQajYJX9YPDkw7hg6eYJwMY7aHKtQcwEq/sWGGrsf+H0qbJEVE2ORoxIIw8bPeQwfiqfpLfQBFd -grdbqyZUtavfYgTh4EcrXM0F1DpUtj+O9SZLxjM+K7w/sp4zkNaC1q/C7GmhHjPg1pMo5QRdOkrT -o7dLEjCEJcz+qyYdrw0ovjIhRpmCmwPZo9mhe74fhSJa4PkGvYaU7r67r2U8saOfzFtEq0IMjfZa -o95ZibRxUY+gIRaIVhSpdHSkstlxAs8HZNHEUvZGayXmtY8e/bxUPV/yADJyCI1eRchuGIbORmIQ -fV8wNwheRyo6q4dfJWkCrtGSohb/fdnnwduX1HQNKtOniUQd8dERhaVUZwJBr019V3XjNRE30tco -wWM2/OY+UeMb0nQqsHfWhbHCFVDEjZXVX016zEv6+FKc5ymsW1cnxTY0538nSmrxxOybRzNoTXb9 -ezY4QtTU8+bAxmFCCBt2oj2UzuOrmilHtIaf/OUdl7xeLZnqZKJfrEI2pB4DyXOmrxEGcWNXoqos -JjEAT6yUCF/uUhxFrnuC5emGn9o6AHYAl1UqrsMnQV812GlMHUe5ccWXaQU0resCc8UoLqmfYwxa -A73yvJ3udHtXn1Hlz1+8yAoSWhAUtZ2f0OgnKI4R7k4A1K2B9NymDwAA3+XPFVQu39D6ShMlYSls -kVDghmLA8juANKZJOcpZG37VzWQydAyRIj1h+l1wvlZI1JReX8qwHXik/I2Vs0T8q+ivdpKt56lF -G9WVLFZxk+8G6HCpWN9zy6S3QYejUFWQdVciP4dIiJhRkVyDZl/LzAHnAwDdiKul8FeoduM+ZCrQ -YIhDFVNVNZVCFmFRX0ahJmGJG23Cp7xgTpEq+pTHIUMvQHNciVn8Hamq6BCc9b/4NhVT6ktm7Tmf -IfDDLuefw1IzCDBLgwhgc3AgmiVByN/qLNUsQLxUrinbeyjzDFvn+846Auq03cdR3mOKasDz9/Th -VFSdLaI9JmP+2ypJkqshkEDglzIjNxNvz0/2xyFUfBIbGEaNVdFHkeyyaqjoXcoSrG2/PhettEgj -YXSfrMu1F0yo0Ig9YUcLO3sSFDUVfY7fzF6j8wwPSBH4Lk6ECgdEBFFOwbvfCdebfqvj35JrhYQa -DWzpxzy/4CC8LxAsVRzMKEbx8U0kbE1oMn3J/qKy151fF33O3yU3NysHrxM+oc233g3AdrP0MPPz -EngIWbvHoO70ayDbsoQL4xuqJvueWV3XYlNO3YCdMjYfpecYi+TYzUzBLCZR1gJJk+Qv4u/4E0q5 -F4vRXfOm23aVy29JLG+VoAi8QMfH83aNM5ia5MciOk53vt8u4BrzgyZwJrqypgcEpkKIbIb5HZN+ -j6ekfJ2GlLdfI6sFddc2UPTy3vJQPVWTBR0Zo4M44v3nZ74tQo2VTkZHM5K0r2HvTH+Y0t8HdnlW -4MJyfwFItXzIS7IEI80xyaQC2jWPGunRs/8OT9WLPeosrEGKLGYbsqaA7Det7PQqcT4+KIRlBTII -ORTYnZA+qG8p/vGDjZ2Yo9DMlxNPJZ1dECjN/NW1nXOmRmvAAivKpFavWnCACWqlfPSfjXYfdjCT -HcA6/6aaSpCpIF3h2fULnk8rce+zNvFCizhXr6JIeO7mxOgygxPbqh2ASIl9tvEk0wQVvqTWXl5h -VV/kJo+ATBPa7gr1qfxUVq7Gbmy+0wfmb6yPuuGXTHL65I0KL2VLen0RDZw33wOC+cbhCsfrPpcF -7s2JYhIxJ/tDD/NvN/nrmFC/7c8Rgg4WGVNoHJ7CVyarvj5soaWEUF7qIxbZC8l1wHkSAm4q+L6Q -JXmiV7cs9zwZphGtLy1nD88vwBvq1QJsuyci9kNLgGhMAsCecTjrX+80Oz2u6nkiJ7B4cW1UTiTb -i4xR52AqrD4lkK8pcY+/dfbWaEe8QGkxbay2xsCj94isw732jCTxh2QE20qaE9AlWGLSflfj133g -9iecRO4WcroJ3Y0I4B2x5K+YicB5YK+WQD888Igiox+9jpJyrHSOaFBWnmgGpGHeBbICofCJDKx7 -w6LWUPaw4kZBppLylVGnSGmKo54FlJFe/qNRMeSye+4nnKmd1nPDVeSQL8OUhD8BrzyFaqLMD34d -nMY/Jzr2eROJ9ymiuEcIfFrg3Kot71fqvuV+nYXZ33VvLl4MvYvE2QNmil10FBrielsWhI/IX9xw -pWaQnmygMnq6hCHvUc78LJ+9cvU7o93jVB81H3Br0PZxmBlEZrqtn1Tyn/3YlC4y26u09gllblF7 -w9EctNZPgP6iDzQJcwBY8V4MN1Rj0iQZwU6YKZFo0Yy75isGwXHzE5Z2Wjwypuc50evQIDR3k3se -3vCOBoo0ezPSA5iiap9ahgjsPEJzJ7va0x3bcKoNOMsDfKlLNY6U7E3ckoB4qiYTYE93YfD07h59 -mbQ3mtRvyW69X6n4MahzT/ly6EbnPTGrXdc8xATBa0ei17Fs1Ozm8+FCOUpfM0j9uycMzTRdCgeY -oFO6m31wc+WzwPjsK2dlW2i2GvXCKonDg37RHvyyKvqa92GmqEQWzwgguHZDKZ5r9Vou1g7oP1xb -ICJXxp9zvKWwqPM/6pAW9G/f9dmNGaGu16UzK7bMOXscUJ6GHclU8/s39Em2Fw+6WT3V+2fOjWNO -4OvrlkZND51Ian0yF45HpUd9t/FhgkGFb4GrMLxc9C6SnTsmm3M0LlTbJLfYxJcYpPu9C/xW9wVf -sn8vMTQu6GhFZAVEZ3R+Hk2Rr/Neq3IYrW0oq3JNDbGCuLzS8L/fvWN6W1ZCreYEkSq4+oHSGLU3 -5XYSYE7oNV1tSWpAw26K9TTQdpHS+qgqA08XesXKDy44J+nys2XX/4MaLxALrPQ3VnGZIe6C+0uF -QJAwb08zCul3/hiVOwcFDYNSb1dFzCJVZbZjjRHOfjVaTq0hOot6Ztwq/UlNjJlGyCRc4fSKK2AW -QbKJE1ddrVIDTpSO/JkzsX52qCBLqENBRSrQwRzjMXC40VOUssBEetf4g82CcEfW0UVDyZV/DmIB -8wKqhaeSZVx1O7A9cXZIDDJsyg/+/iEuJUJ0HvhPcZQ4TZ9Vk3m6EaZ0zPIfaBrKG0PgNtR8v22N -Xkf1UBDdahhiCHoMQpimD/WqmQOhr8KBaam0to07fJmyBxiBuWx1hOO8xUK7TFajov8CZroa0gOo -3wKTnPCqHYlcaoBryg3rylsG25gNU1hkv6V2TUYRZmOCSlfccGhlbiq5JNSmztBjr3+4Y3yLXNk7 -b+9z0pPxBenfY86RUtDW1BX3HNIX5gQUqN/QNLkBnTZagIxogC2ueLpygpxBa6HI/bniyCEYaTA1 -QtVt0+qa4TbWqGsT4GznGyCy9L+hc80LG8ueVJfoNrvzZ5WqgNDNsnOWFayYy3c5j2x3U07sS0jv -w6V3ttS2UGQK2iKNO0wnFxuzLwAHzSZHQ2Vrij6dY1+aB8DQVp6volGXOQ6uMq6kbSlJIcYQYOpx -9PMFWisVkdj1jxo9/JP2SmRjqYigEqJ3Je1o8F0IZqaLGmMP7yEyZ7u8D3eHXCj9vVoHdpgL6alz -327+8ske3NsU4UQjDhoui2Mkdiy4leJuaJvKaaV8jfVNarFJqvjoEjlxonakWX9RXwCpWn+F7/Xs -0TcF9ZMS2PJTz0WMnfU3fe2QXdnQuNKdNujduFJ12tTKBN5oHAZewQndkDjP4A2elhq57p/BfoQ0 -ByLZDC/Sl4PXUO4CdinIyWgMA9KHt4PqY4hmwJqqlUCTb7cr8VEitzZnxKxjXGxwcMDLN3UC909T -iNsfbJG19QVP2UakdNC/nQMRHACiDnO0kiz//umWnx6DQpzEC0syrEMF4QJRb9MHyHi9wwaK81jM -qpNfCugqykiyOzTN8mranMhIwD6yD/u26NUeaFB3Ts6rt2hYARFIuWajd/QVVssFZKi3jROGSb6U -k6fY/Gch8mip2HT/7VH1Dxz2DTsP0xeeSOA5ErvCGA1E+go+7+ZUXfXVMWWjChmlcwtbJKm8RhwQ -5IwGOyooq7zeHyErOL4WMZGxZV8Xx244C+4BuS1ehbvszl9Kc4Y0/UpcXKoBc0X8HOyh8FYm9EDw -t+Y3qsmnElQnk2pEZD74jwWETIGarhxYghjl+FzcgtLlFKVY/vKWji9kLqQIee7j9grUc+DSpSMT -PAROMriwSwIPdCb6W843RR3Y8ORpQvVGFOcn73mqGSvadCkCDuqmvlaFgrba4BrzQaxEPp9Oqk7B -XvNFFqpT3YlKPx1Y84GzlZJI7NKPyMUL7a3KrT4R7Xn2oFj10G16il5+E1lzWISdFB9rX6xkloAc -DtmQAblAtVQEjso1f/M8o941f4Z5KbFuAuKuY6PngfJbfqzWtBlGH0anTfOfc/xFM8fbyI8njr7O -Bp47dxbaYPzcglvm9OiH2w8UqLJaCbjj9eLrtMdY64gsN/FpgCD4UJu9AjHHY8EtjYBZ6UPEc8sk -rHX8yfLx2wR6vQNI3lyHK3bb75g1G9ZKzJaMtqwgXqYfWMhiEccAsiCLZZL4zmmUURqxbU5Pc9Lo -3JYdKIMqccFc8Mw6gXVyevnjNcr6oXZX4B0Yqhxzo/AN4eUneoBHgEB8gz/EGlNDbg4KDhJig2c1 -7HPUZDDjpnkEnPx9bthUsQ8xuaNXnd8OGuIhhJ162b6ndvnCQdmycmx3jXg7o3JLCN3JrfMGgWhO -H1jwxUA6FVyTRJTeb+IzO0VachQmWegujnTwhj47POGotCy/DgnQz0DnMxVsrj6/MczoQPL+eKAN -fv/tXJErMOp54bWpJwD818Q/pLVmQlL2CI1j8Ma+cR056RTvGkWkEOzH+dZ4xS8dIv4pa3hlWMNP -cvdsJmjvXbOoHg8E2+utnWoD227iN63u9fcL7CcTcuONYHkvftXs4cJUjboz24hszjqpKKDAhUMb -EINhh7NY6b0tPLKwHNXaTVNLBT+fKGvw754hFQ1kT66+IAHrYNVnMsu/h/UBiuFoUUpNfQmUuoGO -SNmrX+ETBHvJN7TGU6x+MFGN/OYfHPXkYtBiaUUpUdzBeTRIKVygUit8uX2jFQ3tP+0iVpPIqpaM -qMukFv6UnXFI/sd0JmhrkEUUkLL5S471DxzhY2tKusHBy6WUb1Ub9WGxVK2eb7EmHKRHlftAc4aX -sBtY1ARaT52UNIDfQbA1Zv0mKaVtR+VrC6YhsDlAIRIGQpPjUNNhac2wr9cA5MGzXKvr2OWgXWlp -NBaxKViqvl+GkFCuVmY76JiPCG8gpM7M9dPE9pBFv8gW+o/jSzHdS+Yx4P7vmM6mY/NX1nxkpwAD -g2Jlk6IlBcQGFAsZd8bAArnrSJ1lW1J7AR4/cD6OD6sqdj68k2Z0qSHLkagUf0mbl2w10UOKmo0O -RW6hkUifT4IjRyHG3qSZlcf4RIaP/jBLdCyo/sBVwJ1Is2cZVQwoTIOdIgAfMOO9k2e4+O4LLICM -3PbXZ+kMVCibgCEStVBUZG9OLYuVeeph9xDi1Dc8b18k4bs+j0JPyGK3C+kKi+DDcGQJWYxqdkU8 -wuCRlENnTQ8IQFVZ8t3xyAn/7AJTwYIkyYroYb6odRV6kd1NP/oFuFP21tdKbOfz/IfWzBl2LjUY -JGIkcfxj46r6/AZcoeym5K+Vr/PUNCvsClMY9UmZ3tj+WUsAw9jmd0MPmhqGmaEUhR6zWxKmzXrD -Ss7/jCNyk+BzJVNyBJVH08Or3Q1ZPG10WLLwy1XgaaFzqy4HQwHBBQaGwAFHZYX8aswkA1JlzJjQ -BAzSlSWxCZxMlRaPAsQmGbJhUhPe3dQWDIrmb3PYXxgcLUsWtBn4Ca+JfRPwyj5evu0+g8gaFbse -4z7PzVGDTeNptGjquD6S4fI2ZarpDB0Y/AJtmNpY+pNaL9b9gwzWrupBlgxv/ZzTmF1lLTLRJUZS -Br60sek/5GTZOCSXJT7CrGn0ih5HZsQCDkYF9oK+R73fRxlVXDONRx+/HQzcyuUU2gGztK021cvk -P2UFPFHj8oIgx3e1wDIvoWja9yuig33D3pVFrIUOpu8WsfWM/ty59oqeEj7WvSR+LAI1tpRg1mA8 -BecyrHTVsvis4sT2FTThV2Jr5MMqPOrGKXEDPXePFPangZJ0n3nOGv7BJOVsYw0ZMa9TbsS45InM -EZXWBea4vy2Yv10W74lHqrdmbNDSfxYbaNYIZ67QyuxccmrKSNCYe7ANblwv0xHqut4rIPTn8dwm -TUf5pxOxiFe92+yqJ2jRxWEt/LrwPZh7ALgRY/6RFMUCNaxJ2nZ0PKHJthon6Rpn+lSpYGKnZ2r8 -dGw4Alslg4mvWbBrkCia+5/tJctY16+bRYthQ7TfvPspiFr/fVr+E7PQ9RVktb754CfqX8oLGWXU -uG9hxED3hQ8Kkzb53OLXL/SpzpK+7HNX7Eb3fLSgih6c3+Tbi42+qxKLmK3uTIYZ7lCd/NXt4qm3 -QyosK0/VGJivbFhB3LrQ/GY+YL0CP4EmFae1rIwJrJth9yYzm8b5nhI7qMXccxdw+IKeDr6F7vhk -17nnakTd9olx9OoMMTL29OQhS4sZtF9BxMyQYrXWdS6lh6Aram1KX17Zq1wdYfrJ2Cq0E363VTu4 -X5b1bSoQbS62aFE856okLQ8WFlwNQ+GV57fWnZreQ4rlWCSFATw7JzThcRN18rtmDhQLuWnqAihq -Zz/QgyGTS9rDYVHP8duweOjqzfkPR7lCcMUD/WuXhenivGxYJZK3jeHePBqqCHl4+tAZRbi+kBVq -dbknF8W0VunLdNfEWaDqRh0PEuPi7rDDVwv3RBKhiUxWTw1UGVDNZIi8qoD/IRTxM9UeEm4rzp08 -araA2IOW7/G+HtDBQmsSMnN8iIIt/xLA5mxah5tpZIu26Sle6R0qLz0WnfTz+MUsRY8nUcl2FFei -2ds6dIJwQRQO9EdTMHhomP78Oz1W3ZiXLL3j21RsIUdqljAuf8onL6g/JiNExN+o65r6b0TLxnea -KZP1qynX/u08a80EX7eYGg9zt88oc6oZGuSY42MfE9/m+vB/F/UBWbtSjIdM/Pl2lSChkrJvKjwA -1I48oGU3wXm9eVmVUZgTxKJ83+GPTpOdyMyB+eiq8caMoILuUJEntRh2zRSUm1tGLcrJz3k3lzUh -axRSv8a/30Gh7G+bKAUVW3+/NhPSU9dvQdnR61N/vdt2WEs1ntqd/x+vHgFB5A9NRcCMketJIRm0 -2Iv1loNf6WDzM689X14wfQKZgpla6AurA+76AVx1VblFwQm0AV54D8Lp3o0qgtsOz++YnSwcY9yo -qcJUfJjEeGiXNCP08tLEWesp7DBie0YxTjlrrl7fBCDPzqP+1c0W8eDNJ1aMWqyUhvV8F+HLlLb8 -vf8FWClhl6XuBm6or43fHuBrc93P5ngtFZ4AipPfxA3p2GSy3ObbrT7yDuPuXkrQV+zUJoQn3HL6 -Z5n3TZgVFtFOUcSSOlsKfNA6DtztZ3CMf3s3bVoKyytZ4MtaQkgEc1Uj33RXrS2n/hHMeAXLJUSb -vh/z/JpYcznGUHolQHAUAn1kSHGhueukkv6hEhzOBlbYUwgstfZtGWiZROIrT/Z0BW3krNprXk7r -dtVSy35bA0/awrpyfh6j008OT7xNzrG72Iv41c7HFwCyD97y7dTgiM+ren2/MQzYjyda1TlHcS9c -CjoBmk/3iZYhoI6TKsrYL/Rxo8ampNS9TT77xo2MKuhAUyT/G+OmVdk8zeWDhd5cvr4Ne7/xtGkb -MhwjAMGJ9exI27KVTEEI3QU9q+GGDNnOtxFgxchk0tZyImGRsE0faR4cPgZKi4otAaC3L+wglYSp -u9EF3CPXhvLzWQQXt2u26dONdIHR4RHdBBx4inWMpkdYiNRH5DOhxVSVnVB70rtY6zSj8KLRMppN -xjJdVdKBjmR3fqHYlZinTEVs7F/uZ1Ou6CkZboN49cqr91JfO8MUu3CKDT40D78bBzL9/T77wqRr -sV9OgohVfZ3ApyJeqokS2ihfgcNSrixqm6NB3niIyq1ue2lDEg4K1PODPEBinAAoAjo1ZNT/HBUc -trllkO16Lknu62Nwmb7sr2Nrovd4Ib9tBEeT6CJzMOQ9XbylX+JoBNy4ULaC7DXapEBQEHsPQW2t -wrjF+DPxyE79pM4NRSfYniwvf2Nt6Rj1x+rGrWC/6SBpjOMHqtK7vV+hZ1MoVtVnWhXQFJuZJdYG -i6JP26w3qnwoF54Ytk9h5ekI7qeMe6dDc8pSJhR7/0Sbq9oDam2TSQA7Upb60SVcpHGo+/dl+09s -X/u4obxwQnXdHkIo9c5K7S5JJD58K4rIUpRm/ly/PEq2/wITszSf/dn1qbQmI8jDTsETiH9FlUnJ -+ev//+1CgrSpk0y9M7oc3g9bqJWb3S0L0P1snS765Oku0WrHXbTRAgh2daHH/cJW5Af5bKkjgg+a -8tzC6lPm1BKU0C8kv2IWRSXZnfL94oXx65nFLKKtq6KLZrGe2QZh6wYp47iDmOYyuP2SrS1NyfI/ -5RG90jIw1r7p6ZQXleRwFZkG9k0TM1TdvXtzBngXJ/wpYvN0mzdD5k/EMkQXIx5I6jyQ/EZ/wIK5 -vJXvpP12rYIaO9JAhqXhRWQl4H5UFHDFRgKZyTzQlrY6crfPaf6kbPlevznFCokacFoy5vEoQ1iq -sfhWlSlbHZ3NvtY1q4SLSuRbrUsOwS8aaLOCUWos2p4HGyipdgMxY0JdJ8hJfrYvJ23QT/CpG2J2 -jNOf3mIFDyiRJ5oTyZHf+IUBeKPJecO6xGnJUc9/Fot9yUTkxWRXH7DI7inPtmrz+MhRqLR8cb+V -2c24G/63aFb420Q1MQRisKhixEpXImT0lgQeClCRoczRq5APDTjk2iR+fvUFAmoBw8+JN5abjHG8 -HAKwSmZHmoJPP59U0j02DNgr1Y3Hbpy1o3lcQ49+CbwGwrppfsT995sr9irpFWbTBuIXBnfL15v1 -e38pPUFRhD5MxBuYD6VY3qMIrhSfVD4OZszWJZJhzRdKfc+E9J01UBcrS9ex5qVBI6oinbXRs/3y -pE9uFLC4vssZ3nx/bT3sSOR5RZ7EYdWnX4f+z3QKG06ZcEEOa1QzSNy7qFqHmWyleNdLsz01JFjI -UZFn+PFkPTjtK7SptfPdOu/noQJYHFgBYIrlc7kTOrZW+7Zjf/NADQ0E2dOS8R4FEHYbhXPuIRsS -BffNuSMbA29le5yb0L04WkXAOSc4QN79J/m704KzVbcaXfHYTGZun05e7ukKvDTqh3v+y0PJ4QFm -eausQnuzbKG68sgz04JTRvknjXjzVAhwZqpzdL0dEbtOeZjvte/hPEYvxZ/kQiU6A+RdQg0S8DQy -U9FLx9rFnbRM5twPZ1ey5hO/uwWr4EImq2ebNSHQJdXYzVquuUsJ3ffnCvZWKlWlCQZ9ZuldoJ2d -hvwsv4S8JUAu6eT0vPFfA6ln5sLjb4FYWM1w35iaY2W8PZG+JZA9f/N0k4WwmWAQbde1osMBxo04 -LDIHAnzi7PoVKrqYkgV0ZXIhjSbc1aQPPeP2we/cNjHvQPK6KiAZb1LyvDjyEdwF3lDrCw173Uvb -L7+5jGoGLQwAJNy2cDzpQdOZjBpmYRusrm8d/IfcMvps7lr3DBxj6kTVYoeWz95Y4ptVfXANPZhn -WVrMu2rZLeN0z54uSMeaB1a2EtJnmtWQJiTrKSoViydsKXciCPT5/XpF0d1aFOFXdKsQgLy/3anG -Lwto9znoe0MlQarq88SGXYlO9dqhJMbkqwK+QEcnliXY2WY385y7xTyESECQnoGU3u70qvicAZ6+ -fyFzsA72/0UwCGrbinV5mz/CD1OWzObEV6RBv8Qu4SPdX+pzZpEko3ZFcHrCcUeenVIZIRIrHWiV -vhAAuINwh4KTZCp1x5hkMgKS2mLOFkew2fBpf2sHKm3lbP1SCIPSQQG0JOFKA3it5pYygA1AZUMk -Mwp8J3BjlwJZ4RzcjwJEtcmzhIJvPmelAZWt2xIVfqqOcoVAeEYc16WI0F2dDHT1ZnwbFEvqCVCS -5d4JXx0aVXycKl2akmRxKpJyB5WuoPezC2yqK6TiC7EFB1PEwt/eFfp2jDZxVk1S5vdaGh0geIuV -JArIaK5MjhZAVvXM79yk/qIOo97W39OWB+8nbI0Wj3YPHJUey/05Fg4EalCTOdeIcIgVB39F5wN0 -nu/OuLpiADBNyZnQaqnF7gUgSEtvHNzCJM8adKuXmQq2w++iblP7RevmYM8mbYby3C0JS9vV/2Yj -TmPYs9DkayKKHp37DDiugIPlXCJffl3LZU4GlyNQ1i8eGOdz1gQNeYkS7llUP3pjmO7x3wUYEv3v -etT2wov4AMetyCmFkbfUeyiqDpJrk90m2jWpi+kItWIldpe/YY0hvDo+iQ1UrHFJur+9YhjZ7kBN -XbtwjnPHgqzaE8j6Q2ub26oYJh4F8uYO1SdqOThrgJFOaPiiNPaNJsJbpDqEd77XvoqdCR3yjeNS -v0kq9R5xxpKODu9oLdyhf4KrAbYzbjPfebrEEoL/ZMywDur95puBb8EerBcgv/bf5hy91fb2EoWK -5YQ4HRCVYkVxQ1TtYuQlFxAZEA/P+8cPVrJR2vdiZZmXzwKIn5y/rc7+yl5GgcMZ2Lit0sNWUn1Y -6hfrYV1Vl7JABTNAYN+Ea9AlXs1aGXSLsj0URe3fMYAXeYX0yIcX8kkpeNSa7xLoGNHswG+xu6Oc -Gia4o6yaBgSueU1pgSRU4SJ88kl+2/hyFk+SaS3T+Nop0u4Z1ikLHNUBQ0sSX1Xee5JX0y6xhKRs -r51VvQ9kbTpGTTVg3gyH0Za5CZpCQ04bhZ+z86eh6k2MTeFUv6ccuISQ38D2X7zliTYpjRrMmpEe -Dca6emEy8exidxtS/NY2UB8PJGDLrY07W5yu5npdFrBOxuxZKRZs1/mqqf2i+V3V2REWAyvbkv4K -q0RJP/dn/+Cp8wa9hJkatQP2I2VKAnujNAPIasi81IUMG/plITIGZ59Qluw/eqojv4/bvT0rgxX1 -U43uhlCRN+xuw4FkpgewW6FWJYDA2+CsPCVcibPG7UBZ0jvC8A2KKWEqZgDLUesyfVQkPUbReHnD -j3ZI6uA4kXyzk+4JQg2H/7MnROyvcZfON7Z8h26PXyuaFusvZNj0hQwQkKCxMJ0/afdl8fHEXU3m -/XBrd/R8n5IuAYd+IvkZrTl5Ujpe+e1lwtZHz+YeCGrc2VKhLb7Wr5k1PikaArRMcAOf4YQ+q1LJ -T5jr06rikzwiik4Fn4FxLgi3nbtpEtyodr3RQt7ZEX3hOMTesjdNd/XXJsAdMl3V0p1Mwt3yWayg -Jkg3ovrVUfNkaDXcWi/GJBNHZzFqdSCGMzqDlYupEZMQg2WsC7vVBWYfmthWK6c5RfSwd8khDfZF -rRHEp4feqwKCMRkeiH/JYwP+VKbjgMsCoo4pIkjVmL4ikWKsLUKFFHtnov0P3dJH06UsAsvpsnHc -4zaMaLwGIuPXW9NMOKKHe/Iny+HeFZZaM0M6C+kE6jdmqxTCzIqTwy5f4rrkZpzni4NahdWuDw3+ -Pp0KXsQjySa0fG0O8CHnoua8rJZv+SzfL5VGROdQcfEfywgFQNJ2firnM6al7Nbqqv1b/HKzDh5z -bIZrYCWEmhzXWiDG79h6FWy9/vSVMFWnIrfjrDqfiiSevnEf6aACCcJWbD2pI+Q1CBd8AQ+tj/IJ -CaB444cTybXbzIpYQN4kFxzkBnb+bF2SbV2gQa+d3p0XlsaUgpVArlPaRGMiB24Llaxi4B4rougt -Tpk8wquXQntGdWZPCXQ/fASkTNeYAz8ZtfyeTJ1FupqJ7iPqHvzF0pkzIEQfnP3FV2rr2qhnUl/2 -FvUzv3crRxI8ch60zxdpyP1rZ2DiquthOKxYsKwlG6EjLHXS/FA7wI/Wf6bE9wFV6nQ1YLTayqNl -vyLgGC+OHt6dkMd1+gpBD8x2I4AVvNZdpHlakRb0Aahgy9duIrsO8Fxq8DwgiXM05IuDxmt3zXrV -lOIyeDHGEGn1z5DOnCxP3UcVeD5s3VskAWhB0rtIWn+saw6Ep1bHYDLE5mNkkSecJEXrG1PcgWN0 -zg3hO5FfyGWienhEnt1V/EHnkH3uxP/8qDGbmWtHC85iVBVVDd5m720ip6ciohGKy0TkcXjPdgUN -cmKG53luc5B54oH4nr3VPQbbs9sS4aOSWlYE2vPDkrPhxKxjlI19rKVcRQVE1b/hmuOjoYCY7U9l -83zKtgwDZgQisDDa+3BoL3NTq0nUwgdmPGHX7663Y5UD9vRUxjEck+nO7mvV/Qy0ce4xJP4qEGl2 -xSQUe8FMFQhoKOUzGAhKb86sJ4cCRWRNbqOZc8szxIc5tVwHODbBn01S4PRm7TCrRhH8F3vEeRp1 -qsgWKbQUP3DBZdH3AgAYIAE4pju2Bl6e3OLjcj6J2BWRb/LbLO7JRjoVoQn5a8x+kSV23u+Crzdg -kWbi3BGnd2Ql9z3JMBaeoCyWP+0eITsUbLaopmcvK+NLGXVWBghqP725GhkQqcofeprW+KG3d/1Q -2SrqgFhntRS99MTNcBrXwxx1+PEBooG8oAFeNYmVN5rNIxQIy9JYEKwPiv5ur3dHZ94fwIT5UjQl -Vih9cTbf4oXaJARe0NvH5fL1s/hMixrE5jYknKAMw1PS0XW4bmXNcscMTxiROlm0YZFpdiRzz/ZK -gIMT4UodY4oojC46dgy+h8vuT5Ra2mkZXZiu3DELOxS2BH9w6Mpoew0auL1oL82LIPOiVPmCuyKn -NtubtKfHS/Z4Vuf6/zKk79+4Qm6kMz+CJkufcvyj9NEWpHxAplaETnFkzvwuvH6asJwtwEq2yYzu -KCOAkBvb8u2xzutAQf75dSvx8vvQmF7k5ghmASWBYAm+mJZ21xPW6qp9qHMgoLcyxbrW+6QGnvN5 -sxn7hgQhPEYyGaKeamtA0970WT62H1KlIprEUwe4DjgPWwuD3zoHcvmfEdsqZSKHyXu+2ZcGa7K4 -DodO/88foGx+o2b3Sl1sFUgLP6qIUjR7Q86HX7+/KGL6PNeX6edYYLrHXW+wPet5Gwof1pFTiHWk -wVwicmXZM5N0kaJHG58UYyDXNvIooHOH75+QL86sm4sSCRi+fo3bWLh3K3uZk1mGX/0jk0nLaZHa -OtNFaVdVJVQ/+esttJZRF2SmrbrX2VsupxrT3HRtIBR2LtJSi3bw4bgU4b24b2X5e8R2k2ona/uW -cL6Gky6fIKQ2uDM3Y8FFvZXQvjZMjhozATolD45I0kLcONW7/xF/CTydg4yejpTmCG2na3mCkapX -otfk0ztX2P4vknkMBV48BUK/9+p8gR3stLV9N41xOVfO0d1Pn8dmR6zYB9jbmIf+R5r5Fn63/329 -ob/nSysnZ5IepiaCHD8lzp7yOAaQp5jHxG7IFyw7vzwxpVZmoloIk5RcEfX9vZAdNiGhfzsYzN/P -3WFQlLfxuHluZQuTGdyeGwcS4U2BepHYj6RXN3nfhGflUN9k3TDUrLthef1PIrqYFV3sfJVEULpB -ZRguYZ8vseENpkHAtG8ZmhrBHuBOnrpCxn2FeaKqoDHgIy7L1get2PCgVWD5N/Sy2JvxbRl9dmpD -ctS+u8TNgPYwFOCf3GYfnRh7gRnZHfdjzQhyILDCqDyjy6Zj3SBUtFpTWrNU36EKxaPjS68JoUPv -F3xOs+ya02wnRLJl59mXUP3tVazpN/jZAZgNt/HJV07D0V99in2flgSDCYIjPaJSPdj2i3ImYZrY -x44GRxVysIYWtu19sMfBUUldEDyt+9wPh2xQn6aFMf+qXsKirU1GAWYGGq9pzGc6XGDyyKgqquJ6 -iCtwl+l6h7FGqxbAZU6TRFB+39rsKlyziFK5QNqqtU29bVwMoppPWdAgGe2owQQoAToawiSWh3df -2tQV13Kgtd0Af78NJhC3UUB4MtDfJvlsgDOjwitvhlRM8y7OuacYWm61Npz3OX1RJnwrmq8sWKba -UEWqLBoc9mmhqfrOGH/WeJPCy45tZRVFKZoqJTgu8qTG3/XOpPDzAt0nbYvi53/S8AgiDf7nGGuY -hbUuF7umpJh80NvVGIqxNdbMTufKRgWeWhHJZvbol0AwtZyEWyKXyMQXHWUurtOHkUyjp5ez1+b5 -Al2y0yHUUif+5TUMOMSvVFmECa3KW+nQzRJ6fgYAYMJ+SPt1QUg9TQFiw+9jm7lxMCyzOISAp+9y -Z41IkhdnOSpz4VC3WiaTXskqOcgXFWJG4IHnODg45p0XwCkA2aHkYzwuoKEr6Mfz/5zwp2Q3kFTK -3rLJvWToMAJ4imtoXe2ytqEnAlScyrI7R7Gm3kStrgYi26W966mFz1d5A91p3es8wrYboj1MD+cL -DbL5lPtFZHKmsIGzS/XZYtr1v5AvHk2Twoze6PjhyB/pxpvWikhaSYqH7EwitNOEOBpyWp7qqvu4 -lM3ALXNnvyRVtQVYRvXYNamsS+dSGyQyBTVSyJZ/41S6KPCyi0RrBGdTQgB9HV71CgSE5EVFX801 -ggVaka9Pqix6IN/M842YXxB43BnoH8AdzZROPBMIpJxZEa9Uzn3lulixyBF0R6J5cOFCJbPw0H/4 -AiNknMVLUAdeuLEsT/dT1wqwSjWA4PaWm8UcRARXxIun5rE8uvQvReFp7uxEZwE+un9d9PjMIzNv -6FCp8Z5DlEw94G9Qu7MMk5vj4ZqdDJo/lOgdih/FmLZEvkpyouUzJR+wf5rPqayYkAAbWZsybKoe -ulWn0pz2R4D1uMhvNkLa0k5jA+HlscDWAruEa/wbrLlA5qoZ+tLeyE50hN6Lk2mLSwZZD4FYx0BM -tegJmtZdeTn2z5d48Y/oPZbJPWqAKZ9xUo9RSlvRK6MXg//vqGoVLAVB/7ulhJVUBvjxHIx1FQit -Q1dcxGXLbuC9vYrYUM/SnuV3bTkzcfC+LliNXg0gq9DB5Z+Nju+hCt7e6FEieFh0DSNQcPQWmA1g -JROsGmNyETcPj0roXa9S18lqvqJFE4jYD86FfhpN/KlcWQErRp/cd1Ekm0ZgLgDurKNtFeAJoyH4 -2cUy61IkAqqP96iZmEnyP2TwWLKfbCJt8wUzVXHYjverdLfzVX1hXogFHJ7vBZmp6sMKkwIwXqPc -rqIJ8EQJ3MjjYvhipwlWxWAH1mg2PCGUB++kkHmGi4hWfIJzNZohIm41mmWpyZeFc1KaeL/1wrNF -b40J2sc7QkP2bCs3xXg7A2UisUXtQODtnr9txDdVTgPApx3T1Myz9zrJ48S7P4HT6VelpV5EhzTt -gLdfOtREjIfLg56KeyqB00bN142Hjewm/430gPdjU/wGHMNj6pLL3yn5Y2i7H/2TyCgYUdlOLrwn -SkeWEjj22UPl/G2mJRui1/VxWPBJeqCViPlTlKha+h+nGP/hT5wwVg7LiqYhDPUARitIC6IN0Ozc -YfvcDKmNrguPc/HhVEtBlJejbYtAyHNAVO3LCA6BKn3ye1miluT3VybEdomUbexydnuK4JCIGN3g -1HGeygpOmHXGqZHrzr+J2tNnzYMhRkaaa6IE98Zs9Hwnpn/SSBG5kZs9DNrj07FVH4UYECH003AF -hSnEQa/RXmO7XFGydT320FRwLLha5hAFkbDTCIpZ8i/tVapiMjTZte3I5x97yeSc0n4Ao8NKWLEx -qBLuA+0vF3BPamR/7gyH22JbMPuGMQBVLDLaJ36SPp+UrFF7ptvAHt8ShCmsHcxBHV69nunJ+Qhr -lgpx2k0ynydhFbZ+AyU/JCyBFcnHYQMajr5rFaREB3SmUQogbdisOU3T3hh0tk9K0MD9H3ZnR14S -Enxxylh9RSOHEUp3nr3hWIf0RdWQsLgs/x1tBd0QHq+xSNFn4Pt2z0ESkky0dxlixcPJ3if14owd -DqObGeKNqW/zGzCvwcmRZ15fOXXyTMQAyVphJGIr7avzKI1gG5pBt1Ye0BAu4xh+OLuacF7frhfq -IefenbpsqIRNBjLl+RR1hl+BjJ1uaqOYLODohip7ERigoWx467ROIpoT2i0HDaeXNz8ImfdbmbqP -rGbbklEuMsobmGQ2sO4/VsOHUn1UKBJuoO4f0Yk+//ZIUe6tUdS6kx9YnAFe5TwQhq4071gWdW2u -i2JOXLv200x8k8ZkVF8kRtazb0liGtmNpxFSYleG5utUFcPv6XrgkDsVJ9KFb3CX/+L6BbsJeudB -+zWEiovGl0NhPEGcXqCfUVjLSSz0Opv0VlcAsMnvW6WQlZ1gDEKx5cxDBnxNe/j41Y17+TcWVfav -B3f1dtOa6no1ct9Wa9QAT34fMXrncGjUnk3LAacy9DXxV5MZyQDTNZLnwOggn4/wk4QOeBN2rTJ0 -49KK0IdZu/yQYa9QQoO85zWUICHZw1abzuN10sxywStE+9zHX+GVcpY5bEwXbCEj6zvlxmLvKTwr -t0BHws6zYR/2EjJuyIuwTPhR/oMg8VLtwiWIR5oMznV1JGO3pk6djro+9DsZU8IYRhvcgYlsTwMx -6xGPwxS6kV5b7HiuKEePQyVm8CYWDCmoA7wM4DhAA61/iSsgKn8cwqVx3190F9bK6T2GxU/iOWtP -f0AtQG7EthNg8/fLLirc0/EbRaqJiEVicLbontu3n3aUZ1ZDtTfxjyoxCYRv6DD5C6LdTq76tKD2 -KjP/py4xb+wyJKfaUYw8ZLKeZK9lgJrrq8jkD46Y8D/zLitCD1yxKGTWXj8Hqh7B8JyyAAG3EdEr -PaNeJx+HfkaQy9AnRL4aZK/0TZU3y+R2Fxz4vCNHlepvbYM7Gy8QJUziy+k6K5BXQTREZujL2A1o -/bNzHXaq1W74wWrDaK6EAqbQYHEM09qR37PtMBWec9R4NPhQm7rqb9cby4tlVTcNscVanGv/JhaO -27Eqwh8yxAdcZPSS2NNITCbMoOOKUAq/0Jty079d8xwiax8beHJb5dvrPtMRSNn66arxAMvINgeI -ldstMWIBT6bF0wQifLO93VwrjZ1M71YFcLzzvzb49lpXWyUoQRqLF+8ryw5PNAwB/rMFZo1hrUwT -InzXoLl7ajQT8ICSj1Mj/knOQBD7OyXZLdIZg5ZbC599OG4eekl8uGkYilXh/ux5L/aP0nEalxzr -ZJmn/RbWtNDtVBATN6wPu4DsnM7IgNzlPE0RZfYBorFtRjyjPfFvJeYe5+j8tZzUjowZOajZJQ0l -9qr7zuA5+0QjkpZPxmMOPa9WrymFkeuCVca01vz6GbflsEhbZcIiCHawjwsQ6nFrG6RT5jzZkQ/k -cF2T1pokvLL1kJRm/VhuuRfG6kzL6KzGbdK0luai7Uzqr0EDZinF52B4Mhb355IX5paqv795HnbW -YlVWNFKCwaH2TmedB29IoUbeOmmapsZxH4Ac8aH8MZ8DoyjU2I1qMM0w/qwfwyk37pIEdmIdj8Vs -klOgfIExG1PrSGGYQuAxY6aiTwxGz5Y4WXq3gRE+BeY6m0lgmChkftTu3+oRFb5g3snsvkPH6TfL -xVxGUjbWHjA7Zows285qWNEhJtfuZEsVOBOT5/u2yHbu6vkO3nML6lYXr2yO43AqOrxcSywdNCBS -vHjs8l5jUDuJKAnp2VhRchtyMaG4+EgCnE0hC3rC0sCtfp0ZrZD5PQ1qsrywOvdp/U4xWiQAn3/3 -LvngvThqkDQa5p7CZCkc0y6mJgjcnqCck7yvgmdwES2Dk993vN1eVpNVH3dk2pF96T+Vv6dxt2+e -rUlgyrgMFOZJFbRFyvtOAc7zXV42RWV5+kW5M8jVtWxCVQx/p1ZSBxBJc/zzvahbRQWwFAxGzM6/ -Khph6Im9o5ZyPegHkMhCumdOj8ygb449iMiO3NdJcZMLqrdP1OPZVQI8Tfxe0dWFRF1hsk/YAcxX -QpjnTyW2tQi5HsihdCPLQDNg78qaZtnzobbgOFdCoVQdJV0XTYNJ/cTzpcgseQqaOej11gsJBFcY -mPPQgJY7wdk/tZR+N35/Xs4P3UcBP0irKDB1U4T24NyCq59uGHQ9M8ThgCCVK9n4sy0gPPIpRwXL -I63fKplI+/n0VbYu3mYpF70K7gd409wgn2R9yRRUgkGB7FrAh2T/WN+HK4MzpdZhzj5CAArWP1E5 -u1RQV/GV4wMBIfFr2qQfPQOcBL86vxk3bT6mrGPrp1WMvXuhWHmBiXlzAtALZnxoTUhawN8TZP2B -qPcAOln8R1uqKcUrHHqWtpJR7NOzM4pKxVVXiZhiyxCY2WGYFcTQ2OLD7gAErZlnP21ve+QFa+Cd -cuiSJtJMeDL3UWneNFjS/zVsnQOwyz8SmyIXQBEywri5sh3j/cYEYjz0E/QAQyGgJpNnWYhKrhtU -Q+iNCZ1HQvDPvksnnnYfb6yT83oFBQ/FzPMcKt4we4hn8YCGZO3NysSdr8O4DwbX0M6weAtuhNMh -Z5amwlQCdcI7XEv1j7nCOUFe3XkKw+/yu85oDfpEQG7llzogTTmPVQFw2mbvPx/ETPikKxqfOdKX -8O63jm/loI/BE7qLsuh520pcwmiE1tKaflf4GPgFToJq/ONbdGH2WEjEPR+WSkrg+LkwFp06ppVs -3iB5EMud9D6g1kDEIw/qFXWOJ1Sd9xXiiS08/p3sRJ3ejoymVxSlvzmL10O50s9z8vfrJJYfk8PT -4WqIdgHPHWzUIb+rMRPuIN/Tjucy3hfzpI+sOm8Jl77PINB+zxTMX1aMS4TM0TsdX8NfPBltq87k -jQxwPFR7el6gBWM2J8UNyC28Yrq3sslEkIn4y7n93J9k6T3EkSvSvD8/X1ASLL1PuqHNLrpxaJtD -+6PQgc8LYZkVNwJ5FUa8aBAbhFBVf9CLl/EE3aT0u9GdS/hq7A3yDeNwch+hyZamC6S5yTjjocPm -z9ilIQTheqCJM4KEfSfZ65FabxiCp/DWSkzutiyIw4i5b4OEOiQn1MazDG/b9GJhoRQizkwT0aOj -R7OMKet7uk5dhYJ3kNPvRlMWNVZ4sv71RfsGhlp+in9an3H+e+sDb7DAWGLA3mTX+3iVCA3f5nk5 -04cxiVYd/pK90NQd4zVCF0vl/7XotQf9mTD2EyPqUcIBINrqcQI/n8PzQDldA5lDu1KN3ZG7npUQ -nyiI42I1CFjiTGMO7dh5CwZofwvYiPr/9gy8excwDgVozD44PZkEDOyT6azGOLsfZu9qWtilgce8 -+GIMP3A/QH5b2V5CEx7m2+ftt5B8oKR1FFCv86b/37SidB+8cu3IVTZq45WXEL+7eSIp7sA4ESE6 -am6vGMV716HP1Ddy7lSVYF+Dtm+it5SXklhE46RMKpkvb2THlTh1tDgBcEMStnH2iUE9HvOT9YTu -yZa+sSoKOhQ1wTFXv335d75HOGAXcBgFSsUJ6/qQ6+E8A1IxMbWc/vG85zEgeWvvx6SJMAAgxHbY -6m9IIsZ0Qf+D0MXhe8TKtO6bbALQC+BPSv6YqX7bf3SPDdJ78LffHMostMpS4Xbg6BjlJ4c2/2s9 -dKzFX/0UbC5lpYfhI1Tp6Ph+auS5bIe3enIVsiq/4QL3rVsxiAULv92rlLPccp2XTAxV5fb+NF5l -Z2XrdD/5iTwUkQ3YFxYdTEbazwdX/3Hk9EKVg92r3xXbMeT/K+n2kOf+ZJKRJnsb/W/Ruzqp9QSB -Px0sGUnC0bLEXHL9KFx8wAvfL3f5YHDnybMc4dtNaS+2+4MStww4wBOzfhZj/vYtW31FCkqciOO2 -9d6huNnb8JXGbeNIGd2wKgSjWYsQc/rt867D2cGNX7oWua7ZW1maEVLtcpObAGLeYET0U1zZqOBq -6SGtOzdWhGbT1zEY9mK9D+Ib6WQUf+NCQUJHHxDTYM2LsgbxNyE7ub591Qq4HVNzW5X6Lot9w7FS -bVyFyEQ5RSc3y85bcgYjkS/LxNinpX/xlbyz8/z5fVI6VbWBX+IReHzJBuPXiqcgpGvplNdCqgHn -QrviwWqZ2v340ep0tymKzhWS6aM1m9OaNKFlCvTnfBA99/SuGWD+mD9++n00qw0hYcgiYtLI8GZx -1e30NhEorDITdsYXGQqY17gd2Ia9TY4HT6zoYrd8F8Ks8S+5XFGTZ77xfIagKEv1GJnPAGJmAdnc -SeepY82zh5OUstkE9Ow4eVOaY5BaefIdCrFOvryiodbi5IOJC1Uwg7SEZUM9NrtlvTbNKGJ+dEPU -4yldVoG8poKxdDZMYdkPcZupowxm+iG6G2q1mvcbV8pPvdGI/bdii+/DPDeX+BvcWa6JTmQGBXrA -eBPg0dE2hV506fUeRIwHMo0ooaMWkG77X++lHR/Ht2JasCvVZtxxoxsJjIZFg/tmdX70VQQiCUlK -B+VrRCh9w8OkqsiyDEeAHc9KHKDoLr3ypHlPaU2vkIE5aFbuDLmJpPHD+nNwJlVkPP1QDijspy4f -aFWg1Vh69WvbmcRrxAiqvlFZHd/oIVeamT7jY3/GbT3pSizrb7c+0yXbgi+50xUEWzJ2WKrtN0jF -o33Jdp+fJbbM3y4CjnQtl9E1KK4q4CHaZl9rnxe0c1pm3s64JPKHbzyDInrtxovLy5uk5diD9peu -2uAScE6n6Fq3sI9/Tp8OS9+zV/zdbJXpQJDSKnnO9IRW7LSuFVlTewyODv7OxdBmoQpqd76rnCsq -wMMdb8FlJg4RMrBM3POPjZUiQHNm+pxVdwqDgTKfP8GaEYyhVRUTNpz7TGnnD3HZSavvjeJAy49V -yy4RMQ+9x6R4gorHnqjsRulkrUvVDjq4kKmoY6eLLl3sQ2dPETC+/3W8gr3byWYjzt80/8dwO4/9 -wFHTVeXKi3pIvcAKVlaIIcu8jC9aJcb4jcKrJukSJpoJLgq5HgbkM8+FEAF86/ibxz3jMtKRD/i0 -oHJmM5JH4Ol5jw2RjWf8oaTcQ4EN/Vzf4ASWL4j/j4OH5Lg513izGhbnt1hAbv+HtZGATJ5bC75q -1Q8XNx6tXaG8/+mhv7ltR+wQSOcl0gcdApjQhImQPSWTivqA4gfC+5FAE4x+E+F+DR6vxTT0LUB/ -XOGzb36KUieu/YQMf1HJ48JtlkYnUD+VAh7q1r8jiMZhWtjTaCkJatvCVu4PxYD/+bMPlmnP57AA -NPihEsNVgzesXLdpIylMK0j54mLytm4drW7T83oPsVQm12gYqe29wF1/OJWb8fkesDAhYa+StlPX -YTE6xpL3Gtv+CHZkaWXDuv0AjUmAH11yIYeiNeUyNvP1EtlkfCgqNio/E+SsjcBUpGqEJia4MQd8 -XPuk894hc2tY1XJfrxsncUjLyg3Mw003Yj43Qoh4503ncUntrL2IOMzenjP3CZbG0zf7WxsJaV3o -PvCP4rlrRhlr05TPHINOSGlozdxw2In+sKohWBnIC17olFm2XhU3zbZIJhOmA/tBAsi8FODaGb/G -5zb6Ep91fu7UYSao6J6UMP1rQiOZ6ROo6uO3y479rVm4VpkXW+mqhFgPT2Z9tTkkuSVR5aF8b8Ng -nVCPmeqTc52HslQ+C27Usk1cDuz0CApOqeETUYWwLypblFI2BFJLJm68GPgQxvgBrSNUxFQmLH09 -uTJo0Uw+I1kuRNeZQmLnABlfFegBZqBBEEXp9lGMzPPYwtlxbbgy2seD13YovZnlQTW7Sqr69/gY -IjM+VTjmBtPkdgqthzf79SvaR6F+LWCTBCmvOXoSN81j80p1bV4RVj4M1vSHwBaBb1Iiva8884kT -ecfRM2FOIjqtD3doYHPqVapr1g8aZ7x3AG7Cv7RZ0C6X3MUmsKgAC8kbfo0w9BXLXDUfEtiFWKKt -teKuMHuUAr9agdABQzb4vygko37d+kjDTScgZLCYaiieHxCHy4GQdhVmMmQ/1qML9TUt6y1UG8AE -OrobqGZyyXadYMmORd4XI/lGv+uNnHbmbC9oIt5XaOSxRJgDyxarEcN8sMF+W89lJVeZJ6X2Bn6X -GBL/oVPjVd3De9jZsieAsoyFA0YIN7V1OTGvhuqJzsvURiybzL298S/6d+NQRLshO4th6/uObdhk -Mtep7IIlAKBgF6DaUbI9EwsU1aCCe7Q7bocAojF1YsjXsn8tjrgZ9hjcNta+QCM+J4y446TeIrhX -agozUVn8VWbmTxSJCgDyNP2qwl2xqVRAVABJ7bUZV/iSWRBIW2NESPkvOeKYGCFrznJDMKFfcUQy -LEiWV23ehFYOEElVRzzJlnb7AxEo66m/rypW8oHCwfsm+4ST9zhrOR3093szgWZevncLQ9X20M9y -12HjCQ0UsMu5SD9TPhW/O/KPtGDGLey8OF4oieM2Ytth/YRMTmaRdosJ0lR4O9wewKlhZKO6QUfv -2Lg12mGYD/XygiHZ8GjCBN03G54+wqEsA4L6S7YCcHqjF9fSTGs3de52AityCzuuxQSCfBiL29Lb -yb8InOcJfFOMMDvvd8Z0E9yHXhTbLMxrIatqljvbJtY20RcPtvUT+oTprTzg6TmM9vN4KWx0fn1G -rNIr36JONPn7bW0xXnaDMECCt35aOiHNmcP7cLO6UakV9NX4HCFH004EjPqfz1tTmztBgk3Z66uA -n3H3maCxfY9Ne1riuTJz+Q375jryhCG8EzieeUBgXuaxZxWn1qlJOiRh68ch6fcfp2szC4VO43Go -cNMR8IXI66aI6XdJAhHUtt2Bj4NXtm047Yta/B6hN5iAPUJUpF30bJmTJWl8CaMgJcXc6KTcHvhp -LQSitVklwqkUWZs1viJzVY/ZESPIm7hSvcc86Ahn15vT6W+ZiW0r3JYOWy10KBm0eMcBUf8A0w1O -qPvg6Sda2a6ypBjNSMeORq3tsCnSjqaW7SQpb47S3RoKXhxwNQYz6akZibrorEFtc4IgCD9JbnWb -m+OLEhaGGSJX4SmPsaR4n9GwU0ytdxmidGj6LUsYllRD/i/8P0MKcfh0w77GFkXuEqZEaSUu+85d -d2JEh9/0oUeMturs8clmhcc5Q2jsOCyHMA5BZ5tGRyt5l6/bYZb6UX8aL7BqrdtW8Cy3d5uNzk8X -reOj/XhVRTKQ9siDwL6yRg32pMuusMuwXIyHc/c1ki8EShkEpODKp94iih2wsHdyAKBWOC9Qko7e -g3ZtHKoj9QiZYUfM5wI+xJvdqZ/yNppg75D3NOo4U8QkRhoo5QrCYZGKlgOQF4CblqzxRPPLpsr6 -kAnRxmZmth2S2ed3klrwjl761ReQY+p5xbyCIbVJaz0ChM0qpPPCxkn+NbmnCyWYm7WT2y+f0o7t -Mo6/5aMYB5jOAsMx0Pe/ycuxLtN7nuLQQhHkKP5oKl2yX4h2Fy0aSU/5ScC7xyhPdOnMlaOvYldt -Gmgl6c8M/ncm2YYPjmVsSY0CSqQ+Olu1aKuuyeunepkbtBbPb5Qx+6dh0y4WZzPCUOtFflRX7xWH -t8UtVBylSxAQng6HxmXqJRy10nH4NNLtxmFkapMwx+84h7rpGtub2FN8l2UmlCz/BOXc2uEs2qz1 -FnCBUeML69My2d0dJGw4KFzJDOC8imy851tw9jkIghgJhAlZlSPtLCmUJdddB1Lq0zmkgvfX8IDu -xR42pzyVWODq10RSr8+v/LGVZCcIxE0th1PCB7KkFjP3JNzXFVZVUIZJSPFBgjRtErKmaJdcpmyU -4JQg3qfxlE6ewbp1FVVwXR15J2WRyJO/LOWxWBVgGsFwIY5KudsUCfb5Er8cIXJMa7wA4IivrgO1 -hjMzwVlH2NZInXHMGcAFwloqFXKKvEAYJ6/iMoW3ZWea58Cxai2zCWu179F1IRQqI7/YXvsEslPp -Kl62gIqsZ92qG67F8TvV7H4UOafZlmQQLCXvHbVmVW78G0RYhZwMWLo7nTBHKBelz7R1DqOoiWEH -pJfBLAbbNcTO2RXWCTAoSwGobjBPezf7XSByYScBsqeGvT1kOAl2linziWDL3yhcVVHFhouWfxiK -eYJGtjpvuPogy77b0Swn1vY0T8wOlKl2Q958sbBI6a4SSYt9tQWBknX6Ln9q2wRdim1BBCvPekD/ -tvnkA+QLMBs8qnWQ+8Qtdg9tz/dLI57eVuQY6Cw3yUNAEJa4vhTi8lsG/brU+rTUEZtIgT299uVX -smnjiiyrxvTDfGKSeplb+RdUuSU4vls09ntFx8QgsMSlI3JfWvknC4DK8bgBYcJsKulGmKvO0g53 -q5I+82tt+58oBCoNslHy8u7f5BmAEvp26bGZzyLVRYbQh0psOL7JAry43uTZ9LGzf8bp6mnxOWjh -m9TQbYPk/EjBoodwTF4dEM8zKnN39qCMvfuPF3fCEaJ22kS3l6Q4A7CG5g400n4AISnTdIbvFY7E -u3rjjBfmV9/TbwIWomDTTdwPNQnrdQno2V/p1llAT4+Z2DZ8pWewNctRMokrLaYvnbDVeALC+9yf -V+tJuOs9/E3fIIfxKOv6pki2wBD230joHbwsWgXC5asSbg7/rZrlaAjqEs4KLdCz6df8zwuEJvUB -4T3Nroray0dlyo1D2bVHW5M+WqZu1wWuJlwTWP+LOpC2XTJgBUDr4WpF/kaUa8YcAcNHzcaEEVaD -dRPafdzIXvvhunS5JhpS93kRM33BGYMfGaHZ/CwjL5F/AMwY+IO7CwrvDSUF6IOg7Z/m3SEfyV19 -Cl0fscRA1EcAznUoHoVzYyOPwsgHkm1RgLqGKkxm3T4wq0l+XItc1CRSSJ7kQIGr84EQiKzmbiye -YqHFnvtBgRrAjTfShvkmxAMwNnesP2fftCFB2MwMiL1gfVaza2qPPi02OJZ+8r+Tj///o89knhdX -bIKzTnu4954PRub8NrKjbIumSiH2azSUayrVQHMDFCRHTZ/7PAL8mZKsm/71uk/bEVEF53uFfkm6 -QqvPm457DxMtS8JW8BaMV58yjaRqSqE6STNgNbr4k1Qpqv1i63AumD4QYM2zqE4UX7pb77a679HT -omTujEqrTkY8AzkzEF0r+fLVELdmNy2NI6uMc/kaJphNAvwuDNjuFvLLbvYUHMqvEJU6321rZarZ -X4RrBC1PSQ7ACs9PNUFU+0+I00+zy+dKlc0LFVE+uyKWnXPRIQYroipZv9y4AubQxFxV6Egla5F0 -oOZIsWsaLMvg5tfq70Muj/qz3lop+hm1/WMBMKsG9hYqeyfwmzXEVuX5UK5USA9/c6Hy3EprvvzY -OFxNFrhGLXq2nSIJna9K6yIYgpnATZoLZES/ZarC1qFLT7fFyIFzMjg7QEl4FUU+Dynl9NdhX2KA -5zsThdwlL5q8L6IgBL0tzmAS+7DHDhaKI7DK5C6CUIzlvfxhO+7UnvU3+ovJ/a5qvZDhM2VZXjdQ -x7l579dljCphBgnYq5LmRLvPRRS6DgrPIAwWtFyRg+xqREV7NoeDZIFyb918kDfKRpuLPf203Otl -m/C3/573t7+RX6C5j4Zv2iXCuWEy5UYIxW6xUHGhVHpbMazEWz7f10BnUahaoIOoOZs/E0Bv/ZVO -zmJdk8P3GTayXhSAONun5ZRmc6slt8kgWG2aBJ1Csswh6oyryodUu8sR2+F6J8JyHVWPsMAKYajP -7AW3jeoKsY0MH9Zxzy4+aXZof8u8orT64cZoh4kt+IxBrFIIjHUBWlEkJyrUosgU7Wn/rNDo7Wq4 -x/mztsImFLWhCQw5qkBTUhgWRO+TsfK1jg/Ojk/V2ZjfEddfsNrGRJuMnG4w9mlVKC9Jz1SzOwho -+NFvJ6Xfqv4G/gv6H4cXVMhA4Rh6PkX+O4PrGxwgc3NEMY8EOTskDpqoaesrtdxfzyxqfskdh55O -iaxhlau6s3/uV9R59Hc8+/XnQbUtYpia1xnq2/sVAeg5lQ8hOQ5jZ8WdKAWMn290bWrPC3JAnqs4 -PvTb+Fsf5kDwIFHQ4bPgAxM0PpPJTBQtRw4ZQ2gsjT4vSSm5zGdwjjlRdcog6IuJkWydimdqqD2q -IXAAplDizIKXS4T7Ncj7600TmA0Di+jmLA8qjdEfmBjcw1W1Kv+GViyuRfO7IBpOYgNtwsfVH/Hx -1VUvzuAJWWv0KlVnZa+84hJLpCf5OltxRP8mGY3+SfOnJFzjmDZY+eh/dYDifC1cW9WUwjwCCFh4 -Of1g3nB6s8+KrF9DWNExECRVMvi7wyNdQaZNtiKE2gp500K6tIFVu/fL8j/twukzNMKvairCAzVZ -DbvFXSSBTuFZFyZXk+1dV14iV8Og1RPThfz4YMVRECDm1PwsjtEwFluHMaBfBSGUGGQXNOcqW95P -eLXjGueU9ruvU4entEplmktX2un3TSXjjoC55R10Ynxz8SfjPlosJB/WaTtOGxJpDZMk8MLUrxcB -Eiv6vTAlqEeHij8Ugt/25fMvP9exdUChWpeGaZz7PQiVP44GCzNm6sWY70za883tzUhdR+AmIv2G -+bZnNRrA1NXC+xOU17hK3N18SZbeNpijCoHKd7FyJnsNCNZ5FlWxgZFoITyAVpmIednVYVEvhnF0 -zJwFcHAY2D6BNEaTWmy9lvG2UlBdq7IF70l95M5IjWCHzOD3Cyvj79rDI2oUYeFRdRG3hJ9NbWn5 -Z51K916gq3WjlsRgcDZiwe3lEg57Cvrtv0ERHIPuYEAqWU9TNlXuE0PajhPUiL4HgrrXOob20O+k -OhrBlP1pfTrz7D/jxXLQRQD+9WRKa5cWaNhIEFEqauSW5tjq71w4y9jRwkQ6Hi/sQ7AP2jDQXBh+ -r3xZHANFnBA6LCo5irNCVHDmkC71cy264VCx7erqaQ6OQ5+Lq50KkQuJjWmcf0udVe+p6Ii/+JQh -sYe3DFmz/0W1Oyuv9P11XUSFXtjKlZQ1xyhsyS6URqTES7XJJmpZT7MT8CGYX+1twkIdcqnFnsr/ -V0tJ+QEnqBRGP1gmsXpYhcUUbf/KiiIE8mi8vuRSfGdtFNbkBWU0U6c/Sj/91qNieJ2zjvkFwbWm -z0bfwqFtaD2V5YtAJVvBTIyyvOGADlkcDRkn7POODbofAEX5fkzjElTBp4BrUrjAUiJ43yGDtC61 -cxohvwiciWIA2AeKTcQCSJk01hrHR6G6SjqwXM8jS3f5cA6dAI+E+Z32PC9xTNwl3ml36TSmJYzl -Rki/iAw97E76Lot09sqS1p1XBnf8eVeBOAG4zW5DIvhK36/p378dkSp7T2iWax+6Sp4zyuPzI0zU -23AmPcue2AXQAEB6jpPq9utlmI18rxDasKPjLYrnPtuFjQR035O6cQ+A2JI47vaVkhApmx5pwn7M -1AGYpAonc51aHx0qM5aEOuZoEpoQ99tqYAgqBJ1KMctfFf4uVNYaicesbx9zNBeft4U5RQQbng2M -QHf1WU2YbUzU4l+Yy4sEp/TRs27TVHxcXzq1F2CSBMfkGZBxfSXdoetSV1mFPjXP1Wz3vfpJ/iX8 -j0vIaCISvzB9LLjjIKyhkW4xv/7ehwIrltdjBfxeHX3SQXSMJDpxQPtgjwjpmEJUXCpRfakxS9Qv -TNba0LVtQdzIIOB09MoUjzKGVjPKMJkFUYMt78mEKvQV9v1afuiA7RCagXjuRNjfPaNDVB8MGKuK -Mk8rlFYVCJHIoeQNqy/Cwi/7hwADIV/1ljK7TH9MsnB5Xve0QZ8SD3DvtF4v4JRDfDp31c1YL4bk -gkOK9lUuCpMDIuHXIe381VYA8GrAuOCYdeH0qrTGoYf11YGOx463QXuTJEYi/Im5VwGCnadFn0B7 -cASreamQrCkGOzJTv+e7ZEwDJ8vZYDAuZuyEfoJg3/NWMeZkOXqRWydl2hl0MPRkSaoEPsWAG3wN -OMypE+EOIcV7SBelx8sDPoXcpJtEt0Pr8dTallFIbjFC7lBM43vsig6q7W6SFprzGEb5ccPWZ5IC -vj4yFHpJRjuTlzVQrdOcS0cNQKYSO8KVnJOb8c5h5s7Q3o9jT0q8JrCBA9wh40kJAFHmfao31nda -xGykOwnQbb6YRdU2WM3/mjD5pHxVHNtKBx3oZTQs7qMJB3TqFAMgelRd3AVYnlgst5uTkeR7cuv6 -OJvrYpo5L8Ob+kfgJcaxgWNl/+Nxr/ijzkTEaTi8hmM6eR8CMfu9CmmlHiM9HIbYK8YZFaruUatS -t02kX1KlUEoaA8wRhk7djMEI1YZiHqqtER8cUOAc8W+Jrq3JchfQjXvPkJWdS/L1wgq9XC0P/Rpj -85tn7Gfz1JMfbGwxqFP7MHMyZ3EtL0MZWb45GeNtdAebFoygyMVVLha0KaoJSZmPM+RxonMVKEzU -kwc+MehS3pKc915mf9mujoFjx17ic8vkWZeiFtDnCwF87BeLFkc4haPRtFAVvLC0iaQOXdZ5pTRu -VscFuDpAz4fFl8RQJadOwf5OYnb+jffXy1GHumyOlrUjcPPSe+RDBdjgdCf2HSo7QxZpHqfctNnE -wwRONymApldf7prQY6lmrGxidFkgCPk1bBR8V+4XfHVBKOrJQDIvi5ih5txiBSVji2pgGL6DG7ng -PYBKgrf9Pqar7ng3zsMxu7zNnUnBpv3RN8+Myk4cZgLzkS4/kcKrwiTk8EU0jkBgqPg57U1k8OQh -z6lyVcw/YpSQzTY9OqVPQnXZjISkykihaEH5w0cKNUn5e4DYYXRVZobztDbVUseC1vthP5HABXJx -7rzGhXAXfubFjsEusGqKp/cHQu9zrXpEoiOwOXC9AvWKmtiZTxbthcBZxlBRrm8x0OGre7Ilw9Gr -I6ou+QpM/5p+NFhdmmMy2rHmGuFGr/OPDPpTUr9n9WoPj4/1wWhWYIaC6t+vAZOrS80sQ4q4x+yb -0BvDhJ9TDXIPLnH2uSF9+R/FLLJOT75uyCiYg59pNIumg3TiYUaoWuWEJU0z9WuIRytq84FqNqbe -y+J49bcpMHZlTU3jGVzPZMt7xNpqHSxKEYmmUFZhr7m9Xtnbf5H5zTWyX/X92uxoVXsa2e6+ikjH -sL7YzpmDt7khW0K/iX/ES/UxC3iiBND4aMht97iOkPflRVOnd7s4SzzzfFQHSH4lTtSMKttl3+Kx -Z25Y/p58bOtZgeUV3yCjc5w2Q1z7//Hj3I/6El1hgJQuTVNtNJcq1Xyx7vurVLILjt07soH5BzDF -T64srL+NBb/0q6Us6itWIptMfEijK8Vf1ioxcs6/NCvSJ9iOqYz/u5XcP8tiZnBmtxjhCIf2Ij28 -lpoGXiXxdz/IFEWGgRWjtRaUwYGPiYpkfUipIRd8c+awLmaCgl7gBqCFP6N+lSRjyhYbhJzmp9sW -yhRk5NBZhASbDq13VgsgAyi819NyTzXLSR0gaCWUFuIe8c+4tneEy3R7GU64475rGYGHIM70J78O -7R7mlPu376x+ng7Yg+q9JXSpRKHxnuRfQYm/5ubpBB2kBnFFYerl8dvMYG+djVPF+h25ocqHVxXK -fx4BHiT9lld8BzNURPrC771uHEU8oMzjhvHfj8PGGJeVQiqSkA/XeT3i5CghVh2gfIJIXleqKSAv -LJvv2+gaYIsACtSJOiXNp9OyEtwbxnloVl7v1SHnWNx1RJ0nePhTVyML8tdOH1GidXQsi/6uc1MF -nckEOBHZzLtv8IIKQs6V84GfedQMwbPtAgzOIl1a0RQngEcYh6FsXexi2QJdqm7Lyt5KtIVGeZhE -dse+HvqEjbJU420fuN/f4r9sg/ukGoCP4NHYi26wH6BG18iWkEAtctjVlNwBuW5Lsaryv/FtIFQe -rQK2I0aghEJ2dyxUIqYbR+lSNBA3+PjkLuQHXjkShWzaZCX/PttIA2gaOydem/jmTyxYioo15QPU -wx69TJXjzRj2+Be4UTEFY932eW07JLpL8HNqWjyU9zPESVg4NRIPa2GVND6keCVQWWAe0ck4YVwm -OXPDhy7nlDghh8s5Ry0G/W9WaEbT9mScwgH04WE8hcZ1S/SmH3kmwIbrsRHzgVbbR8rpEtvR/pQa -Ibp/4OeVb2p/XyfSP9j5tvd3Upac8HGnawNTJTxCQujR1VVH+29NNUZRYZA0QBUnuBCUfeJvByuZ -u8Gy308JX0oAkwhA9KNcucTSrnW3TnaLwiLB5x801aPZSu6/VqMh0Zyi/8QVHVPDDYyTv+ZAEYUY -/77j/DljUETQQR1zadDIsV7N/4vOQ8Q6CLNKnRP0uwbgT1cn9e4xMmFVH+pI4wA9YLzRSxoTikC/ -mn+5b3QcgZw7iwGYJsv3OYmrVVCE333fduTtLaqN4UrM1HFOxIKVJX+mU0rakwLfuEgGde3t6DS6 -nZ3KnLtn3iw7lEonIcgyV6MNgidxGfrKcWkMCk1OnmX2mbxBtPvxzu3v8iKXgnTC7BGD3IjybXDd -MNvigDNFaX+pCiS2erzdGU68SisBJmfmlPoUErthJHRWOSFjKGt4/87qgaCKf7oVXn+zYGIifB30 -tEJgY7LXmfC0ZbtjNRy/hROJ4NNb/JVUtBDQtd6DjND+wX7nG5Bx4hhxntWqD5vlSBosBFEjF2NL -xpPdbShVbWiimnw22r19bN7YaZu+o8UC0QDNiybwfs/ToiH8gk9PPFfWcCihXqpW+H44xJ/jt5+w -bumVMt6NytjjpE/8lnIfxcfyKHmTQWB4h1QvyExUITi3VD6cSb76rDvRXp+FmQdsC6b5e89baUNF -EcaXBmwv8VjHhGlRMbb/8jeRxIdMif9ZfvmwIPruwnfSFTX+EofyhL7BZZbMgKXXVUxDMURU4S3A -7mUiU5o92Fk/+PWZstsUycnI8PMvsFaAECXbo64IUm8FYPn0R+5KTwzXsdH2HN7ctU+pfRMpdAhy -W4ee4G3qW0ktFP1qNN70d7h7TQNt0klBLx45VMaVHd1/bzX+j3aRc8bGlu8cgfCchGgI2ftMfXh5 -1SaYabLv7EHOKcFgNAErCKDr5g7NVtBca1wSXOl3fqaV86Qs8y7jOjdiTeeF0xHBWQ9pUZxXTCaa -52x1JNz05iN+jdzuWbF0w3wjvUHAGGtzvMikdDjx/x04/x5ZvbZ3FeHM32Yekk1bWw3986HXnIwZ -7JpvQZO444+GR+zbynSLW+FYN48Wx0WhbCgcvgTCnCRV6h2xgPcqLTXXRsxA17r/8gN2r2tJ9qFp -9t1a9pfPrcdnP00gJ277PDOqpxEYAtBUZ/RuBANMkFp5ufBZVUdNtsKIgVmUMKlyik6XminihKK/ -vqqX0jRG1lQuW+rFA9HNxVn+Rp2y/xnlb8lJDRPcHFb7E6PXxA7sPwon8LwUZRNolaUvglybMQtQ -xgKaiQBMTkTUA+yPz3oCCIkf7qYYC7jFW/teiImeWgFmd0cTdiP7JOMcapANnBZjlJZVlZU7Qv6W -+yFtujyrJkj5/gQvMva5QBWrphrNCuuU4pYZV7BIZ/E7dsvyCB0cTMCwuRVoQZqweQTUnX3WLKgT -R5LIjvwQg6kil8a0vjh/mxJ30kuyhDvK1TT1rmgpSIG+DSgZ7WMhgZqBgh+429fSMj5pQ750ktnf -KeReaV5f68j7EHv89xb/Svfwhv7Y+rydk8/Y/iUjyR2M6SV9YBW7wcxJ/nPYfESc0+z5Ixeozr8Y -aKUGNBeUDYrO3ifxGcytSiNVGTDyj/IjNdvpRWto4Yt4Oie8TPlT6HXp+j3pCEndheYPb9PW4f/m -6M4xCRUCJykplDtkFnAgZa9RpxzqtCPzS8DlxYLDyPOUMro7tYabi9otvmb1/nJ8JDUNt7yhAbLf -GfkU67s3S/9MwqMcsRSd4WIFmJ6Z3wa8dmNIzimNA52933fidX29dju4DJZolTXnWrjDqtgLcfud -yqTdlCqXe5s+v+eBYZHTVjFV8mS9vfdNTIIuHAOKmz56XSP/a+wVrvxR6QQFsQTkEU+dcrAUWDyW -zYYIvQ8oAho2BOABdkSGyNQSCTnb8h/FeOxjqQOKWIa+RPXUT3WffzqeCQyS3Nhv7p0UrwXxEEWe -kOS+A+G4og/pWBQDdAtlZkTRU+VoMYep2mGFaj3Z/2+oO0on1AUCRpJfzS52x+ugwb0nxxSxcxUZ -0kDjqQaqqq1tg/M9V11/ipa5Mf0U+O9nUdQeGWDIxB7gWRrWx8OCVhQ/WL74pY8WJydLnrzPRIrS -h/jAjJsQm9dSC0zd+Jm/O/ok6IN5AuLj4S/SSOygrRqndxN4k+R5rqMHAemqh5Be0OwAkqknfp7d -K9SRctoUNMd2oac3qIuQnmPIM6orvxViwKB290fW1dfVKJYlFtbOjUhpo+FRhZUm0sRx7fBkoPP9 -ZU4A2EJ4j7X7NsFlmSqaG6+A80vz30hzbVb4V+FjWFWcWBN4g7Hv/3LOhZ05sUGG75LflXqZl5lh -C6BpqvbrsTUhrwnDm56L+Pb6oFth4PQFH/tup3NBwHFCgrPQhIAJtYtf9WByQTy7la6e6PCOolKA -7Cheg73pxYVPb0z39BLpFsdH0ChEwONDQoxRO4xtG5e9ypWlowAtOTjbEagvuejpvgSMT6MYxVNT -6Rrr1wQPO5g34tmT2j2AY/OqER9LlZWygC0vH1JVubhjQxcXTRRk0eE2OPldN66iVI5VNW44M2ZT -AYwnLZ4fBDCznvNDHWKFyh1qd1utV6nC7iGIYp70uS8PEoOm+tvJNih57BDgX+JKyrwINFK1X1wN -TLocyKyo1+GVVja0S7HoZ/mGd5y5zCFCewKlAudDPLJ4p8lVyd4XJ0+aYH/msv3nw/tkJebUl6vE -XUKKv34oCwpJe3T6qcyfDzFisjk307qvR80Xdv0ZlHuBKp5GApE7WUyZ2Ef8KG5BwQGzF2oxZ9sf -q6oc+hr+i+bYs8CCDx/pAHyTLnOzRbXzTO2OhzD2ZAbODkbJEEPiCN2zHQIFdssM8Nh4WqIHD/wt -JiXnr7hlc11Rd6y1g95+/77KisyKcjyQcYtEosDPVv1iuRXURLjl15RVNeWvAck9fNUtX7uNxDOP -PeD7A6iifEoTklcRKRDu2twbLxMh7tDdhWodX/Y+79W+u2hsyZpcLlDVCQ1pmKK+OURkRN1B9fGm -xnVYA2uXMnruw4pquVpomoXkgONtOJnno2lPUZ9C8Gj4OBsywAX/AgwZM7mRrEhNE4LftpMY7UFS -Xb2LwgJr5JSIb03f+6RIN1VdT1upoO0Ccac2P1yyejn1y6MGDFM+lmqoxCa83Zbvo35N+tOglqAN -WT+j7WS0L1MdneMWPL+yGw9FYQ/xUih+boLdZWKuhmdIiT3LZMpmLSpQr3tPS6ruJK18w74FdkHY -0ZluzVXdIqdSFBJVOgnyGO3s6VybrZCpksFgRvg0ttIQPWCUtfjH2WqCG8LfmY5ETpo0m8FPtda2 -0Dr4XF3NOeLhtBb8Oxw8Lw3spn6DI19zhCom38LHEB7yewGt+l3kML5lKSaNrvYbRmGYri8BdVQ2 -HPFIzWUAZkQQcYxvAJCDS8cw33dTtg2YFcnpHzKg8eHrx60BjFe/89TOAooB62JcyHLYBLKWUng2 -XkcORRDiBRrivfUTQB8BB1K8BVWLhgcnv1b0+PqRBoGPB9xCb6TlVWXzMTJ6udGwbhtHfIvIArn3 -9EPy0YNS34kjzzETnWFb4ZV/elK9DNcImFmZAztIpoREMiEStvb9+rdij6xLRreOaoUQeIRdc9nb -JIShXVeFNhhfy07YpqeQAJhdRLvEg9+aXWH7WUUbjV8GFKNz4jisqnlyj+nQi1PW8UtVgSQhGdXv -D2G7WcbuXFxkePZAmiY2493JoddtyBEKVpLf9nCIeQwnMFwy9iZ7/LHymHHeaHP+m0TFF2WF9yQg -cc7loKHiNJaV/OZ9zVnEwdjfIuRtSofdaJI3aQ3Bkd2VtH37it6VSBka1SLniWDGuU1Gkc8tji5G -5VPWYFaoqIL5W5VjlyGURRsx0Xc/btPKevD8BrzzM2WJ5WjCkl5App6E53dguTOW1okkCwANfyAv -Us6XVVhlSgv1EjUGLYA+uVV0ilCxhdvwiSNm2p/pLCxc5uBTG73byTGzS/6jzq5pSCDjSHUZGpVQ -ucBIzdzKaWKOs0qqDgOBDW53Rc3T8obLZJ4+fWU7UbXXMcasWix3N3jrmN3NhlfODb1PhaDrImpZ -iIRUZVOC4KwqvQ/Lzc2GhzDKbz5KY1XEznlRoe8zVtFfSNJQlEIi9dlmYdVLOMiCQ+2blCWkXvta -P3QF71hB5tBKK3UZP3ldVkCAHvO7QPldh5E7zwfcxalp8N6wUN/+OmL4VJ+vTFqnB+beahVZa6q/ -eh4ZOrRgRV+5fqRs4TZ0FdeJLBuZLBv1uSAAT53/zrbur4vQSPJcslzkHr8hCJ8RkGbjEcjarAlb -EJNEMtowNoCvS8sGB2LryAQd2JXJyXHl9XivYsEnLRmMvWgRiPenfeLT0oebIkKIZfRcdgcqXprZ -KXXpP8qanky8c44Iv2IofgajYrvZRlPu/48x2q5Txp91zkDxYlq+Antou8OIHr860hhbup/sjISn -+420uDZrzl0NKNEX517J7U5nxZsMaDzhJ+//3WVb9YFqDh/v9gWSkbOqZqAGh0RXN3Fft+KuVNmA -PRm8YfUAkhAcHPBsPqMcg+1vnxIRiDbZvNpIxepDAfsz1NZRfDH/qJPZEvZ3SmgUrealkxcYdiFs -WxpDdd4Q+oc+69qTWds+qhSp0Z29Sxok9S1+Aj8yY0OrlUw8GfjumYVACVp7mPcta3ecD3fWwYlq -drwL0rjGu8vGXiJKWuYeSIRgFlxXAOf5DFwFufwrdZI9tA0IOFbM3PbSdX3tS4lAgYrRVX+NQXCL -haE+Q7prcIGMOOXwT+ggnAMnSD4zfpz9rEYzbt+kFYbHde4+H20R+nMC1UHN5mIblG6CCUx0mRNx -DWRyzsD3llT4ayKO58IlZAqDQsJKbsqgrt1qVyPh8OLHoA3rHRxpJXFx7W1ljIiv7UCSXKgCjYRD -TMQzT7L38b8xl+wc+pjmGpLR4lh59r612150AVmfc18Qa+0Th4ANpW3M2AZVeCocCuNYMf1QwCIq -S8WGJ7tmieftpOImLcEToMuyQ5SF+rbiU0A1I7wcX/jh+0pObxxMTHBQAOkl3Uppyt2Do3+mXldo -4S0BokD3n8gBus67guwwjTf7O+KvoEU0X6EWsaWb0yrHUR5Hc/QyUJZ3BfdhtXGzyNUudoANETYe -2QeYntAFo27UBfdkSySENW04UO2oLVe9tQ2D5sWTig0MsWQYIIAfLoehVjWGM09VUHKGcAPH3uxn -3BV5P1zoEHdI0YLU21t1/2OF45rqKiOnEjeD8zT6AO2Tm0HZsVjkVeKRyEBiWEuco95cgiqJE0gc -yLUdeNDFHKJuyhJ7iZOHRNsOaePQW0P5nH+Z61Uu/idJc2F5xXmEIeTxvC/qeewRgOJKJVkEbX/W -tDppGEAO5xXnkD0k8hOewfFCJ8ug69SPVHL1kCoQ/lnyU4nSmHruJos9bwAaY/VpOagUpesVfoib -bnSJANHCd6k0Vx0ftJKvtHjYMZD11rQ7WTsHS1HHAA90XiOAF2JNP/sRCW1OomddSU2jTnZmyNSD -VoCGt/5wF4YZooulw95+FCbaaUGcPN6BZM4kUY746972oZDIyxiA576/y1ldYsAy7FavmHcR5OQJ -t4d4FHvEsX22wOJ8KM47q06i6CaV9MfmZk4K/kl/5KZ/XEZftsUw+Tr8PSbVLbzDFsm+QTd6+rLU -wfaCVnzyhIA0WrnKMkcSEfJ7/M8gLtZl9Tu+XVpYRSHXcuO21KeUz+g+7W4aizE2m2ZDPEngELDe -ABOnmmMSICNJRoRRWM2BOjkDqSt/2trutvndC82Gbapyut4Z62Od8fYtx/ispkzLi2ZmnRBdDAYr -AcXJdE3/xLTJzSIIyq6Woe29yL5GWwAPlmz1mgtypgp3rF53u8g7NGkinLDiqxJaYGyyBybqBUle -9vd/lUsO9sUlY99TlIQXqk4EMAe9WFZpT4+jiZhR6SU0WFK9xQRPCNvdXi0PC1kq9nb/ShHslp+W -lq1BLcrWsJZTqKjg2/7MunOpt6nP69dOU//3NIjHEpe22OK3QTtriPGoROJtDIgPxcJYJf56XaNQ -qNN2DxpIIXSJuzQrid/fXd8TedRx8k3y9x9FbYFYX0/RrUzxO8VKjFJRY8gJVNVoFH4j8qlDEZ/R -clOct+AGIpzIdOSW17cjpLuSzof+fm+cPabIENMbhjnzNxCo1ZYweL+jgFn66wc3+Lm286U6GoMJ -X61p3e2KonC21RLmPvWigxKR1fipXZrlh4CSCWZqic1BYfbl5YYs85HSz9rpJp+PLMVZn7pbh0W2 -GOGhgIoW6/Um+KoFKgKRRleZ6NEZHUhnruhx9qpGXwoNZqrHin8GBwIObqa83Wv1VRnY9vC6kKOX -ryT25LNE/cAtdQo+qd3w67mncR2qzrWz6d08NW1grW8K2Pm4iNZ49MpaXsnGKA9df8LSg8LqLAXL -NPwk6xVbMUipuZXncmXHCsdiRSc5RiSZQhUdJWV6nqUZk+j5SsV65ZqtfeId1sovImnkEYyyfeFB -WJx4k/M2D4E9IfMvcRPeOT2UIWbTu8R9hTf3GeodvPYvDThhLcdnCGuIh0fl9U4cNmEuNQwDLrlt -JvmZ8DF9kO80afDkYY15KHmK+MnyZiZqQAcTTo/KJki9qbkisiiIqhLwDYBhHMTp40Rj8i1QTlbS -Rky85kTQzvixTFne437e9BMYIFH0fYf6V0pysVm6Vckj2kpcMCUkNvQMjzngm9ZQE2NMK6FNJrkK -GkH4M9dZOyXBfiLKaCsMXOTzzGmP3t6ajfl2T9zZNjTq98MdVn0Yv/s2JRGUNcO0yeD8usN/B2fH -AWqzSf3uuOHJpl0IVVcOzeCq5NaDrTjcF3C1OxBC14chLO9zQdyUfg9bu+8TaF4iFySpBoYnJP1G -JdGAKg2LFvIgLFND8Z868PXcZ+5yv+b7oC1KsWgJIFN+3jRrEKRvYQyRiu/rKT1HdkI0wsekEJFS -yb5sxVN5UzFeP4B+lAMSR3lnb2PTKVUF0Yt3NiEsZRAuvfb4p5K3OKq4svt8sFI0eLa+cFQK+0AS -g8mUSUMNVPUalBzVYoW1nVQpmGOHcb+sTaY5+2MPCMcvbpCwWHv6Z/d9KagT9pE564/LnRL+wRwn -HPre0k8utZybXaxJM1BYHNkvoF7UkZpCUEc7mqby4P2acHAukSlqlwilDne0TmI+jHbLLvp0Duhf -WrOnQY0H596Sn9s7SY/P68buGGzWetvvybHXkyJ384tG/QW6EoLfIbYqfh3RlLrycRM12svg5/yc -yY5zmlgFokOv+odtLN6kCVb0naJdX+uxcKoRmxDv+ZXHMtipNOPVNMBnt9p3/ErxXKYiiUWUl+8a -PPZWu9dncHRDzrxOCIfoS/YM4cHhkKFVQ4xgjoLEjDln54M8wr7Pxa8onvZ47YIvlOGZiryKNZBA -EGKquvrC21Q0TygnxV1f83HwP5PmtGJlCwnRsCSDpkBhnmJJbGPOql0jFKOQeEMN0Ri0QPyR5MFq -+IONRB1fOxhhqHimfDLf15f4Pc8LOl/szZYD5xRtZ23HgMa6V9RGPuSK1ifIWJxy++AjIjOrmwf3 -kn838LiDF/ZT8zovZHl52/GKwfp/QQ3sjjwSKtDVuLBYBSc4pG5JcPbLw3hISjCGeNzbTTy0zPwf -1iB4Go061xjltKV9/mf7W8LpjlBXCCtpP4OBnB0/AztTx3tE1nHwolnJ9l6zpdbCJ6uIMeZWY07R -VPEAPar+5+Qi75xflMqPPTr9MuVlPsJp8gYVPQlYzxzz2oQMBbXzd4NVFAb+sgFulSjwy+P5xaOV -pawGiycxkKTl2Kb1SByzIEYNQXU9CWbvtC613XGDeAKY9yIYlYS2PIboPycrb3StW0c9/7/PUQmQ -PN9voESf6vVMcoAnCNhFAh89l1MiPuZ1AhdInBkO7cBAwNplBUkP47fnmjp9/kFYcCXkLii8NeAC -OJWgtFc7P+ErO+z1jX0W8Ol9bCcB1/AHWcatPnRMDhixJpJnJfB9b2WKdTPnVbNrA8GShCMlF9fY -dlxMyoc1oTIv3qWCr1iNPQuXyzxUc4K7GDeT03t0p/YOn77dV9YwT762NRxnnzRkKT/lENcDvB5U -qFExm8VPaCUR8fMxP3OxOjs4P8mIY9KoEUEQK3nYuCOI4P/9kk8AgFwaUGkD1b9eumYOw6UeNg6D -gIog/1ShdlIG5dwQxuS5nAHLBLJvMOceSVHi/boaGS5MFsogdwQytGa/ilZStliZ+wd1QU8T5S7P -4nqvy5nhKNnxIFmcWouR3SQW7nxSEJUkwsjUQoRD4kI8XZzxKkKxV70qKh285MlEGoCiayXTfOBO -sBKdiGmC0BLOTgJ8iOOHjV8vimCzp5ciTaX+L/Oql0xDXoW50jgoI4+INDE1W7MN25GEZXUOEF3j -/Lkik0zDwlmuKBl+1rgRSuuqKy3azquSKF9ykxd6yq7kZ1YF+UUKiZndHVtebV1U4GzofHSJ3Khs -2Ijc7GMB5uyblHPeLbEcLE+NA9KdHjmHOHu8Z7gIK9SD0e8AuFuBNaufGH5lgXHE+I6DbCvI6IYF -/QVN9kbgLBqDOEMsrYAFiYC6HthA+3F/ubiPS6pY+EHzgh0jh9a8+6BzrZeFFnV3h0RKHx2GWMZ6 -I5pZPrZF8nh+B8J0o9BH+b/s/gjI7D/VUKKiZNtzkTkyZxlbpfzh83POIhIxxdVjRA8PliLYPX1H -RD5Weratt1pTiF7iRvdVXj+h1i934+EgWx1syg8fn1bZuaEEiuLeFSFNbSQ5MQt+tJM2QF1+xFGl -JIKQ+GcgzIbl8/jop8Vcjm+N6nJW3HeKWhxDJw0xhAl2/PfD0yzRmBI7ap70gqrkDTtBkLdl7eeM -LkOiy3bckVH+VQ2fgvXfl9CYsZwIn+zMWVui3+USvziceVzJHrt0Z3KrJMiQJfH54H575QQo5zEJ -B04eCnZUqZXqvrjp97PQp63sxB+I/x7i9sg6d+Owq8WtnHuUdDES502aCfCSZYZrPqNlb1gf602L -gK/1q7RUZJZy7fu54tNr3IKyv7FrXRR1d/TxdFplKntBDfG2lLfaJepUJWU8HTvwmpu42GpYjYml -rKWw9hCwUflO9Ty0LH0BqR3Mfmv1cx5rkWT66RYBB4EWng1E1ZWKKnrYX8uPcWi1MD+hxs8Nph5E -3eUzixisa85bUHVgWxrWjTg07p6gpmz58sbHpes1xykE0qHvBOJ0ncNA07Gv5rb+0IbKF15QGqnq -YfnoJAjr6j5ivToidlUDEX/+sRHB3/T3/9KCOCNaEKDuaU4Lqz6mXhhvjM0ptefuztuxIKZgCt8j -aZqHKVZMLNtIAigPIyEeJvIpIGR/BQYCGX8063C+5Pm/LWaz1FNqU326vcZU7eV7RtZOEnOvutpR -DNDtOlnhR7PItes575cS1MFtHS/RrwgS0RFBBF8sC4qNbhC/2iZgW+5R0Oaq8EeyE4KzQY0nUdSA -ViJMPNRY7Tk2NmSabUFQ3HCu/WlYlkYrLuYQUlZgvQ4lKUxzvoVlnUa+erwZehNxnOeAWwMsxTt5 -jGdceHgZ6APsqd5+sSTYngehuA0h5STgAKwl8g6Z1PEAUiKlq8hFLmFW1mJ326pSJYKPBzkY0emw -1vn43FnNl1zQhp5cTrZ736niVQ/Z5dxWRwvNZs34c2yFL6nC16tMtSogVRnl0KbfxJY2ZqdAW/0h -/3EVKVXTyOvXnXkO14Wu5LzyZMmXbCH7nyXwlfLuBJltanIyKozy0IffFOOvIAhWUIUUaHyxzJme -wjf3jVjGoMUVe100lBC0ppi3K9kxlXfnFijGGB2q9wZA2DgMe8BoCuxIxnkZkaNOa934q4nPh1/k -4+oC+HmDGPS182ZzU5Uyij17zjNUz6EjsgKcuxZVOOic6NHW6zebuty6Bjh3975N/SE3w2NrbwBo -y5i7v4cUPeIl7rlOl2kHUtdkq56/0mb1ylulvr6eugKrSV9hgdMUNXtmBwg8K6nfdrrmTJqWF4Rn -3qPu3zHBIqETu+AadV1RwnMYMzvh3Naks6AG780wjw+t2ZUBxsiTZ3SHfHV3VZ1knLAL0M6oVF2r -M2mDcsqbuTXn/1YzekHN+L7jVBP9VjSAcu68ERhxfh1mOy9dau+A2I3EIFVvaFt3CBTWtF4hU3Fz -INw7xxZVTgQ2Y//vFw9Um/oj9I/msTsS0HfaQhT1/5b45Id/boNx42xGWUueJko+IboX0vhozmj8 -kUCs3gWoK19gf8s10cnjMd0td0W+K6fvMeS4g84Xjb9q0xqK1ClBC2vCb1Nni/ALd2rA6AsQ1ryI -0pKUv6WAXSphv3Vm189AEe4c5rCk1ncMAGV4A4BaFu/nY6TZw4RHcptNjcAtoaV+0i4Lny+tocqV -f+JFK6gm9e0hpnUQ3eb8cTbH18sXRbY03p5CI1Lr/4Mwg7c7kGRjwWfAivEurh1Mj3yoa3+LRjqm -XrTng65wttICIrfU+EL0a1FPBsJc6dhuxo+capFBVepE2puUyBY/SEjlDrWtGtk4CDksCIwpPIcs -h4YU0MeP8WYzx5kRWj8GSr07/Bh9Wp50Zj9HrtdoQn23Yya3+gXHel2pKy55Lphy7amMQxrr6anV -n22V5rW51nTANqvdVvlbmqqznW5OwfQZ1DC+fhk0ClFz/SmA3LpDjCans0dweF55eInDFsGlLavy -DFIAi7ohIMjaIH4+lv0mX9oXpmAqVTw29z14JPx35Ht/z/au2aKPoiGJ+BzmKeX/ZUY+otdyrZK2 -nwrW0PJZkbSiUG9nhFw85gvoYR3dbCTalFlQBuCoeU7QQ6hyRMNde0/x/glvOMPwHyeVVh8YYdhS -C0UteC+yiAMY5R+d8oIfgQ0I5voJzh5CnkFQ3oZdaFHQTx2ooOK+uA/utB6riGHNBkFPJAOHpTRY -ZxQB1tJ3LwtxUWMRBldko1LPHjkfz16h6tPA/8rUmz+ZxstmZU3hgS/psZtkpvRx7+SINQGcPhAN -uvfCZ8V5jEZ0YE9gyq5THqOmawrc02f2bN5Tr7zUH+Etkl1vVZZWMediDwalCD3TSp+sDMbLauc1 -EHGFAs7rNAb5wI/VCCnANeYFQYn3Vio6cvgCtN1TPTH2tcjx6e4XAvh9X8yb9Dc1wNMHptof9xpB -1kW4OYX9mri2RT+mZFin3tYLQkTWkcGbvL0SaIYly81dWm7wHFtsZJ9fXyuxa8aB00zzaiGpRsmU -3iU5nUxv0uGm+y0qsn9Sh8s3lLaP7/dKf7jglBs1qi3trdAC0b56s0558BZJ1ZhXQG7wdEBipP7S -5mF3vsojyeXf1dGbayub9OUNj5jjyHgz00Ba6C6o49TRFe+Ap3hE3OkA2OhLGOj9jK4/qyqjAgnt -Xz2ukkTo7Gu88B5oEcj2mbVcTnA+lVz+WzvL+uROkYechsU1XwSwItQa0TfzRgkI8aeXuur64By+ -eJdRSWBHS8UQQesH4hc9k+MNgAbeCnO/2du5BjXF4sD4XcyZkt/LAJzIzhjahEolYE2G3rOpSknw -f8myGnFOUWIWsktyD+FWUcSp0rSZXUFFVLGF6YgxS8Dj84n8tY7H1bcxfMq1vtEiIidcxoq/Ct9F -SdTsXF4D5XKARpeSFH/BAY+JI5vrQFIEUrqcXSLtmQ8tBIBE4yyAf42cG4GdEJffz16+Pa96T2wy -tk3aC5sxWd7S6g8KXMfmd2siltEEUs64W9wFWsmtyN07+ysnmu9d3lWQR6w47JDPDf5t+BMy0Cbi -OqoPpXgAIw337WmIbR+7uegtvJf+DV5fdOr2cqvwANnvFmSTMUDDblq81PYkcrwTVFdsSlKAa6cR -RI5caac8CBQn8XXpF6ju65ENBLih3TuHF+uH5MYbBmmDqU4YuJy5Gmc8VMZHK132tDuTnwBbxrvp -1eWws+nzXFBAMEW2XYrO6jDDDZLkFyFiHgMUVtC+tIDd2CJ15OW8DaabpT54m6EUutRFUoTnWdRp -itfI23GILfaOQ+zsfWjd6pJe6DXRofQ/KLhws7ZaF0Cte42Lao3b00yCwfk2rXXN4n+U5n3ESHD0 -pf+brFehrEYjntj7EH0mZi/RKIl0MHk2cVKd92xhIXF9TiW4qcm+6jAHgD5nlsCVd++5SG6M2+Qf -0fqO+NpWVavAZTU8BQ3ljb/kVlLpChKMN37EwfKBFTJyXJIk+KJwpeMDZusJ2udx5mJ0nor7LZQV -eX2+9f+xORtvwz5C1dr0HQTFIo0x9Y0tkKYB8KHYQQSPcC3pHdPuPycQ2PEzz9DJglAG5T3ZfMSl -nTL6CcKz1RW1GBLFO+5oLVHfkKNFIbyX7illJwMFgdzIjlqYDh5sbP199Th4PcgS2OhLvW3zLiIb -urprdNIXd4dw9mWKp8oQpB+KL/fvTaeMZ/OfESQPUqgo4rMDmK3bPeUxqaFphZsTvBMHkcJYI0XZ -YipEBhQziYRmdPk6/P7rKBjglEfttm//Nkjd9QYpdryGcoafp2TOWfVgzGSbkZ4Fyzy1LEt7T9sK -X9PF4MQqQgVwWiLNF3JfcMI+1KENrKoAjOyBhhHEUjttGBjstROQ1nNiuudIC7H7ic4gSTJ7jcgn -5t9KVLHZwnCWRC2r+O7yz3I6DvZkeAxzIuxho9vpVp+1HLuIaRWtl+Yh5QCWdWEBLuCO/clnz7rr -/fwrUa7xGr5QypkponLqH3KqQBFnbja8vXEyk2XSF48wwKKcSOcKBBOzbvjpIL+Q9FzwWhPNDwCq -6RRZaUWuCs4XMgbaYcGhWOZawd8F4vD5qFapQc/xZ1pIVLRSGP8fwMiraTIOUAng7eNIHOioXewz -yTa5ha6V9FJcR0hnLXgn6QEXPPZyBu3jo9i5A35TT6VEkaODgefTT7yPg2YOxQkJVvaYqEFlwkst -jfatUfLch4g9IGa0mmRgzUu2ageJ4qxpCbcIbE6flyMTj+0C7+nk9mxrj+tz4bRtEVeoZbdPonGO -AvDo1UNd97xarXbrkHHx7+iFRrc12C0jn5/lG1elIckOW5eoLrxEPpKC4u95pf8tyo6OOSO+Omnt -P3T8q/mL6Q7YTKAB3V20Bna9vUVC1QaVdEtGfbCSE3BMV347u3Sb9GDNjf9wv7y3FTjH3gvjvCEC -gCPmcYGY8zpkc9aVj4RZFtow4li5aYa9ttt2R84AoO3TarSAdDGxyiJbLeF4pkYaxFAAavyCTlyj -QaYlX6hAmlj1AV5/jaaWfhZyZajXJAsnaENbzUXCcmAtQUVbg0C0aK9DRkTUJnqjEjjyZdjIDzaU -2Zpx6tQUMdH83iKaV/M3roWvzNLMb8zbFM334yGo8zi2DJd2P3twm0/Xrs0VOaOMBXXwXDm6R3VC -EARJ1DDTaS4CE8Bs8+a2jQzoVilwjMR0j5qX1zGSAAtIJscHu9cnadUQRTHE9l1TkYZroWH1P5H6 -gSHJku+bB8q+vVl63gmu4OLoEhuiRjH7la4Bv97bgvvij1p1FhtxekI4xi7wfINiZmwpe58ps5FI -wM9jvMMjp/t8XnF0ajNPfysoCBN/Vv3DklNyfbupsR9XfFhfdMZN0IB6Hb2ir4vsEY0c5Kq/JbVa -VquJljiqTmNVDlWzhydcZ4+Y9ZmCMepM2sqZXFz2TBOa8P5OZfta0GG+iNntoYG/TOyFZVEFqTvR -pU5Hm1sbrBSkLqo4kJfzqpLJvoy2vjs1oCCGvjVyqnWg4ZfWSgF4fV2dDm+OMlOcOAVTNNCrDlSo -7BVVnhlP/eMOTH0XV1cHHA6/SlgG8o35zXl1QoPLA/N19AeL+UimOOURoo7XuKgaYFS0e+LNkCrI -tC8ewFN/rAcF682+UPY6CoNYwM2CG+eV19B8MRwklLMJI4kap9hZl/es4rWuPFg1ojAF9c9dz4k4 -XzcWbzptm7w4YdUu2d1Ako471bc+HfQzZ4MRrfwR6gyYrxkL+PUEbL4shWMOmL4zCtOV+IpDNiPy -rqL52JRL7PvLwohrtoVEmUNvngn5xnJM9XEQkLXiQU+CDo5WuMEniReDA202+GFS3t4nuX370hlR -/V6rT0/w0W4u8YpEpdrlQd957D9R9yrD4TqxfjxmcKZo1DPBhuCx7lmK3Y5BYiz4LAQxEcY2gl82 -R1nonwxHoda/acjUmmuLJmvjtopLbOnMPI+jRBjSU0M8YLLdO7cAVqwCUL9eV/2MdzsAx4ybUpx8 -/Y/1iZyCF0xOEFb7mlPP0djIRL6jUI8+HzNOWUb+vcbYDJj9eLqqHGkpk7vH5Oe9URAdRz94qCOX -EmdeHf9/7bVfvezsKsaAA7u1xp30hJR3GI0L9Qs5AAn6uUTyQQEDGd70e1+qxR/HC3oKP5uBEUfA -LX0HmdqM4rGBbneOzRpltulR5oIkv02SrmFVSVJ2Kqr651YVtZ2+aAFt1ODcYRVZ4va1XKwgI+pD -W4LXNHzUgANtAtvvc727zeuo3+521GZI6+/7v6lzv+O7xBoKHUdb+EjAfmYm3NCvMLQXPsL8bxzm -keAq9dnO9BKrHHDq0rVCUE9CPITnO0LtG8jPQ+3fuM13nOEU+icay26m+xgRCs5Qfbq0qjcAX5bt -vb1hOyc4ZzMgEBn1ROIaCCYYst1OX3le3JxFr7V3Yix3cggoOX32f5Hf7CU0ZLc6ipIbjzoRvngf -3KBoRCuQfOcQlrww/IOocSB7rIWjYmXqa/G1xeM0hA6K9MFWc5aRVsI7E9s3U6oiSWH53IlQMZE/ -ZdmVWvsH7yRoSwD2KVN3TafG58Sv2M/nboank10Y2wSm9chG6JDXfo8+l9iurbDKo4GJ7OtYTow5 -WUIFmRDuSSmmbKBvMjxb69LuTsQgGfSBKslrOsNToRVkRY5YF8cP+T8IIbFpq4K20xU2t07o2QCo -ZRZaKvxxN11GmW8DmSCt9CUBrSv3RJI2ice6UyAeTFVERTRq6uhuk4OY85DU6envQwqOz4Mmgl4o -Ez3vQXlIbG51fdiLIuw32AykXKT/GWeHo9H6ivI7RvfE/2mAFKsxdC3asI6Yl1z2DqjyttAdK3LE -+pbx6LhxcabcaWShmrLaScv6MOl5P85AAH4v2MHm5xD0qLqIB4FfAlGkUbmPAzjY/ifBUS69A8xM -xkCm3WTISaQ/Tub5BR1gAN0LrO0F6TbyTLIBjh7exvqy3P7RerzNSgU1EeB5jH1mBKQzTUZSgleH -XAnwCtACFicNL2P06cQUpADtoRPhr8Pzx3M2IR9VgktuHJQwpjybpT2zWMjIdyJT39hUqjA/9dri -phehA9xWPp1Cas4Qi2qXNxoXSY9nUmRHUwQpqc1WcqHT1ItCIaGdlgbBmOMJAYTK5e69aR/Ive00 -73nXoQZrRsVR5gCAfiPoV0S4LHW8bS+Cnc8hkw2KO3UcBsWGhrk1/yMHmVyNFr0dJ9+j8p9gyyPl -e91BJcq41Vv6xJsZdR/9Vg1aA/8kafukdgtsmgvFIGbXFCfo3Nhd4XDkyLpYC4C4eXe2HZQg17wp -BIdMBNB+gobT8N0X3BZGX+kJKRhvGKbsoLC6RdjZaj3lxHbqWrfDwnEpjd0YEDt6inXJXUjTVQg2 -Vt0iFM8Ksqrd4VrjTIcIW8vMYRv9eZsEc616s08c81ICwWWyE+79mb+O5nE4k7+eCbFJSlzhfQ/H -597Rvk9jHI4mtnb80mvf9xwxkDUxH0HMc1O9wt9f0lGp/Kys7g+TbeHVGQSAv/q3UtOwTiQLJCoc -imaUePcp6ICo0cfny6lTMEQx5IqhfqfIqO9CFc6LDQ36HEVvVP7uOa996HryzpE+5Ag0YDobKURC -9po3OJpHdesJpwlnv/4cPMvM/GlF5BA+MGwENmvmjWf4mAcg9zZQT8KA/fPwwX+xyw0aIKOpmsbs -yOpcBWR4zTUMbF/gqsvWGveO5iGcq7kti3VOOTDv3CG8gAoL51VlxBXwWr/CMtadkdHOhq+TOU/m -mTQlX75+dLSYaP3LgbJXnCpLw1OasVRxnnJgLqaXsYrUKO1XrkuTWTFaWPWO5Nq4oR4V77tDvCFe -6F9GF4RdfmVyO9aHmZzIdSLO05zho70HIj6gAGOkCpz76J6aI62NyuHUlKy2d/A1eIhkDHoR0vCq -xDoD4Ip+WT1tJa9x+aNPx1OFilcj9KMY1Yb2eodU+X4cwrCMYsaT714YnWgXz8qUqAyZo1AEjpQH -qiORdnXVBeMOfhN5YFVWmLauxYL4v0hVqlYsO93Ei7rftYmkI6zeqUJdFkARW2qY9ucGjHgmzTl4 -iZEuH4jPpkw8gEYvh2cGCdGHHcFmI/hptCBLOle9XtoviA9sLWQpj6ITPoLk28f/mqXGbpsMOakH -CM0hcmpe6S7S0ZLHk/38BmjCUGyeCnHyckUmymB9uQltfq5x08kZKVhzolUb/yAxKKCWtT5JfZpF -sOuy/uZgADr8CoOyXwnCeBV0lgPAzJzAC190ekhMbPEwsLKUvVz3g5YCA1NwxiPxtJ/cHOktv+XS -MAXA9Mv8PHerPPI9tcDX3/a0zGWNATb2TdgxipXdvA6Nllft6kJc7OksJxAZcEspAsY3CNH3rDIJ -oHNUpXMFeQbDINl43smmQQABXWaaOzdheJzfXvwYcW6twPRBH0QgQ89pX38G4Anb022hT8jhpR9W -uxIh3Qo4PmkF+bqTmoJrODPrSFlg3GbvPd0sh9zDD3dAIbG0P4ggx4gdZEk0W3A6GverSY7hWe63 -BiIkjMX7fn1yA4BG/fpdjATG/AKgvZqImiGe2tv4Z0LT/UJZ8EGKUZ26tSNvFUSnnl5TkRNNEiSN -J2oCAt0sUbVRqScvptDsJPWnl+VcYy758BvUG9qtdd9+lhAq7F6py9bqyQvoLpaTmjYFH9DwGo4e -FZMpyYKcBZAD8RJXHI/wnrz/a1PaPoByF1IHAVDFPQQCrl6mcxwVBOqHjJLJETxKUMXPFfedj/I/ -UwhVsWRAP5PWceBQshcx32CZlF1k/4z4AC+bYv2cRexrND5tQwjAQ89WQ9CNFJqL9eYKQgZLW3fO -nReEFmiDYoQJkjOr9GEe3nvdQ0LY2T8HeXJvOnKXv1mJC+JB8ISqOZozaiHFN4bwoDeQE4T4twer -qscue7xeyMbpVRYa0i7QgBNS4N1ju7ESltin2R8nX61hfWn6KvgtJPMXfLdBNTVS2bdOpgdRI7Mi -xDKlnlG6MymvEgzU3UY88KLJXdXuluF1UnNay/VnClP1GKzBQxksYZLqcr6NNkMo/4lAG2gNJrak -3O5MJ2lWcai8oZOEK27G6+ZCu6hrz6tBpwsPYxH6PllEgL9qYHSbbu7o2agxibdqOsMggISLFj6L -mSmzyp1bGALrYiKiUzkxk5C/CyuW4/kcv4ZLOifyVZLrbvzfnyokjDOdjTPuHkNhj2a9zzpCiJN/ -tTMPeUPhdXmdOSmL+oiJ6sxsTBmx62izI7Ea1cnCFCsoiB2RpQdjM1GUkpz+WnzZ3uGlKRkjNLK4 -Bqgj4+wwTVeDP2RDYIs0FgK9YCEHBUngYwb+45wbR4wtXd++ITcKr+yfbf/bG67dJDcgQssCQg36 -2GOLzPmQQ59Ai3neGt9zr8sm7YxziuJGeqOJj9w0mpBj8+9VA0Vdyxa5C1ONucAzqPYxR0rvZUcd -74YT0L0TPxEY4lzBPXCIl81qmHgFMP0UHKRHQqTHlKke/mfw/eWwdq+uu6oLccr0OJqRKQOvRzKR -IDVByQVPAQDVWsxVGndUybrLVpFRu0671MrVdd2ISXyD+lHLAc4vAI6N5V6GOKbn3j7Co6bVRe0u -Al8LiDndxxXyoxj8CNMJMzyOcfA3JMUHEv9cKEwryIhu/0hZCniZ4HvM9yvB4ofJI5V3LmqUzZPI -qY6ghffCLYfoAvHWc5LBrY6S4QY+ohE1GxbfGdF28O8LwFkBQ2VI7CIqQY1MoymQ6ZcqhPh3V9Mn -9zIDOL4hwZ2MjSV6KqCHzbWb5F+tzqwMufdnyjYu9wGOfUwSr44lb66oEk0BWceaS9GXosgyLn/0 -6i4lUVcuMMCQKyslgG1tMEhQJfgfM2Wtg7mDBsmZ/Xyh6u+yBXL5V42d24W5MYwRyCFpObYplcwM -l37vi3KYc3UMIZca83iLPnCdubQcnnsO8cdPmkB3d7+DxNBfywChQlae9JHEgoiXCr3bWCds0UUP -M+2AE1hR2mQVQ//CcYkE4RduDlWzaiShHwa1EyLGdg6tllc1wvzvhBTsf29fDqlN+nG6TaoboCq2 -NC6rl/4zq/c8J6buMkucZq+3GEWf/ZrhRqSCtBIPwauKmgYGierjclR9zBwNuZeK9rg6ZkUSTrf0 -sNL85GKmf6ZaFHtH2SBkqB72sHPDW/oVFzHAsLR20HeXo+GfBGJjUcEePb8uSP8Pg5jl0LTbCyhS -9YF+rga2t4/FCen9S2ug39GL2N6SjhwsNmd0lYbGHbqEhB8kwh9IsEhV6x2pHzIN1XhOaE6uyZxj -yB6MeuG/KPtzYPOPZyT3K5e/BszZuITIp5dEcDt3Pd6wpklhbThDqkDSdo4yR6oLjaA2HfZ6bfkg -aGLNEFJRMuwofdxQ/ho/5867GyVfBO4LWsHE9KRY/Gi+FLosUrdt9v6/h9TGsJeUqesx2QG503ca -1hsk++GGh23TOOF1x8EbRB4uP+frmjK6HRTfry3wzarCSNGHOw+y9bfiaw/aVbsgKJowB6Dkw0/a -bahxD6iEEKPJVWT5Cf+2ijTT16lxCHcm2T6fGBlHUOaE4oR6RBkkpwDiNrTw5eqhDU7nV6mRJJe4 -0oSEtqgnvXexrQ81n6CPphWW5Mzl2hzCPeQTJDlhsMYyf5DDL3HR1G1XHdLld+WM3dJtInDdJjGo -dimxdPspvDcZwtvEeIwtNNmNzDiUpM1fKIK3XC4sXA2OyOCuyLB0rrMbqb0LbT7IXsNcga342dY2 -QrYSwgjrIdu4EiBNcV6BQ3pf7wwj6uGxZtEOcnCHC0qFM/3Pl+eh1tUkP93Rx9OdnCg1SJSDSXye -jEgkC3BPU3RHBSZjT772DW8R7ePZZdJrFDjXslTutBEEVFRYLUX4FXbq1gXm9vd2Nlg/JFlVZU1K -8brfV3Es8VvqqLX81Tk/0N9ge8r+kkoOQIKh85hg5Cw97e0a+hMnM7bqWBx06vjEui/fh6iUpRzy -rt2vnsCs+iBISINf/nSLNYt0PE1UvBgPCem+njBPud4xsW+Nm0xVJ9LUKMOLxf2IRlP2iK9OX4xj -pG55UzbnvbvWLarVKTdQgtDwJiQVmV5SjHkbrowp8zle43wMXEScXhF8PhvDF8WRFfcue3GkDdL9 -/aFCKaFLeELaL9QhFcmNm301CadW7xWIYEGfC4COqHYRp8yrN8cUph5pEMmUILqGmy4uIS9ZmEXO -iiR2ocVojke4KIM8lFhnKbyzQPk6JaBF+q9qxrW6sWV3IMi5WWR8r/tNn+WyoOs9/KJAZdopy0m9 -O5lM7gfaAFLcWMaq1kutjp+tejXeXMHlGbyfSVrGSuhLCWSVfmR3D49dDr4PJu/ZRP6uxbo1rUJw -gyOzsI8xd5hURflpQO0tE6oOgX7aB/2ME4U+5MKnTBUv38r1Bctjr1HJDPo/kqbqPwPOeO2BG7K4 -tKwRwoUgsdYrJU7BNJPGyp+YPgkC1POJLNyHNI1itQ8vtXgIk7RHCBTZ4944Guy9yKRjEXw9jLwA -cG3FfP32Zcvhal8AIZJNqaBu8TSPcAjy5j4Pc+1xKoUtLluXpf/FGbs8kPkk1BGnW2NYdk5ryMy0 -nvkuuWSGG3J2n9QgFPp0ItnOpI1sMjOVTnYaUbm1XLTXaWrKF72IYJ5Lz2ZPQmmZ8skPR/nEqUCV -6StwTd8O7fCBrblA2VvTw2mDV+0spwThlkH0MvlmmGDFPvcmklp0HgE8j5e57Uktu5j1i/dFPEOw -5fgaeeRfRTQW8K1MkmoSJ0pY2l0RinJ0Je/LQIrWQm9St5HCZP9n7PFu/UgTNa34AMRjdyniEkAQ -ajYp7z21Xz9qxXy7zgkgrOANjAIF28sqZ5YkygIqUkJXHO4SvKUBupV9E7DDoABI1plT514Gn5WS -19OPFowsqfzwznRXTs6nB4IFdTa8azbLBRQHhw039AMsnlgFmId0n8PyuSb3l4yEsHEvEZ+jFtQa -4ku7xACyDvdywyT6E/xGU7PTNZ07dl9A3msCP4tG+/VjREaMGaQ5165cwqrNhXSjDPkDeDP2QC5V -mtgGje0tG5qQr/zdPYi3eZjVKm6nDa7c0wQykMfTvEEKEeDerQv23Rg8VIMy5mN9FsWUmpjX0vDB -LoX2y4VuTNixluwZUW/P5e1VYbMhhyJxClo1WtFMTZj7Bix3zvhd8PLcb/LL3OxPKOPpufE1fzaf -/3ozoryhS3dW78CDZFMrmip2YHn9754HJ5dgf1d+9MYcz9TdM9Hsiaa6u/FzPO3WgwkmvjjWU01v -VgZkJheQDQyH17cGg2DKQrHMmFvaXbkBh2OyAf8hJAy35FYmmHxggc9LYjUObnSYjvUSPlej+Yko -XbKv3NOX6UYOCTxIgRXNYgocqxGjhciejKUMxo6CPTzPGXz0OczTmIPqpc9Yk0U60267iUnhpZJg -u2d0W8CVTnVNArl4a6V19E9do/JleT752ZHFbF4ePivRRRHoxw9GJo7iuXtJ1XuCY/wS/W5MB3DN -Ip00Mwum5itWbIP4h1vnWfpU1V4T9ulyIcA2cOyR6Ll4Ek3ZzF6Ha8h24/K5Di/Ok2a99lHmFT0/ -kySDfn/CRzF6L5eilYKoY5qPGmLrx4AYRDXNqB+aEzLKAB4l2M9XSVxQNRzAES+H6j0NazBMoC1W -i77Gi3ATXuGO7az2TXJuKekY3LlluPjHgU+bOytr3aZwoyxlkh01k1INJlTRosTWniX3nom35Z0R -IaAF5cmP0fQoompXsuzy/m/4JF76gnLnPDAPGtBAHwdEoeX/euFIAZxdzSOhGSG9KVUCpPzFvIuw -Y7yNgy+hpm1CXkIkndNq2vO//6/yTOjjnnKZpuH9uGeAV9FbCsZYC/NY+CPUOk7yHjxVYd2oKN86 -PtjefznR0X4hdy7LNtnF6FVE5Nf+qC29rSilk+SYosxog155IlvE4i+JIfTGOT4ySm1LZmYremrg -8KVAAcHF/yy/TttYfr2yt7vEjNUMBYV4D8GEBsGFBB2HgdQsYD8QIeHHbAAx0nTy9sanfBioHEw9 -wgYRCOcWGmYIGu8RigBOT7W9rQRrgf9fmVESCzhkHxCIoygXK/WD2XT89yfIrAvLZl4DMoO/Yrdd -FsTsanBKs0bU09oRDhmnpg5CmVj+LYpRZH1eGW0xV/9MW9G7+s7Hm30QqXYd+8ufSX+wmCPV/LS2 -3OR8wpUFvSN0ejsl2WVxZZq7B6AU0/uDR22z8k9m66q2xoVqCua6SHklWthD4timWgQkKoGvK7f7 -bBZUaCFTgz/LsrQHwfX7FWQMxKfcEKLuDkoMv6YMj4dNm31FdDUB+sjij4TDx3gupIXWVmpdU8fb -DdpG4uiyKDdHoTN9Z0aXoqfJ1nVquGUpT6JZa+KEQ+JeDJ0BIT+NRXjzc0cGutqtYm42/XPWcbuV -guBm8Ul8CgRf86tS32mZCtgBqxdblYIgFLJXj629rsCLqeJy0DcpC8yQFGroyjkzyK187ZNWo7UI -F8jGwcy6/fAT25hJJCLLXwV1Avl4k8nIm7jh3M5VYRMPlUO+aNgRiQp6PTE40e84P08w1tDo+nrh -PCSPpNekQxVMdUHGwN+LxekcHz8YkaJtQK6bFr+4oB9EfkEm1KaEO2fQYDtXxbplQVxIUEoBmRwz -rGzWZulvlFXxU9TCfzC/auv9rOY3WtouCHlWaVmNvb4e4Q4roPUis/nNzHi85MlVW+fLpeZ63FsG -964HO8OCpSVdQ1EYfHBogZtdHu/1B9a+A58N+U2LxRC5FfplVvU+Jk1V+OKd5U2YPaWm0OM8Z8LE -HaoJaJFAZYNmtHtqzWJRm3X4zo9uYNfPNczx47cpDUyZdLUwdGxq+PEDdJhxNr/HBF52evrDNH1f -+saWpiIoufDXm7q/FFCZb1tbNHVEhhuehnaSAp+VUVohlKwAl3ujtfzrzt1R4ygdMdN6S934l03Z -D2HdOHcVyHIRqgH449umadEpPSAM0Dy8dv1Lei51lt7gIpN+clVMyKgJe/6hNK1+gIYddwS66zOE -ocPRGOT67TMAMf9d093rFdWE+HuHRhd8ITqulwwPwGwRK/38kA7+eYhAGiH4kRpgXTQxW1o9vs1A -lv4Stl6faKygPN3AkRGAfw6l6yIwxRPfVPsWd2y0MCMRacRhMQqazXIY9xcoA+iJ3VbEiglPbCJO -Kk2fOna0hXidsEtAG/8rAxCltRIgS0NXlWEzumxwhjstRJQ/1kreUY5j2wlWBH0CkWzdJCouyCqk -grXQukYbuzrY9utyKuvVz5Qw2nKHSi6Tudi70r4SBmJVM44LlB8oUPErlI28T6nfwvKkWJZqzmyd -UvHQPQlnVv8p7taEIaER2kdxanMk3e5/ZM78cxCTKhA4AUn3m4kWeZbA5BzABMLX47Ax+035Kz1j -SGxNZT0xyo23A3a7dz8GKWqUnw03AgB9ytLNFIQUlAz4zfP6hSTCWR7XCeE/UprpKTaO4Rbc68+M -0DYv4ykQu25Fu1tUKv9702DFkH1PN0gamGcz6HLg7JKzbe9ulOwejwOzcereInM84ckJAsXAwKDb -QBf3HAVG226xbKA+SnRdR1aDi7RBLDwhjLGJGXiQLvSeBYaEE8o1q4HULUHKSmp002cHLKkZ0TGb -Olo8V/t4a7oTrrEd9F59FSzA9u3+VX5Rtyi2iq6LH1dmZK4vAOrsbv8P6JY48cGcBuyY6j4QWEnd -AMBO7pCSEwgGrS/2TsrtvPt+NAW+O1NgDwUrTk+TFtZ9cnTOiH3qQW5mZAiySQDfel42DVQfsGq5 -MCoGeDwFKPqV2Hl7ljecOUai6dW9cxHijRJ89SJf9vnomQHPfAxX7qMXVxmEp2EAKN/NVx5kkJ0l -uo09D/ulxmx54aJjoiI6LsECmQcvBgKFLGsvBFBz0bCl555EBfCUKT5o3SnaX9PIXd4peUi/jGmy -WLtOIWmaduUOYqkUFrUAcxVSpf0va9twVAl1FDP9mfY0GzsVb9tk41UZ9s2XbgruaX5WWB3SzZXf -81ubldorduR8GPtDdu6m8cQhVQHbEK+F+8PqlHbPnfcSxOfZTK0jqkfzVb4yeLk0AcZDjsv1kGe2 -JazGYmYX5IHXdlQ+gf6ExHuJHQ4v05PPPejU7ndgyXZy8VEu/MxbzWAKDRoCanGDJe8bHc9/KVs5 -cGn2EOOBqlnZg6sUG+euQz7o/vcw5MVrCT1k/TJ42lU/oShPtQH0oxozHI3KqCmwK90Yrbt1/3Gt -6tHyFZwK6/vOWT2L6o6whTgFQCHUvhWkBSP3Y9sie/iurE90hjvM5RZJnLkKrjGA12LVJp48iFTo -f5OP+6eypPPnGaPGmy5iMDCq2jlJdef/o+bMS2N74FiDZzVkYQUlEKFWoSS4PBO+4GxPC9nN9qp/ -Ani4yJjeZX0k8Xptlcr503mVrqv9lx07c9mbUaOQ2IY3ZjjRrnzA2CgDH2cHMaymz7PB7l9R9PaU -+YX84Zu+3NPOWt7b4pleG2jq1zrgx+M2i9iYbiAHM9pYuCVdg1kOk1kXjVHtszG09TRftMc2yImt -W5VH7MHj97WBL6YbAs1v9GtsaiXiEz4Eb+Cd+094Hb7cMv1ulUeKPFOzAxEwTBP2NCi8lOrertIu -ylUhDziU2Vf2nrMovDT6mFOtQLJAXUAklqYsgTsnJEHADxFeXY3rXeY8V+6gSlg1/ZHOq7loSMAn -czYYO2WKJmrB2Jrja+XEIKQ451FICcyOgjWtKdqFZWdrijFv8L/FdBzSIsP+YEiXC54wycJ4KFPH -Sm8dUtoh5FM3yrR+B8Jk07lDFccdtYDF2M8qNZGlOsmseExfZUalhv4QH/UnnISd1bBUIDNOWtvV -P2qq3TzSUZBqHWcrXfnU1xnOwA+FYCXWepyXISUJyUZqk7BR4AiovjSeyJ/XEEPxnTAhA8ir2P2S -WaJwDbu8zHFKu1nUuiBBpFnK5X73RBmV/FPzTygHzM3mp1rGVVotpFjwOKHHcmWGo6wb5Id80aD/ -OKr4y14OiX9nnEIoh7KmQvNZZ2I7lO4RqX9M9RTV9nm2isLMI5w1hmgTB1Ga9V8JYzDgHmN9SiG7 -uc/St+vNMEuFebjaG+jdDxQ/NI5xhH+J5awHlCMpxOXlcPO57kYQoemAsoemRbzos22rvBVuP2ub -gAAg+PxtWUGTEDKfOWw6Ce67Y01y/cNO+ZIvKEOkMBkEA7BWka8HDsMnCkC0rpXfIj4vVrOKTDrV -z90Zz4jyymq4yVBwguLKCwydcR7g9n5H3BWX5Rjs+yyvYLe7NFGifOka1FJYpdtAzRMQ26pfjIvp -hxs6tCyL5xgdDE8JHC6QuT/aBeBMPIDrLGigzxf5P450xGMTLtUC3w6To3uoZM+cYUuH6+0aim6i -BvRONY59Ip4v2ri8LKKdfkIJVADN7frH1ScvQpggxlm8djniHYqIhM0wnYOCGYdi45ylDJSo5n/F -ftJeGPECySOHs2yCOnGaGtaaK5IzDyyiNCXB/Ro5EeAHkL/jo1u5o1YLkZu40vkt/3F2YwvgzlAG -Wdvaj0iCC74JEOI0m97ObNxa1IBHUl37wl0sIL2XyQz9+2uELIqkCPWYXw15UVGxBrzfoNFOda0g -dkt+aofBS6vdCANVFY3pB1tOHEon7DmIQ52aCsozv19JnAPST+2r8/bitY77vfbPtdXyw1IMbD4O -7T/cu06Jhpw/ue3AxZd22y4fGviHDUv5g794OOoO7QULpucB9DSVEBFLFq7yzEvuPOw9lLQPFohJ -7biSdjVfBgY91ApmGkS2wdo3sNGwNiUksLJHEEqCjNU3V/YRn2k75sHdqZI9cfjva8qcDUshJhHU -Ej/4ZSPFlSZsH/8R1j/293P4hvNkfz8YmNTF6Y6wGyzqtxQi656bxkpwMLn5BNct/1ecgsOl9qll -wVjEMrPEz+fsrRFpg37uLMMue641QvH2AOy9FxVuRltrkUD+pQIfUDuhXe+aOC9GjMonzlZIuZVf -VTupXL/a23xLV/OmFvvWjogivRGKpqhCvnKac0jYZEAWZ/76bQ7QAWTyAd8FFV/mhLHXJIkDLGrB -HE1OBCz6P2Gv4QfM69GzsW3zqAX0wyPgwwInCbt7l6b69cRkLy/KtlXwmet+STXNEwbVHJauq4vr -MUAeiwoo5ywBfCetrjtNqpf+9Frx9MrrR3yDQNouIq/0ZaZWqlwgSm1fc6oVWG9ctyktAi0s3vPu -6mnSaa3PjnQSvcV/C0eshXKcgJ4RwESibrmYeSpMvL4lpzvRb57Wmf+eBFqrB6QAVdzdyrwodYd/ -U9wjVJNcPCnhHJlfVLGXaLSmMBZic2g2yUFn0vbyYD9+gKlovbfNXmrnxtWViPBiKjEW4ShGo2OX -uxkeEmGQph72T2QTH43FAvgHSQAtHCumklA1dwgHhyLg7R7Bnt8rDPcTzxFKetZBJzpfI2fOSCVk -k92Q3pUpWmYc+n7wWLphzPcXBWIpYF3B7IYS00ti9oShFs8HycmUcU3oK7uIvf7VkN5+WI2DfY2s -cLPvPunNbklJjkM+ruHA4al32ovi83B6fLILBu6KzSDK4X6lqqJO1o73lEynHGP2JtCvZIRT2aol -V0/pJW+2LXzqQq7Nj+BfhvBWR3KmZN7vcfZREPJ4CLkziBHPHHiBvgCSq7M/1h70ejaVtyNdTbhF -XN6e7EmaISZVjxWtK0V/w5Rj0ieqIbN190QHNp5I+UfKxVAJIjckw53XQtwL9BH5msNVV7UJ6OcJ -87J8ISQCQsp2y3TXh+C5kx/Mc/0g95LeyN+W93kBeuG8E/sNhz+V0xV2/Io7jLz2dpb48mdlf2AI -DDTymEtGBG2tUA2Y/LQcgEyXxjqOcCIh6ySzx6WeQm51iO/F1R9pUN1OdUZ0DAI7LUV8/QsO1QGA -5/TQI7BpItDj8R6I+K1CKfHThQH0l47Mgd825Y59gZ3zT/AFO5yVu+0QgwUICb4q63becpVM/v3f -eWWxP0ayzFygwfd8bGNTG/PYyX+XTTikOEAPpZmmgo0E+0FT2L0sojkiobuBE9x3i1yzmzN9HecG -WGO1thdaoB/H+rMG17mx63UVQWbgAsiAyPxOXGBId9MZNji6J4spm5ECS/Q1QUNQwEABSTxv8+Mg -Ej3SS5m2nO7Tf3nDueGnbB0zxaDlDT3q5G3nf1E0aRUfNHE1S6dPlB2F107LXo5hd5IdVGpLqz5+ -w7dsLGsZ2hy4Q9SCp7ItS0w+0yQV8Spd9vL5eFmcUBBJPe1xBeMAT2nfbhH3arqhCJn7z+ogTBep -bqnC9Oler4IpgEbA/iDUTk7pyB4KNOndkU+2u+Crn9+LrTqXPjvf83oRldHG9lY9N+xBFN/d3Cyd -uNZmllYUYI0vwcn41BVDUz6PbHE9eBsMQVToHd0aOrOT0GPLE4Pg+V9HJ1n/MGfGRAKYXUgFpT3e -VzvXHezMoueOQ2cfZ+wLJnnE6nsbCJ/luk+CVSFJsmWwV2011t/afPMk60PDfP7fuUASkvaRs7UP -9aWLQsjO9dtJr+FK/0nx1WGZd8GuMHOADOATVTtxFtepoiTnIbdZodHH2/xkD+2kR/i9uS5DTPLc -n8vMOLFewVP0GIayJHjOkIr7u33k3t4SFK9vY6MSKAfFxC+g+UkSp6b3bEULqLm7hrqbJ8Per9kp -OZmrg99Dr20hom4R0TpaWKbTkqr99kQHfVwQq6wRpr+oYfVoj6kY98NP3Z9aJEVqJJKL1CNTI9qf -k+/6+ICd4318Pp/J10AipLugFHWfwajm0YFZsiB+qAEqLEzibdQGF96ET/KuwDuBSVVy6l38D1ti -J+aHxK4ft+L5PFjzrRYpgbL05iQ8qP5sth8DH+3zz6ASNq2A2c6LTNUD0qGUCGY4j6azflJ4dIoB -xXqdBgIQhUDek8xZpYLXWdn3mJRxak7bb4X9l2B/0Np4G4e97pPiavfNqiMV+Z4M/gsW07nn+at5 -IBD8+ucRIT1AsJ91dePvaEPWfiBHkhif/a9fpUbmRUqUPnEmotludoY9EiKe7XII33fmjM+bRDPN -y/jW7Ycu7nu1Pd9sBMAgqMj2dUiTNz+/UPYoFYS3Gu+kwapivMOiW5qlkd//0yqSGCjnWBc2BY8X -ZxTug1CUoVWhX07uQ8wsESzRWIjZGiYbg4mEQozibTFVqC68iuZfViaWrC1u3xt0oI9DnBRBNQez -dtofY5tSWpW7/sRM7kVsAc6Ww53rgeNgMBYTf7zwjPRThie+qZYw50jhyZmrIV4pBtUuojufdzGE -h5MtzOZSqrcGPf1/LFz9gNNpWkYBOaGCGI2v70VZB2Y89nioTCPGgVSJNszSvNVPrTDLEAoGvh5h -BesxKCFZ/Em5D8epdhpQ2/Em15iIHT5CcP4fU2eRyVSDPoppyw3i494j5Dz6EWBfgo6SAV7ffFcA -PCQcC6P/RCMtcxRdm4qulB54xyLwtlkBPE/SsTll7r1B5/nLkVN7eEenGKj+mf2nwL+mtWsa0LgQ -66h7GWdwZAjbdPnMrTsxO3hXKEHIuaNSjuETr7XB2TJr8Mc7/odpEUo3KO/0pWZ/8Xk052t2jLdw -UArqLyR/DaJSntXkmpdXqWbGHoS0UVLLpO4O7nMgby6IcZ7KqO8eyMY05WHcvqXANxCY1eRh5T2w -c4CXGDShkCSrufYz1DiA7WAEY1umff3L56rQ3+FHLon3mP4+7QMNMB0F7W57Uwjo4jVGGPyoQlyP -JgKZDOR9BBgiw7GAjqVBpUH0L9qIUF7v2oVsQ/HU0bLzzWFY9HBHX/PQ9rK1aEfK75S098wsbdik -bPtScOhw5JTHR0NqpmLm8y/On3ZgWt/ujf9c2IGW2P5U3v3aJNmc/S7jqR2noAx1ONEbs6YkTCT1 -6xO1vRSHT9/Z+N6PixzjxRiBpCtiejv1PbJzVD8/HgdnuQCsI3fXEW6ecAPdocJsExRY1fQWytZW -9C6+xPOHTlQiGpDMxlLV/XR94lmdsnSxc8NX4S3OUbPdYG5jTwqfIunMNGSb3Yk56mRD6HOrcIZS -yGOj8bAGGgg5pCqBakTiwdzbetKHebwqLFN+9YfLUs9cS7yklE1px4SyOFoLZTuH6oyWkosuWwON -+l5MgxvFOZDwxjEQMrg9R67CuOOjtrVPrlsFYIn24eF1rxlbMEdxN5ibuUleD7H97DG7+VCfjzNX -qZ9x7uVVY0b94dN242umgqmB4Md5sQVl0LgcRy7XOPN9PCn3s4o4TJv5rtgXu3Rd7wLXQE7Ug9IS -e2/9y5VScc4K6R40fr7S/tDowqkG0BP5+zPOXh2t8TKmQWdkdJKXYn4m9Huw9JKoaIJIslpR31xm -HE/SVZjHd2v2iWRAZyS3fmrW3BgOJupv49+jGbNQJ72gy/oejw8Jvqn9GiYYmb4wReJrUYM2CM4d -qelgRP/qrKaTkTVRCFXQJC5Tfd4oYHSPXSilVnT5/VTNhkE1B3N3s5hC251IROkyFGAlzSiOuoUr -BrdCePpvsgjBnrSnETB6BBWm9Xy8eK4oiV+9Tgw17IKrx34NfrvyjGMP6pEQy/TXOTulSIgrqm1J -D5j3WPJ5YGyZA30uUiMn1HN1ZrN8mS5QMPUqXIXdijb0dl3asXst4Jyo8fdbXmYUpi0lZT+sEfBI -uXNY6ubQZ+aCs6lb0NEZZL2QZEinbk9WZVMU9pu7wyn1xCh40KMR2OIMaJiIUUz1/zu63rpgK27L -hxGzPph44d9gfnZEoaEwJhDdMOtDSQeQoV+Qp8cX3lIbNxehh1bSQmOghZrRoJt9iScoymcFbRdI -0/0oLgB1WlyRV9d+5MmMtEALtE5EtgsAwa9IHx0GMC+1/T9Wew7HB4FxMk0HaG4rilxWTQzQf3lk -u8EXaYCvzssS6umTKwgXG3KhXokucz/GN58xwd3rljpe4t7ptl/3jTa3Giw0Gq8HkwZ7WMyZGyeD -7amu5P2XW2EJFhvjNJE5y3b+jYDkD8gwr/DhA3xFpM+rATN8ASq506SSQ2FOXUXbBLzsRtT2UUub -HzdS9vqBf0PwoOqd+jILltWWTJ4u8rst3apWuMOPyYR57jCDPLWHh/lpQSkd9UqXP0AfghkjbALf -MYRAER+GxBknWqcke95iqiuDkVHCByQ3VL5JNSzA1TFNmFzS2ilx//HHajNB0iOt8wTk6y6Jonbw -dQLZFoM4SnQ9EUYm/UNcnYozXmlG3PZ+RxcG2PklGzWFw7CmLw3nhRkdVk3pTiGI729G8QXQw1TV -qc8OrjzDuaZqLHXCoW0zizfz1p9MiIc9l6OIeyXh3YDFeFPhFs/bcfHCVvHrRVSdJaTUvGUHdBdY -hpDq3eFCCSPgOHo+wLROWSDRdsdQa1Qrw/2Kvuib9yo7g5iZ0RKcQXeYUXRCCnDzJ5zAC5y4IpnD -oEsjouDvrh46y8KO3dWkHZlHGG1lNr6sNOJcwMPitxCUHRWUr5wond+oCiMM/1pvnbPV9rlF3B0I -AjQwywIF1jQGtZyVNuXQ1dcaEQcqnO8rIQlI9iAJ9477C0rH2v9ZXPzqenq9j1K5BJuyFMoF2XFr -LVsQfX8Iw4me5AzE3JmC/swHxTpRtg6ka4pdJcn5heAr/MLHRMNtO/KkV0J/2C8xDJWo5GbIDeZS -butOAJp4P5Th1ydH0NpdyuIwfx2uagf/bobynUMMluOEcp+L6jzEA50x2/EYhHwnEmKQVFU+mHPU -ah/CNnlWyTaiaBFymaDSxZ1bk62a84R8boGqfS52xHHWep1eTynzA2Dk1SU1dBSfg0cqM5LDipBw -/zNvnngOtiENL8Ducz49vt0sJCV0CkNagp4YWUC17zyK3SNTELTlsVgx+vI2NLKT7JH79ld16VqR -ZdsnF9AmZ4fTNECowvoTxOXdTae3Tn7x+uJqRcJs8Bok4VRbjCQvhcG22gMQey6FYAdb6VRtlUM1 -S6n8K09siiAINyZ+izwLpXm3DvgjV65MSB0THWnvffl5lNkqoLywUvkLyykK0D6LJ7li59qk6F7O -hjTwHODeiRAcb3XShdIrw6TrIiKiAYrf+mcDo1gaA6TktQ0L5irSuvSMqB2uNiZpYtzd1gAWrvTT -e2iuMk5WkHTGI2rW9oWtum3nZ7azWKzx+uleOlno06p6xupGYVMKem1Zc0l98V/5zbXBxAxAJ2qJ -AAzF2MDAKmsw0uo9Rg43/sOdT+0nAJNKaF4wPIzWX4B+LoFmoP6k24ch+aA18tr6HO5pFAQ2V6UJ -38PnTt2uPIe2TDPm5Z97NA8pm1cGlv99ka+lCnN2rutJt80x29DNpQEMFwZhO5ZPnhNTcEdGBnwd -D4I38YQ7icXAVKr2TSlB+5MchsSOb5EdgZar50nhq2QtfKYIBf5Oa5cYQ34ECL+4XcJ1vVXcbnsb -RqbCwMjpZBHTdc5Eq65y/+JA33HLkc12y8laYKehvO3t+YPVDjjhLenxvRou8aUk8EXBxEPBFmq/ -WInnKDuuFt+jyB14nMmhuETHx3bJamWNIE0dC98bUvgTfFVZWfhqYQRdDKAXhEp0NKyy0rmnMeee -mV7nB5NhkcopNHRuFDb3TIZ8bZ5oJkMw0j5TNSAkdQC8eH7sj8CEKeakVqrjXEdIdzYnWDqFnCMh -44FQoX+fzRVl+1w/hOngx9KDiU/0xVAT7Ns+IWQK5n96PqFNnyougfP39Di/A3q9g+JMceFxZK5V -50i5HyD7Q0EPkixqxK3VkMcJXn3u9EBJhBJUuklwnIKMxQGjJ8gT9G52Yk2Pk+Aku0E2ThZRT5hl -8h8r56XeqFK50/1d7110GnQK66OEeluRcn/bn8ydN0ABkwXMZkpd6hsod2OaY7EFWFw0Mx9QHIW3 -mkumnuCPEP+1lA9CY6/cdc7y07vKMjTYgw/rfMggkhWabVRgGgvNVqgcRQTQuZBsEeBd3yD590F8 -thcVrFEuHHfgegpUfVyahOK+T8rjWs4tYNM7MtTlS5wqDzBlmdDvZrJcx/RoJ854ASVdVKkcsKrd -foZw4U6xxqA3+POXpDZY9HRRNu7ZJFjjbP8vcYFLaSrymPmbbWoviGkAHQ+yyJVwh42FpNRYSZHx -zB0z+mez5Mf6D01TAqOgjaJF4RBxgpDlUsyt9lFqHSz7v3iKz3oNbNudG+TpUA3NZic7QMAsf1Bl -i2A3s5+GTduk8fq/9UsLrHDM55LhkMFS2A8zZdfE+NlKjyD8O/K+aQB2SMDllueSZy9/guDEzi33 -Qdh4HPuZh6dzTZRgJxaHOTeG+MK/7F3MLgnIQ62yMdb3jgo5E/EIe4mBswEOAXsvFEJAKwbmga2U -1+49oupJC10pFp5F5gHD/vajl1+7redFOxO2ItziKEVOoH3/KUlhlxsP90Ie/J7/RjhusFku2lWg -m41amSD69J/f8G9eCYKujNcsEUVA878AdqwKEQ13aDO6W91A+jQmcPyNHIr3338FqDOSRAEW8dW7 -xzOUU8VUb12rKrNufUbgXZJQ2lxgrR7FIO8YE1PiZ+fj4CBNtE59QIx8AR8PbLCK4ZVb1X4dcUhF -ohVHxNR4A1hz+YNSo22vzVyN8Dgz6VFfr8C/5xTHSWHkUdzI8l4b4FnQ9nQ4zZ6xNM9bRwlnJCKR -fa4Jj2pWvBvWPktNmNgr0k+tEvnrxBCopYWDdOcQ8Og6S6pn19aZ8HXXRdBwLowoN5Qcj7FbHOKh -YjPSjRsuX6eFrtvOtSfsmGPyUC8GSqJG3Mkg2c57eW60577scwO/BmkGxyH2JKjEdMDJ5JectO+m -FUwaKpdnUftD1JwsnU2oE/WR+epXnIVDwQf2QY+MHyvq5XqHcFwmnEZEXy4JsAOeZyMI3z3MoX1e -+WFcBp3bW/k3KdEWcfd8YIjnm8j6oaobfO9lcStmGJB6OqANRjuyFpVk9dcZWH1zrI+/LxBntCve -hc0qqeWzhvqDe6JSU+5lzQBOpnxSM6gcH7jEB31apHnkS9/gnM33/TRoDfVJ1mmx1DIzw2sCprPQ -B5VknZZaxW+0cyP9dfPIZaBJ5dQAZAOKfLhJ6uhCRNrQaqJtM4ROtQ15gwjEtJRw7yq5fylnrJg9 -JkcbPuvY9IUNTZ8eyq6N/IsJkqtLCyHBeSDOfZRw59+bJEC1E9EFMRcwFPKLYh1WxuKP3RP1ly2n -36wPSr5qXF3LNMTdQAtx5rSQr14yoSSxthRNHViqfCakjZHablS3UfxnztEgxWHYzHuaEJm2MLhk -HzLZEye3I2cHLLWT0W93b0Q1f6eWCyS5ulxuQ47h5Q34egYKKxY9AvN9jH+x7HFwHvQVrX3I/OLz -tZzUKfwHomKJkII6XSYOxVYTDxer+4srsYNtJWUkpwCW6pse7oP/zwnRLKsj//CemjxMKia7D/6c -XQehw3JfdIbmnE7uQqDNafB2KMAx7KRiJBPw1d4CkVAtUe3TuaVu/XNJ3+FL4P4zUOiZObJYQqTS -oZjQeWFVOosZWJEL7C1GjaGq4GX0FdFZnAb16llYmBLKec2Mx8IEgO6Dk/uvbCAgbB/Tjhc32d4E -SPVcykBSuISs4kBOQkscXmSBjh3vfkQfpiWaxNNl+7F3r9WF8x62YCFiVEEft2ra5h8RFrzkRiRm -5E/5xVSJ3HROxl/juEIR1J/pG22Pj8/4GksACPQUn5/v9zuyGMHkXSBmGIxpP1aAyNFoQj7oO4Kp -nljBtPAvsO9+TRbNwfDHhJySUXN2XA4HKoug2HUPSO92fWLNu3zVEnBa2Xw9GjOjrhA8m+FuLIbS -ZYx2TDtwVvpoc03vTTNvF80ArCJ0iDYNf/fU07+vmIKHuI1K0lb6bFVkNbMxoNquBIhV3DtOCvyl -oHQyznNfdyNPCmZbCz5aWqbCompRZ/qGYtMpf1UavN7rK2ukKu0/SnV2b18+di4yZrUuydFzLxdt -qwOs8Xm01dpWo/ovWnUtOc4F3NN9FZjs0E0aSUd1o59eqQoGBQIcbBy1v8/n6f8Ax0cV2kmqFMlE -o1XF4sxjDqVXsAD/PtHOmEUw2PiHci4CyQ/ptUBg9Kuae1+zdTGzGavfd5wB3PjNmaIryHCb1Z8u -49x2qtExSv725UfKZYE2W/lQdZa5fuUIKeR+JKu4yWvDllbwRRS1M3G4twIuGcn/Aj/uTi3cuD/P -lPYOWoxKe2HwUD+KdZrM5WEPkfgjnq9w5DVn5C7if3LZ3bMfPRR2Of0X02iA9kSQAXBiRQHMRMkS -sWgCl2ISdq6444vcBJGmzI/GMLQkAtTCiyi5aPpNN1hSYb+veFBhYavpSoDIqJq4jPtXxNW0H4N+ -7mdP0h6xP+pR6d7J4f8B0009RUNYtLPlXl7g2OKl7rWfuxHRUqfonPJ5JNxno8xJUGTbsFSJOUaA -5Pszn92+2nfNcUoiNy0EbW9rx/nWue3mK0Eof1lmIvxM2CYF2m8+fT8dYB55MuRFlZBFEuQJlnRB -6289SY+6dgFYtvt+7f3+19+Nmj6P4YnePUB3MDPO7oMGX0VM+zjqIU6CQOsbc1/ezDOR2iSiZPc7 -dgO38InG0zaUAebxmkV6ist2bQnXvgrMnOt2ArzWbNhqRSG56nXeeB3SOfrQjSgtFNWbv5myYf9W -z7d6iat8AKCssnSe9mjFINc4rJd2u7b4V4AQs+lXXz7CkyWgisCpAWeOcgxUl+/3OCm2vPY/TlSk -fmp2FZB4kVqUnJSisM8TVQnOJ5j6X2V9RFQafFR9nuovCtkG4db9de9gzh/gF4X+7b8kF0gZA7vv -RDM8BUTbmYf/1E8o7LA6ZwzS9GmM/UfBEsygZSccsG16NVe9tx81W2ioOFK79AzuIAE64/7KCcmI -XCO3I+QU9wRtdOnYKAkC2ceviliNLlT8c81IsJGQjltM2g7ApbaNGuf2IJOPHdgA5oLG5+DzShRN -zOQr8bdln/91A6nFA1xM5WS7YNtJHbtUAO4jKL5FAHhm5g/OJ0OE3v0DTw5PnKO2cR76uPrbjnhI -GEPfqe8pmnGjAyIR85wcqM+ghTppHFCyEkDNRLeH8gl3lfJwaEzdbc0Sh834opNA6PIeKdomn2X1 -S/tcaa03Ge5ZsVD6vnesQMVcpwP5N2ZE6VM0sZw9qvb0fWn8zcXkOFgHntXNzTJLJ4kY142y3C40 -cxJjxbglzyxGsRw3CHXgqsHp4QfIed+V00Dsbr5ngWVxVOfreCovIyrx7S9v2266pmFze9GwwLgs -K5Ut/xsIEQRN4K3xIVzhglV173azN6lK5vbEaG76O7bEOfgUP8o9BpeD+1QMfmnfupxPMtmxnLTw -NleyGc2tTqLaMEahqwnZJG2+19XtyemGGtMJK5jltgQcg3rau4rbXQCxO0Yj0T3xh5V1DBd4a8c/ -tcsomqDROQbZbSu4IM0PNr2+CvEhXOuq8L7MYqxSeMZ3gwR8YCGYXslp5iIX6VPYEUkFoIKi5SrD -Aistaz1ezBdohmbCT7PDRKeP/3NSRHqD2aNLq/A0sbwRSD8BgdPA+OGNB4bP2Sd19UhqoFwAeNtb -SgJoZJTXA9CytSHbQoDHlpkGhLqczpglV492sHCBtFBwb6tbNral/Rx5+AQJ+D331k/1O9ZsjUI+ -gdLt7pei8Kvgp/eIHylVtTpmNPfXAmcC7G9yGBKM2iqNWAGWWM+APpH5acdALZYDlfru9HwDSWy8 -wu7dpq6uhgnjIgvHHFj9TipaibzmpTtUD4wXh1n7odE2W3eSDxUuWCU8gPLsjY0/087ELwVe4e7R -J2AMLBnzdGkDoFWw03yNNgb0crpF7J3bhxXCJcBqP5IMlAuOp8zFu/eknzIrVmOJy13VGWI/GzMG -By0KfB7lE8/Q/6PhfjvZnEi3xSy+RZY5PtlW24rkDozRF/vAGeDY56LBhg2b/3e6dhDqx2CY1Wo8 -2nKqUuXc24KVej/3sZqFxZYWVOcFikN+BxCeXx1Fe4RxdiNosjCQB5BHCgCdVi9CqCETCvybEz1u -URbAPxTaP50sCvB6G8rIkX8FUqsnPLzT7LOHh30pIIxmfJ4xDLfFkRh+6E0zlSVuyDlzNGp/N9lU -QO+XknsVvylfogFX/B+hpm8wWBrjRwGLwcjGsyrM4ouYVHqpgLYEbb5xlqlWUSyMPL6PgV8nz59F -e+6S91xZbOmCUhwQJM+jKLtEdufryQezZkp9M+tmK/v4j5Zdclxtundjcfp5fPrdVAg5SOMqNxk9 -D2LZHxiDRpgvjVGXL5gn2z40MuFFuUoHpRYnjA674kpfnbjAJYnEx/hwZOz0E5O/dszT/JRfO2vR -084bcM26+Is/rKE5jln64onNHwUjQcXD/gDpEiuEFWEZsav7Vpr0eLW2LxD8ED/OGXEwB0RP555i -4FEx0SiJJ3ga9GABJ+bt9wEXr+6KFidGfYa4aOUUjAhAn6+q25TWduNV0VYJ1YqI7q2HpptVSkdX -Yg9c7oMRPgzgtoZnTMPYfoociqUqWu1VkvOTXLOYn0d6TlL8E4n9PtsrABtP/qR6k+ugbXusbJ22 -t92u7gKus/hNUeDwZnJlGHCZuo7WYHwcL37+zpBg53uhoBfoQeBlfQ/Xx8KlymFr90AQ7XgLJtaq -f6yNTPHR9rpoElRkSSk3PehX1r/kX6NQZBqALqSGkxzOE9FD1c1WiRTH8SUuNRrgYfxd9n3T8/K2 -L60I5qqRSXif96h8C8yLgeAG0DzjLMBE3iRe6YPmhZddgsVEbgOgeoDZgo5EuMUx1q3wE3yaBEEV -gm7iM9y8J1bVzs85QwqQET4wjgYrTW/KG9/IzKfQOEDCYU78YCifbI74fX4aRxJv8WIK+gykSflX -dfxTGaEY0zK1/MpX6U1aUw+P6Bi4kyJghpxQVo/vKeOGjgYAvLLkBj1Gu7N4PM12zv7X56JMvptA -oxHooPNCf/zgPXvaUlFfYJomg+wrjCV84dbzXl4CXS+sY8js9b6M57qZFR1agNG+YntNgnkouyHS -o6hPrvACVcfzPysc7mVlVXLn7jvu9g+y4ydbD8BnOfsNdWAIua11drBt3lPbPZb2NT4KlVmdfj+F -JLSvIOgJkuNYkSWcvKN8D0Gc3tz9ZPfV/x9T46TqcEsIHMp1jFBQWwHjDEWQKEdwCIhp2O2sjIPM -6DhT38hyiZFuDZzWiu8LRCPXYFaeRg0GWg5W4n86durajIuFOU8c0v6VVxomM4P5SSoF60O7odx8 -gIXV9COqvUkawpODPzi6noFjn2U4UDJmnrzCr0H2uW2ovXf2i5xdTCQEodHBdcwtwk+iZkFv4He8 -a9slVDjE4I0BCWHU6ZM3uFSBFCDJPGL7KTn320+QHTdXBUuu+b3wqzScXRBcqFhoMJY7wBwZqc0E -0H3obBK9Iw+4uqiOTZvwY9ui+Zzn5XOShvJTKubkffDIlz/e333ZIOeLQGxMjPyrIcntsnxvV8y/ -usIiC3AWMlwbUBDZcqiY3bvmLAlf2+l/2mAwYe+AatyLwugCyrz+buqRFVm4a/rRdindXT0f+ukC -YjZPRC6astNfhL+yoBSfN4sPGJLaTdlcri3Y0eGDGmVRSlpfuJ7dBXr5vPcbM7/gmctxk7QwcYsd -/XTMXmFSqqF7yI/hsI6uJ5ZpS52z5tze9arKTCHDJQpdnNIz9LTaoqgkpivcCdQq6pgGwxd83DSh -biV556WzpBiGuiw774c0KBAF8HX75BrjXCX+3Xjja/6DYf2xCwe+udF95/DX5ffyQmauUiDGpUzt -1dCXTJcvh8wAofl+cO+qY+oDntheBrJ/xmUTG7RsFCEmljIqul8e7/f2JVYWGsrnpJOSCGyLkSzz -ZFtpEeiu/h+X0u8iDlNEM/tTCzkQ9vK97yset1MHSf55MDuJtxHHm3J7k5C4wS3JfpIcwc8VvY/O -99AzS9QaUO+OUJ1L7ZoX0H0G+2BAvr/PM7arIpSmOJ6dn/9lN+g48AB7LW33Wp0X98f+dJGKngr9 -4Ka+pZlRjh6TMcRrdP0p1rDE/cgCWn/adEug1N6NVTxD0yQbM7K/wNre72S/NUJ0P6ROJK+zRuHB -5UzCQFoi64xWntG380Rh30Hs8ITS+yswldcMhnhYZ9Yj3AfEUqdP2/I0IgFIlIbZ9uZ6hVbk9RfU -UAhZFNORxwPoCBLApDgOgdnfkQHR0cPyZM4e5uPDnZAT5nJR2XH8sx5uVzsyfEyK/2+vlJXdrC29 -gqH4vFDaeOja9J99HTLvKWrx0dXfXkIFnbUUDHsUYjYzuNmpfRrRH23HR4sZT54LSLNv79dredYO -xDYgZvbMrix/0BGQ9RKUNwnd4ZjNLBCjgTqx1jWyYnBgEkPB93mE20VkJiNChNdkrgiXPn+o2m78 -tWCYV+/DlSElK4kZlGE9X+D4YfTIYmreMlTuR48jBlRhdjEoAkWf5vI3VG0mOnLod/rGH+tm2LFd -MTCvgkJ5ioIO7u9NNrw2WTLvJsJvo4p1bG6afxLc+JyfjVI6te6B+VY/bsohNkDAiLb3xos0KJl4 -K8emE05PAWcEBHCzMY8fr364Dzh4qAnGGUSz9lRNLNkUS3mp5u/em7vhS7XgB7wAMDyvROzTDpB4 -zne9fRLxGuIhQcHDyhlKKew9oHWfvG73UvSvfiQ4gr0EOJ2Tf+unMIvu3n5DziMOVyd3yuF8Gdqi -P67taz+hLQoY3DeqzXK8U9HNKYxtsp/cGBxPG/XH8/C8748djZUCRjBjRJUeK3pgPeoLIIQxabUG -HZtk6gIyfqSWIm2ZU2E8ZxMcx//f6vdcj83D21BAAS1f7gsP3qupiWx+ZiRuflKKGP/qhReKbltQ -xAI2g5vAaTTACEPEB4UFAlO5D/Z+jaVAnYnd4+uTl3xw4DP05NTVSig4I18RxRiWU5p8XqNV8D0N -/CIWZ3JsFzttiONk4ebNlsz5UXZh3jgUtGXeUKDiTlfKD0CHhbTQJfYBuUbDSddw3eULyObJkdav -6rhTdhLqT5zRzBfcFKCrk/EHcJ+dPDqwoRwPQb/PUxqWWIhd0TM1IIBNPtjNACQwkMmjqkKhFepR -keXzDYZY0rkY0OqfcqCQhPPF1H+2ju0bA5T5v5WIsZBza8BtHkI7IK9eGQfeXLFBrIUsNX9FHyqL -iu650cMHgswV10ZOkUjRGzF7RsO+V9VSj4260zCo4LDhexc2zf0Wg8qTjMmqw7ygKgf7Yl0kiPiC -jxlTxr/5J/UogWV6QRGlhW2u/uBi1p2OpmGcQCqKRNDUQvLQQTHD2Ucx5Xwj+4G/ycmpdPS3wT9g -eUiL5n9+knBLxkQq5Qiahtd3/x95eepxU+wWY7kgHtOY5bLWqdl5e2ZYc47UwAe2aBHjpdiDKqLe -fgE+biy3Z1GPhKwvfU8Tu7YbsZNCND5ArCN974BI0iTrNPDUWXgqpI2rixk6fZCnDtd6Qwduyihi -7ULRhr2eYziItgDpXxQ8RzYSFf0o1gyV5fvpn068zIVczkP6T+l6JjbkKy14Oej+r/O7hY2v9oIo -2U0uiilK1nCfAswH3/r8FnhLiYqbMVeD0hq2idrIIi+vjsHy5sGt9WoZwB/wYO4HWxUbRZYksx2/ -O1PjYMLKkpY5fqzhP+tCfSRZtRXTN4A0Oskb/w6q4Ab4WOlEyDBH/vTOVdgWqS+fpH9WJXb5t9vd -3Cw3XAJnUWdsTvQZzQyMtSOCiutLL3BQBqu0wGubbt4K7brPFNq5rDflNRyOVWIH3ScWEOIrs191 -FiTSCIZUwJMokBgBuW8CQctWMwuFypoex/7VoGaFe8QbqsJjbPx/Rzl5kN/2ZimmQ54OOj3o44KG -aFL+0XCOB1JHN9slZ9DoBDPJVS78ts7SN1SOzeVasQEnFsfUpV+ZJ9hV22PvhUK8U7LSagPGJLER -xseNoW7FLxAjEX1XMfc662svir2jS1sZYCJaMek1jSrKnz+oGVBC/WkuXlxjYcDeo+X3xqN7iZxn -vk/8QFAC/IBMixixqFLOhwPAHWIC33yLlanN2XHcZ6WjlF7dAMil7njVEQdQWTNzloVfhc3cecwN -e278jiXyz9ookd9VloLngZt7K8NV8dXrcplWXayEZgGSEMb6r1ThnUcHZc8LUi1kAftLZD1hNEFo -EjA+KckkWoXZknfU0ffETzS3p6C89qFvUstnDMbmid/1goUYdSDcsR7r08w1XJQMsey4iCldQ8F6 -/3/+naPOgZEJPjjseFFNF/cVJj9/DmHjXvx1h3UxD5eMyZ1xbVbgwr7lcHgO+q9Aa2eds5prBT4r -9Om5mZAbuRLayiwJwt9kmJeBlfjxzNnt2+zdrudwpqSSiNOkz+1kTlazQDe/kHlj2WuibylYblCw -UppT5uYgnjyx9g5CeSX6da8w/udgh9PONuEK3spAXaRUPY2kuH+8Jd4Hd/CpW8PCi1bTRZsjZBBF -o7fC1TWzhoeDoLE7uP5EBMzJ3bNRcFTNCoJLSD5yYBoeiqCDpaApi/rHeT5qhfnFX9F0bpXZLhMr -eyOCgqcnzT+5AlAOYKJjoBRFvGJsSgUM48pJfxMa+eqJTL/ExmaWrrVOYJJQm5ZOCGN0G/zMYCng -EOkXzhdp/GZ3iTE3jFZsSKGu3FX/TwR16coRohFwi3qoDnIZN++bBZk7grCXrqpYIrWTD82Xltl/ -lkYt+de/nMJN4sVACzvRINd0vfOwyZrPhZXaD/ekHyDxvbppvSog88nFIbUN8pOgmDE+/YXhrUvG -OT6t+qllanl2XaZuWP/+i5IDcxjOpIogSANoTPfWgJMtTVGmpoHTxDYw7jj85xowQh1je3gG6ywj -I6JzTydvDIpu1DJDGrH5MKPZ3A1cfcvfxb4l8o9wy2BE2K+OvCSg+FsfYz1VK2qQ2calw+wTHVdJ -BZlCaL6t/qw/Odne9jaVIiK0rWNrgHsR694gQjffiCH1GyqNwsRwmptYHAUGfmhOP2Fd/H/02ud0 -AbqZqJYffXLJ4b6OhsTWIZ7EXWev3k++12drSFEAJqBezsn0vyI0CVtRyIgo6U7wCglYcQ4DrWb0 -Py7ZgZ1PMCL0c6mlzoNMW9vnc76YNPIv9tAYe9/62rqGgR7nIvWDyT6IYjUimzgY3lze9KWEO3AM -I8FRBKqPERleDcf+/CAQYxbZahZtzZA5V+M/8209sZyguMeBOYCmSJM0vzV1DYXyKb2cER+TqEgz -SOrSoZomxI785AUWgKn6zw04Hvusv6Tfoxew5AKLtMJcJMNFyFQeDlyoQgkNwRpJ3l6NPiczv5kP -IgIgFsCdLus0dZCcv73hlyrs06jU7Lw5BOYdWLJAX2KUn3e6CPlS0bPKkFGeC3RdezNQLvhL3+gG -0CrGFzfXZmsoeyrpBMTczAz993nEyw9PLnhB9L6o4gw8V6A5Ay+tuz9XH56IUsZmzO0bGLyIMZCt -s2lY60ojrP44a4yaZahuJjlLM543aOqYKVld/gUyZ+U3/L0B5Gl1F/3ux1cD6G2oRVh3IXc2EruP -VoZROSbs5qOgt6yqaVP/JWUvowbufrsRHIw0GIx1zyHeJN9uph/vzwQ5nAP4qUIRnmAlSbChMljJ -uQaPE620zzO/jM8msZFStVHhK9aM+gyThbwpHmcBwAtM6kPz67R5d4TQWH6gFHRuIyCTv7AlEjL6 -t3gPJ/FKt4MstQ47lAXLgahLSXr5htkyPtB7WiP50ppj+TZ2Ztsfdv3aSixd9nP7T0N/VB3G5UgF -/Qne4yaO0VKe/Y8P2U56PDn6vBwip7PB9D6wHPTbFLo2hDQDzTwmkb8YkWxRVH11Ik0JQCdWbgkM -utx/8QiFCULyvvSD54ZuEDyonByIdUPjDugB+5LW+uASYJxjGa76Apa00ulw6LMMZKTFYtAS6Qcs -LNeogvgNkyAbKm20Bl41AgoQ0ieEGSXfVJ6sRuCwPSTII65sBONCecLDQv8yV4Xsqlew0RtRzu3M -bppSDxT9EKprtYqDqJvxpNVYWhES7m92k79PlBzpyzItI7dbOU0Lp1kigEzjND5L2B3ePNmx+c8Y -tG+p/8HKoXC+6pefru4JgGtuXplkI8mREP9qteBzDuJn8hS3YS8Qnlgzm2aVXFC40lKpv5iyjqq8 -TbRxnHXHRMUHD3/yf/rLHyANFGi0FN7SdoJh1xgEIUUD2wcD2Z8Y6R3zrFFEKPiFyfYE3qvhX7ZQ -MoD3GTj0MjBKu8z4Wxr6kErQmB+IG4HcX089DM6Z1fDDK6PriH5oi2d7xfnlf1fTQp9yCErAx42Q -+qoutUcU3k3jtm1N1ujq1BryLcC4pvvDJzLCdh96W9wxd0vCcbG+M4N8MXcKOZ7D5ubqDDMknRYA -bEgKTUlHlnb+GqPDE9Vj6UX92/AMJ/sQhJjUQChmGY8pP4Qr/KPm3kHTUbajnExaFS/KAejm72d9 -Hju3Soa6TqdVCuvWv6VsgfaWUvPjJorGI6vZSJx1fVmPZ/0hNBxa+UKUoy4ki5jbkjoAsmQXoM45 -WThLrW6Kca5k1ivP6avypR1QQqhTFyTNW11eTap+Pjl4kLxnqMxBSKEImWKYjRbLj5VYjU62WARl -z60Xxp4uBb4P85kw1yUKazetXUlHZpWlAVTYMcMqdQIqouv+KOgOwSeBYRokjYzNulKoErgtLFr5 -/gYjdmYKUaBzTAawa+VKaOPR2gkxqZS9lOvEE8Fv3AczWGoG5/WWt9tMWTrZYQFYIDMyfvCItkdk -BUjDb7M7RXsquPXZlqEIljUZgvewyFCX6c/mRXBJwdXTCHd6E9YqabaicGNjVCTDlkCszK0FLHAY -1yKnkfTcmFvzxQ9HCGNyz7/SdDQJx5EFaIhzmMUn+7aMVnaC+/X51YfiATqFut0NQ+SeO6+EYfE0 -uZ0NCVSvE72zosIP6w//FnW+B0Hr6IN8BCCetl3QbCzpNjxzwUK+HmBtbTkU5UXn/bn8qRi73mwy -kfHthLHkqk90E0kivXewV1zg3VvcKDCCEABSOF6HTSE6PoT+jay/QFd1cJTGnrxdionUstrOIpXm -eHZmCj58l77enP07yiaOgF4uEWjJ5ASpx45+lwzdxnfGhnXEqj/CvByjQ1xwoUcxLrwXHF3k5Jqb -HmEgT18I1hUbUq6UQAOV4vOHTPKUFFeJtfDfJwvgLbg9XDTVGFltUPfg9T+PlTuXsw3K1olHYDiQ -9VgqEeRPzVD5ZMq87NVB7ORFQrQUlgAMufYZ5in2igfpJJRnFc+dL9fZkCj7s8VOCwbN/yFMgJ1W -KJdo8l+FcH2Uw+0ojvxGzQv+uNX6iv7h1kFQKI3thxtFWOrFya++Ug5bHyoKzUJtT1Y9PTPQbAH/ -6S9e+4CcjIg5rLKoRqLnD1d0GNF86NHWcv1Je/RvzfKa0WufHSuB3mM3ukgQhDeUOyAU/niDLGxg -Zygxl2J3SZcJQGLanOgtSpvLnjy84znouFmZKIcv/V088PYLgNQN3GNwNumv3eWm6/3jFeDvHG7V -xSKenARJUKfJiJXmU/ZNXsNIdpJQB2cAEQQU/OQVlJfx+gK1GQ91Vm6sYhzktX0mVQ6xee+pg0L0 -O2EsJXYq2XvzV1H/7m1/jVd/dW1JIVT17Ceg3/bXdULesryyWiPU6P0xYkpYSFh8O/YQCCjLmGsI -flp5GMktq3QKrZXAfuq5iBcifc4RrK2uIVLRmiOCTRzSfJlIchlCkg73GvWvo+hQDm0fGDw5RlSz -Rv5LW9616M/hJwqhOggdhiH2rtx/vIf0keAfswlpbcWPZbHwRdz8Dhj8BhKIVMlqsvwwN74TsPvx -MATgqnU25YnZFsrgK8MNlegHgUJUKTaw06EYtSsQbOxN6s1wNVlaNh1oA/tDze7kxayWDtOXo86c -7s2f80jrtF+fyS8ReMy56ikYuhon7d7irn7oPWwU8e6q12+N9mxkGeZSotMsCB30nzHaMPT9lWfi -kQ/RrHAkuBk3JRhB6c6MP3yG2BxNhkEp2CnDToc3Lvn4Dg/3JSHF4s1INihVWJnjY6O8fn3oUn/V -zDMgRd7rp3UUEgyBkwWDjFbQW4rjSlfyBbjFjJYI5UMLJFwEu4uQdbby64x7fMT4T5O3eyTG4FRe -Rauxd6DiYy4Ec0Ep0nqHEvb0y0NpGTa4LSM6p1d3DGwfdEbw3h3Ph6uIEmKoW+XO9yF3ia51lw0b -4aemK4UoLD/wKyG9OkCOTz0b2Oy/gUKHFmlzvWQMMXxoqTLx2KnA6x8an9mazzuKoJVgtKv3vBd2 -WHfif+KD9P1g/4HhGzkRvkyJDsWiMqnvV3nuMnTSAgg0kFYnNALuvrapKp/N6/sthCbHCXk51zHN -bf9Zs6q16ICSDLh8lhQT3Pkfc046P+QgVN3yr/PSK4SqF9fl8gviTWZG/suc9jAKe8DsV+GBO6Qi -rf3QCtPhQNq1s1uobI54OhcYlpF4mu3eJxXPTm2Xi7Kcklz7r7+JokrpLITjySAgSOBXHO+H2vCo -9nOnx3kFf4YVAaS7/zB7F60qwkGB2mhbPxhfir1+Ft8Opd3zKNRk/596Rp8quY66vF1KHjSa0xKf -zvJlT+LT5xbbiGsCXGXEX70S8cxcL7PAkgBo57ZBE8g2W7JPbZ703FTkdFjaTYOQsXUocCjIdxLQ -N3kHAwGM4nYkxys2s3FEAfRarR4txvvjksP8O3aMeQsz8L0SJrrRRWaDTPZAFNzxvuWM25DFlPG/ -tl8eLVfgZh3QmQQbI8OwYnAkWKusKA/z/nkZpXQYSBTovEep1FuIdTHJQ80GWOLYbMgUgmZQS/9y -KZilMCi/snUG50xz0++HsUI4iXbvQH4eULhxjWd684V8tP8iSYE654YWkn+ZLq+dRMSZm0CGeFrQ -7J9WFILlyBwQxCTp940T6BMRinDkvAn4/dEHthr1zZSiXwcKaXS6i/w7v0Vqch39DisHXZQ9mCu8 -+mJvej7Le1HJqeGBnrOcC1isRZX4Ba1JsNpJYb2p+BHYkG91IsbbTPWrrAE24gnh8WnBC6eF8OxN -MvHqH1x2vwuiQcRBjv/TDM/olFdSmVX1i65jEzOhIAD5qn1lxd0IPU4+7z/u6fSuV5eSeLx/FT7Y -8OLblRKUG3JFjdMQERRPhA2gAhyj5DaRcUbbsy09ja+TSlD4rTdxyMy7nAKR81V5zwXdlzldHCH8 -aczgz+CcojsAhkvxl5mq1AyAOBtLSb6oyn15KZUnNdjJMDS7akEr6uUxGN6j6zrb1i3AT7xOyJJ+ -FvX+F3V0ahkBXJsKZCSYgOSJ+YGbzCcGFcTr2hBFsuqu7ANAaJD8mJGfodf6A+YdydJQH2OFwpxn -rVNk9DYZOxM6UjzeDtwbdQzbCaiIWBu/j0Jbs5orZ4GF+7JuNfeTjqlXP6FUCn1YQr3b3Iax93og -/YkIFYLCG7SmAmYhf2ALP40smG8IGrUCgdTJJaSMmT9iAJWkWO7nvKlZ1K4OO96mYoaQSzTqLKJV -UxRQ8uMPBX5LY6x9f30P+YzSiPltmZZ1wNP1c4BrRZbpJGAP3j5dvMhq1gMvcXpmZEwX2508/LV3 -KM07hezjN2GTMOmMgzWm57I9pMYf8zJMFGXD4ThSJIVuNA0gYfv8bi+yBbprS+j+rWYlYy5RbHzr -1QWXJzRLsOU6SU6II1tRQA6NPHidHhlmvwjvGnLTmJPdMfKoMPQvI3WdPdh6qF1jBn1rZD3FAMby -E+t1WWLPahIlgBWVw2h+oc9idh636bHTZm9DZIYZ8v8ZWBoDSBiyrDwo561J9ykojR+wgAof4fJV -zZoZ/KT2O+pYSXpx0jTmTr83fxl0s2sqjY9dTsYpJg1AofKBiEHhIQFFPiMYb8zZqiYNqBSHAsL9 -HYyk8C6qpVdJy5FKf2UMgBs2q3stnGu10kYLsZXTXA9hLAwfMlY8NuXiThkv9+211S60OjsBP08T -7jLz1fvjF+J5qZeWr6DzBvVraFI16JfGcgWpHApMSJuyikWUiDdaQS8dIeQEV/tdnJUfspcWUePf -G95jeT4HGZF/J1lRhmg/pF5hN+WE70ge6GGF33KTVs4VhTeAec6tQCRoj9Hder9xy6vcNDpOjDgB -ATjVOL3YCNgKTIEwSSMxd4PSOJ1l8VB8IEsEBs0iKuynG3mlDM5PJwVaA2pFYI7svkKRT4sDapsq -WMQqcwUzazSpBevlX6S+ulf5f0sEYn9xUJnZpD9ND11+LrWUiYvoSDRlnw5wovRGRVBkA/uM0mmL -JX9DhUzkUPhw1AD6X6gZQRIlw95bOdQGFUTd8l0ITcgeVNlttsE4mKMCDuuBuF32pWt9yCJ5QacI -Ug9u6cJbble+RZn2yd+QtyYB1uAHERjUHoIG5foP/+fj6qrURa8J79ljF2VV/szrtKLGDFZpS2nv -pYNzsWlGsv4aTN7jOuZKUhXRogvz+L68i7x7NRCte8ZvfErJ6DedWPwIZ1bFMk0HLM/s9lojavYH -bSrrsm0fEXYbj3dPtxyISxp645u/QzGDkDEJe0IYnlz+eebk5NJEQdlyWp03J0u4kRUBQLkGCA7k -YszjI2yMBSzPQeMiDzsLnWeYvXWpjGBtwdix4o4fKOLjTV6QvKGDLWinBggD9L6OnRaqQEUrGcbv -sfvecbiqMvAu2xuIenx7HhpeIIdGtBLejKKVtQIML4bZh3mSWfqdMObanopaQiQGqJdNpAJD6g0t -OCOMmRN7trKNXw1MTvFLsp3CLH02GjYEhJQi3t5pE7pZrIirqjwDp11IEPanliMBvC1ifRVpXFlR -yF3eY2pRuL2WQJFCOyS9CL3E2MEGylcYjHpehH2R4CX4cyLcMRnXun+waipFSNZRS9C55Ssblynu -DLaxZqJjq/0U7a60jy8jRWSEv+NjYEnwb32UuHDpiLCWYfCenjPDqL7hVWGH0XH7/OBq12UpQgkx -jBNdkAhQTxEUEDXcZ/BF3NNb8ngZApCEKZ25UO+hByBibpMiQhudZZ0i9RX8Oi5QmrX2H1uLTSCT -Zijo4NxkpKOYrDbYV5xGDl1oqG1tAZgA0XvNIruQOQfpCaxHnDyxufmAOmitY5VCFvWYVhO3U33L -TjGcA2yuLme22++Tca59oMt/4Q6WXUEK8+II4imm/UvNxi4wRonjEY7fn2MHeTxUMHVedHdqz1yS -74oxK604b+KW/15wdYWZHcv6c3rtcrGuz4MDAiPN1dZrwkJtWjpPq3x3f7J9chiFn4Ew5tTDSuCY -EWWqE0PCLpoeHRLCkG486lmz0FXbDVhrSUYfGAaFvmBk82E1NKTyAG/IH/TEyn0xzd3FOY6fMTpB -9i0UFUf5sUeKah0j8jviNisB3HnkTGE+uCdi5fJ+LK6UioXBtFVxwIY3zW29SCqF8yIYWU0h1PPn -eRD2z+p41+t2EVK7g2klX4/CB520q6lWhZKcbj53Ypl6CnLR5tfiC4PzHmmG9cr45vufubaeY7dS -weXnz1dF7r3rGN4VQfLAegPfNlpT7Ui1aZHYwqQVBC7yYMZsOHvUEdFwBu1xzZ7m3zslpGewrtWL -3v0/PI5zBIqpbh0iKqSSOyGR/ITf3dm7YfY3HmBl4KkbFbcDMPIxUR/l5jvumtL3VUn+bs6NaKuR -xNFGCH1g36WXTADLFwl7MDGoP6jz+BvIi6DyJj+LHartEIXDFLbqyJfMzOoCNNdF4I6u9v7NevpE -MKs81+k8nJnVd3N8iok9RW+3/ltTHzKl7Qtmsw0E8CZBHq+yA/H0fmajInUN8NO4gXZ3wn65BtdB -14HW7kTBnrDbJ9UsI3xdCwveFfWQAJdUhCUEJkYuopN9dgmxMLMHqWh6qDfaoHxgPz+YGYET0Se5 -gWyMhsDwNQj9HWRbXh7LT0E491Hs3u33PMHRlWNCb6wDtXzEPxonrwdps/V4JW6KuY9BDx7LcxVY -LsjhA9LqQ7nbhmrcQdfi37soEhrndbZ+BsjiWeLR+6p1oOfTknt8CGPyal64d+qMgzNE5W8GyLWA -fYdtdzFNdEZjnqCB/squKeuq719Y2jfr2i+aW90qXHnvbEeCo9KG+SqRZ4638HcP5u5hnsike1EM -H2XSPXXeIUIbuWe0lSCGKUjkoI2X6p+oQ6NUk63gOcAJh8/Eu1djUdexJYhxEIuRlXKbWDknGOg9 -cXUMxqdlfgbqVvZHcPvndM4pmnBCoqRKxT88FD5o20k6ujT2cZsLhRJFk6YIvUEM3ZXq8vEpNMpV -uMzYxeiqFiUrWjC3uh3t4Qpo3lNaECj44odmYTTqu2Ik6FWnHp3Y4ozDtndQS/2+rgOP/Qjjv8e0 -Gulp5KmcYNvVpNJ886exAWn4dD3aS4DgcW7IahNvlAZpdHoFOIVKORrj6j87QhIN6+xao6MqPLDa -CqjWQMVT0EipDeHS3gTjzgcfwmkgwGVYqQO9oSvBiul66EueTrkU3948sDbjrKD6BP+8BCHDO9b2 -C9/cx+J6AlurAbFQwBg86Fso4WS9vEIQOBjhIUn03vTsHKmHyI1h+4rTT8c/xhtfbiQJCcbkM5K/ -++KaIdpmuFZW6U8dC/Qn9j1yJ1lfbqZkFJuCyRtzs1nRFFiT09mpI3suI4hzUdVZMA9YFgqDOH24 -31Dp0r7ucu1SLN+wPyVqQiRlZRpNvO8EsRMV/vnAGgfPkEPFbMsyK8cRl28MH+nPVV4KkEs+pe1G -JLO02/PXMvRzMlpCxEH2gUiC5+P/f3+zDlaXjzf45k62iYKDi+4y4n8Olpv3hnLDSkTZXV1XCn4L -7n0YoVrPVg2E8t9JkThByFzqzudhsEn/gLcP7xZ1Fd30Ur/4XeGRsnx70rm8B8qFib+ipUtLdCsA -indBhe/UqcuTR6+7ubTM7GvhSomTO6tBpeGQC56f6XBYevkWaL842iEJTIsw5n4rRCCCCdma4QK2 -44hqbwlOuc7azYe5JpRPMY6R51zAX8Sbel5NXD4Fiq9AQeuBJ0rmaHoVMLxIyZyXxpWuMf9VerZ0 -SPqtU2fYAf9bACZG5FyJoqNpcdyWkvWbsjky/s3PQh6kvL1LSLL2Y7N7Fnhxn/eLPakww8LdK93k -Ctl+5KXjWsOrMq3lO0Jub7luklKN0OTr9eDPb/f7LZmXgmddlHqbsISz8yOI0mrhiooq5jNVfz2f -nNPq1MfvTzqYGjfDKX2M0VmuiC2a3dlgD3e2a0b/foMnTFdg3DorGSPTrFAOn1iaU9Hm9E3YzzEz -/udOQf31Uij+5aQ5tp804tWqVIE+ycJY5jXUfMYBLTC1d5/USOxjUbdArO/g2PZ/xz3nAz6lUEmq -M62HZD9pZuF9it3Bb38PVNgkA0D25qYzhQhxxoKuu/nENrNFjB/Bv6dLNkjvOYhdw0ku1ezkl5Bh -nhZvksZaylxQZBn71zXrSBSrqJzUtuHVdxB9D4TFXsvtOy4vdzPpqCW/9UJwzmQLSvHAN3a/Qwuq -noxDeapEXuox/tE19TZ+xnVsFQHkCJV07JmDa1LXKX2ne6I8DOdtl/NJ+P7gxVBorRl4ocv/CdFV -Xh1Vp7sQCrulw39z7SZoolJD5u/GAvaQ3dprlz6rW9hCfnWkIsTP2Pj0TbjCzu+wtaHIaEdtzWHZ -2Fs0urS8+VsfZTwBlbsYR7+C9CZR9BSGCvYXGp8sQlsPngjqDajP1pM/L9UYByR+OKh3NI164Kwp -4iuGrpaA53v5oL5thxIk20ByBLHRSYKEointE0EOYvy9bGItUf/ajL6BPIV2uvU9FtKE4TeE4Pvy -M7zuLU90+PdKW+y3MRSSZNBAkHuEPlo0yYXbDXp0ZKEZ9NHSUcjmal8q4btG2RoqbeyHvMQA1xU5 -wSUMP6tkV5hZWr4v0wE4nfwwAJiok/57ubiq/CLi1n75zBdH/IuN2mskieMwY1kuN/6SO8p4LZL8 -qtq4Obi8B0nX4CwhsLWvcOlaUpWBxEvkCQyzO77s43V6rXnVC04mJK/1NpeQRQrBBlvcfY7IhLGK -ceHqYbm9wX3rGvtLXn07h1mC2UW+m82ZDNicueCtTapVQnSLkDCHHev2XQejpUiHp6mD/q2yBfRQ -sEuUZnMQIeIY12l/m4NDkTCndwV9a5kKgZ2I0Pdr32QaWJrodY3lPokbXd02lgT7cEb+vQbhcrwX -DW/pVoaYURE0Ud9N0m4skUozYp+Tl6xkhLc981Xxz7Hllga7PG+coqpQG7oHCD9vacagF/fj09rq -nT+jTUVk5S2Wh0RevZe/VVPIh1V1UxiJiVYLctaT6Zxyilx2+ArRRpyf+keqlQ6MjpxSO/8GE2IB -Kw57FbHQDcJT7A7haMmID4ALBfbrrVfvcw+mAelnrvBzzZ3FgI+s3W5+F7z5o3J9Qjx5Ju+1FyBW -P6z3e1J5iszISexB0YQpXxyB6n6gtXYo3QQHuOsT6ZPBPhJR+o68i/QuNp3HX1kORZIYXF2I6e7k -a2vyjwfyLeyFUmvx+/7ZLsucodSRMu7a21ksCrPWB1/TxQog9Z2pKZUbhKPdN910JIrqtK7xQ2Lf -et4pGhPmnkkeus4+BnneA26VW70P9G85u7ixeBWTs8Wx1pWS1eOtAnxooQmyAckdB/j3GHmOu7Sz -EF47MRRW8xKLfWTRBF7oRggxlMWPt8k119RfJVpR/awwUYAHaqLigeypVJXIKsGEZyLOlfS1o9OP -MAg9c/2Mj6WzSAkMdWyPVZv2VsOQiEL9nQ8g3PwrkQJkNxtwIYH7N/a79M7QNLAMmWxHLvHRDOjT -weRJCQwqKnuoBHZNy9/sEe1O34FsKeHB7Ez6ZV1gNp1LmN/kn9qFtjMeLy8GhBsDJxyO743oM6pd -fZjyZ/rLKXuczPspBvzNBry5pR6PnZXGDdYbadXgqyK5ew+RcjFUMZIexRJ/xXowrw29l++u25ZE -Z+Nda4xti3p8jscMJGB3tOtJixTu4Kxb57a2dIpubvypm4MmGTNqzukbDIX0gH9O2SLWXJpaz+i9 -5A4NzyrF50QaaKf1ILvSSqeTe7HQF/RJMeCWXa1AD0HcIiMy6adWg4HdYlXqExYPpVIzVOdGMVob -inltgY59Ug6vg1ilouQ3Jxrmrq++ggn9Eqz+4aAiCWUdXQFy4ZfyAQ+Bw51cSGANGF6vupDIkctI -iQHOn0cq/BeySFmHL+4S+88EATdm+3y9D7gB9ciu62dJr/9pCJGIH9VleTNSdrQJ6N4FoH3MBD4L -kuChrSJEMTIUk7FwVv8vu02BWtOtT195R4I0e8hoA02zq3jpbg5ok5gK7fiHutwSRfxc+qJ+Ws2J -Lwd5sm5XCwvOPMCQ/0yb+WZD60a6FyqFCkGYkkTZ3RwToHsX3+c91k+AxWumgJnmbO30ra0alG7T -Iir87NdBQ4F8xoCg6MCjYu4BnF93+hjy5B0YlRKSw6kE8AJFGEcZ+BBOQkX7g7OqRO4dfkiq1YK5 -SdiT3wGgFGjfu+pwaA5cmVf7utQsu1Y9pQh8BbjJpRAi4sNxx/X6joxTkuEVgb5VAcvADiF9486o -47UuJYJbPVL85vFsv0ZO36lVUWdGTc/aR5JLQ6vAKuhsSKd7GCvQ66GCjkn5sLoWweojgGllMrXO -4Lyz9MuY4+uXRA+jJlWb9V2CSK9mlKyy9i+CpRavcpgcmBVuvHti+LwYrT7v4WEb5lAUeotUE4Ft -fga2xszwZZ1iAANXRx4YcnAUN0dd7v0XGZCO8Au/y6juc1pTAU4AAdoasNfhI01XzL4qCv+qyIQV -3LANLhl9Bj3MaL37X5NbPcUOIlhvNKNXrYSqsSZCS0PfRdVoB6c+enGp2nsTjz7nyS48+Is7vREy -GJRDN/GLfmgIYtlvrXY6CL6IUmwidbBGPqmnbl20rUPSrvvw5ut4m1wnMnnXl3WQ63EMLJMwFqjk -K9owcd5RqzVZu/vOI9ONnf5BxrkPUBxJRPNO7cLwi0/47gaisEqWKaCQTDk25CaW3W6dsnQxrqC2 -e83f0LNU1vw6dB4rOTcU7+ms3n2bVrvtbP37Xfc1aLSPVyu/irKZ6sbITVAiy7llVneq4GYvP2BJ -i060t7tKTbMOGl4BaZG/wyanpXCVnXHbxrhiayVvisFiUdjqJznBCH0nPoopXVSc3yQM+4utUwon -3bSDrsGgKe6DROx4Nh8d8j1AJS5IW9glBI+8ZT1y7LEDnkxrrNkyE1VxYQYjxJoL1xriz5k1kA/9 -gIM1Fs5NJfkyKot6AzLFdUVmSmbky2d0v2jynI/DR6pBIai4o+u3hZ+ptgm+7YzAywFLWnyzQgQ2 -4rKmL2d90jpbt0wj7hDHrL5HiegHLM9Wx7QvKbsgc9JsezM9k3PWuZZ0kzJ2kBZZrNNwyW5CgdJX -lEvKAOzZWeRsFgnVH1KVXH+6LFij5jJIi++bpcPgkbRHbstMoYFv+kImtjHiAUd/ByTfAAN0/Td8 -98cILdZ4K/N2O7gJNIxNe6CGrUYKBrz6uAyTOVbWuL7hZu5C0PQbcoimnECi8RBjeaC9pdGPp8lM -J4kGCymYD1EoiOIqOtAy3XRn4WcPLRLywHkDpC4am4YJ8twOQkj/MOKSsOTUQYs3e0/QWQqW/m24 -NS8BNVuAgjBW6kBDJ4APfVK7RiXVBxNpd10wZjf29p6k6GQQdu5kF3ORudqDIHcYRKKXK1fxHTnv -+HA1rR07hLO/aypZVou0r8juRbQ4teiA5Jw+onywKqrQfHmeETb9Is2x+Eje/FBez8YESDdFkIAl -/3o9Q69iua3K8eiy2Xew9JPLJGXzZjON4VUxbgRzvdWiIc26AbCSowc1IDW10FtY3BUyUYn7Z13e -NZPGSYK/ZQCKhUONpv6QA2NkDjWDXMq7BUuOiX2TxVk/haEp+JKGFl5MKx342j9aXGG2sG6emmjz -ohLbBpmRdP6II6/L8/U0mqnPZgAPQx8COIELDkRd1O4CONMRerZs5LUrn1d1dDcwMa459Y7coSr0 -5t4HapUAP9h4kUN5p0lrtKANW3tztmrUYQtgzTelePkUaDMzY9F5wbGORrSuJPa60saOrHmZQb8T -y5c/XeGxGn12uL7yx9+MPfKpm+tFCm4ZbWv+LUAmeRYrVAAjITPQjHJ0u11liLHYbWZAHmEGRPmr -WEiwjlr8qjnRN45eyRqXEhj+/NzmxDuUPIRGfh0Reyk6SfVbYVbaw5PehqAiFXNbtEq9Vlavr2Kb -wkJfaq+6wqPIFO3Hk59qfnon0vIfHoK2PIOoXqL5J+pMcl0e6XU0V4OwNFPyTQTAM7FMmgUFJVvg -OHm9AxCqZb1ov7b+kQq7vG/xb4PCMIqHKPowIek8a1u52iZlocpDeOnEmepFtlpANBNl7p0xND7/ -wWQ0ys1SzdEsZt9CqYqAuBl/CKA7FBW0+4lGA1b7Bq868R2ENeQXvscBaPV40XEF4CNDsoDVQGy6 -Ajgg2yz6XR7g4CQXDWMxoVo6QwXE2fmA+ELRegBXOrV4K26ypZqlQ3t2RpptfLojfMrxjNatYwdj -v3Sp8GEiqepe68/+mUL3FHz2cWNBt/dEcgGiDJfyp1oyM26ZRYqL4ewSWCJcWVWTohFsjfNRwWQB -e7NOfqS37qxqBDeyNJNrjNQ2/izHTG6H773ts8JdN369t5/FzTi5tpHfC4Y56qHk0bARYEpGsG6y -CLGjAsHjqYoiNOSdtG2tVCim2pJO/dYCPaqaMul9tuA3UNAxWFTHPOkYl3JFazgRoyAr7SPxqLLJ -Vs8c9SjOM1kzjwI3Nuz3coI5angNGIJ6Hu9uD36qos22QmKphicFWbaWa2kwqLGNq9r5OkHNw9zk -orBbaEi90nc/dR59/I5apK0/D6EEsqbju+EEJTZLbigH7yAkfeOYklgcac7e7BSj4DULm+Rq3/Kl -nB894NYkobYZ3h6rYwMUpUGH02WN0uGPilM5qnjMlg+nAU3SvNYpabd2nHGEj8mvWSpKznpu+x5z -gCPHCBYLSTOQzRS9Ow01FRUbBOhgh7qrfFVFAx6edBXi2paHeijbOelkoFsgRC8xJE+sNBu4N6CB -HusdsAbx5wIw3U03JzIZq9Uq1UZpZbcyzaz2LMkpMldi2PtF3oWQaj/4qOknrP1wq0wHPNyCB2b1 -/CljgKfpNa6mEci+62/TZ9eoA2si3i/g5+l6uvmOfXmfZ0sVAeuejEvGX2RxnXdec9KArcI13tF/ -TAkcTPsAcG5/x8kQ7rwLSARGfb92nQQOrsRp00pdth/1OwtXn4cdboEznggFl9f5iJp4cBqXXVH7 -wl75wPzUIl2L3lk5lMH4EzAgEtrDsZgS120lxRpSsQsNKud/lGa9B2CvPL3Hrq/VECIHK0e73DEV -/Odh/+TFBzwaxLw5TLVScZZ/nrS1z6wW6vBgIeGQKJ9yWbAM56RrZSYk1ScuUQpt/CUHKiq00CnV -EKvZavwm8cU3/ITNM/3ProTDs2BHwBIqcv7GXE1DyNnmvRUco94ZCFZoSjVSp1aax5Ght5BMxeK1 -LRkfx2tQclTNxyoXK83TKCq1R8qAtx9obGwmCGBXahswiD8jVhwvrjZAxbSL4ToCNXQpoThKa1uH -Icpfs/6THzs2wIEtN6hOJZb89XdOB9fnNetpXClHz/2g6d344gtliQFkMcokCj524UYTQl73oktt -FfE1T3/lW1YWwn0L8vGHrrMp0zTBrf1VnHVZ6CiwmWK88yNw0ZyH6JakCa2rLC1zJ4vXHZfAbByG -dBT7VVks9YJKglqyNeTukBt99X6SS1BU1ucx5MhWnOx3DN3viwMQmM0TNMG2vxuBSVmKexwSj9gs -2Hjzt4Orgyg9AYU8yRM30iMHJTZStxN0mNjXx9/0bQENBK86sWX+x7vqAaqrSl64EJjjZtoVs6Hy -Myv5gnnYnr0qgs5lcNf5SlUOztLxRGlMsKehyax3wOfvZWnmNbCPhA6M9WTkwwIZvfa/98cBd6Zu -Aec/kwuQ5BkLwQa5giwJh37L4ZlfACe7O3qzPNTYjeYvnsxmcswmUk0jr3Ck6HgWRffhhdrTIVyt -xLoxKX6qEdQdQTXrWyZdL0KhpxUVapDIGnSH85xYGv8wkwlipbblOhvoYuZdax4hExUPzhUr9S2B -Np/QanAkC0NGxT1ACSL/yPkwDLRN+GxzQYX3rId1L8JMzZLo4/60Un7/JgbDZuIZZXiwjy6d/k8s -kC+PKIFZxv5meSTQH+Vdoa09IyyzShovg+1Tpvqy5ZynhujEGSgmRJe/aFF8D2dJthSzeE9G4ON8 -XhRPDK9kWXR4fF+ITKm9xG4DzWImq9PRGibqAxbaziOzPfz/nysb1ARX0Kjorfaoa8UjhhPGU5PG -OoXcfc+tnhCQUSVz3qqJg1NFgdyPdBl7C5JKIyDDvi6lnhtf3J6YCs3wuG06gkbeVWOq6ihdwV1B -RaBGH313FkmuwNoYUM1cTQVBpmucudWUzOpIrwglUKlAgNrZVtHUSwp7k1xNuRmt7hMwX2ThJM88 -IPoMziwRBS6xO4OWgIdFRlHO7uK/sDQXEJfioFWD2ohZsgPUMrnD1fPeM4biqV95NDDB8+tch6Qm -0TUVqUWT5iSrY9I7txANGMOHiIltD3zUhwtFPyC9Di4cM+LKnXqrPtr13CA4QqyV7s3RCZP+jvhV -RMRhlUuTmMlNjno3rhNSQvxQv0dHTlQjt+/4UY/qOVvVjft7f4Fnm+I9qk74Cmt9YEUh7Uuuu9W/ -Od+aV+0f650ZBtVvOvVTYstycnbsi7l8HQ+bX8PRNoCMvqeQurX32pyNIBWtz/9Z4y9kIAK+Trd3 -1Sd8egC3OR2WdkLiOr7aCZXivgYnM9bVn0q3vaBj0B/VVRFQIpcis0coclw2OddXh7JF1ifEZnby -segtYkYHblqONAGXHPzAaaLitf1AG9KgAgeqJcp2j69U1fclX0G/mG59YsB/DECbdRdeHZI5M7Ty -3+VAlxC3SOMgKbIOjH82OiMD382gKfpEeu+cv41e2YXazK4NLRYEBFebIeGn3FmLLNPazVawcd4x -4LUK4udsN3z3V68oBbmHJUBfzRN76o/wqwYERir74rNrUJT6JBxiVAzstb+0caiZv/UJvK9wTsgI -9evRHAPsK2dE35G3U7EpGMsY+JHHwlCx3ITIHV2MZ+nRcO2ujr60v7IhUS2TQUpmdoEZK/zZ8Hhm -Sv8Zvm91dtJQoGlOIP61Afun3KKWqSYZRjAKtQFbOVAdSDoSYABH78MvwU/JSUdKu6KeEhxmKiNj -b5YhwDzS+Amj0agba1HoKSyUxxsvApQPZ2bCYQDKlTH7Xp6Q98upkwTa61tA779PaF4jybSd9O9g -lOuvrNgMR+47Rj+3ABW+utcvTsbwbcjqe0wkjjPrspcND/SrpuQA8ZD8NyFAk0j/QmiWEe5ozD9u -LthgU7gDvLbvQVzPCkKXjcucSqGZPb/3oQVy8HzZ+1rcA5ZlsAll8E04wbT+D47FghAXMPjUHBYg -8RyHeVT47JmBi5YqfJZFLmsOYAqqlxecdPZHG0kFJnZapbb3vMiUEYLK6Q8BtxnKxmHvcOza/sLO -PamNLjFXN/XqDspDoxQOhVk6r/UVjxUCofLMkOa0Ah3XA5wiV5/7jhJyEADZZ6EYMoJOGiJDgQ0e -+ecWE7tLs1aMelo1/SmGbLzs/K1h8TMOtdQ6uZufRe1n0JDcLHTmgS9tPvylaphIXzQonFN0m7oW -y+uihHbIFA8MKNPwkO3GqLWFnsqf60LHrXddGciPaPLBP6EM6XQP0MmP58LyZnSNwBbctT7Fbf6O -4uqLd7BDanPNSSPO8wOQHKkng4dUw6YRR6H4Hr/4UJ2z9MmHPDPkztIrl7lO20gcJFcSk2tOXp3u -WHbst3HUhVKBpM+WsedDN91s7NXNta4SatDiQlO6ZZ19dzHzuL8XQENpk/31sgJA5vZR6ymeirK/ -zYAZxPs5wR2gf2zklmndGFC8RpQBIZUDfHi6TcYZwcBVy6YubB87qbZDlLOnOdg5vEZgNfkOcZhY -xteGgfRq5NpRmjhXJx7WsWS982nxycC6r4qAr/z5PywxYk39iUhzLeSFFVQ0jdhWqNdM6umaTdi9 -lwVzXycdI7ncMyvGmV/DC8RTCbt/QjBn2oMpF7L46nra20UnpHJWEYXnl/aoZ1Wm8Fj+ubengMtJ -WQ9r/xQbLgMBga8/q9OC3rBVaN1Jmh8/J0MbVuTvOHs1z+6UcXQ61/4bp5Tu3Oxm++cDdpXMskE4 -np/JXpk8R9T1Qa0zuykD/0az1WpzInTjL2OBstzrmaRskzbbTgE3WJL4Ei/cUDH9G8oQ4+1tjKog -dCNL1NltoFW0F7dlYlyKFafoDRvVcqmG4KcUF1gWVdWsxvRRi3qAXun32semyN/lD5AbqoAzUbdo -CxGftPN8AmSGTNRKuZM2akJGS1m4vn0nxS7pDeM2TyBNselCoB7sXMi89jPBslG3BD0LnFr6uIAf -joAo+13F+sMvoNlK/xR6X3mTBVhnX6jLnk40TGxYl5nW4IrySn1Ugi/bBJd5vO9Ej2WICsCNOtt/ -DX9Sp8N4tZ23HjtdG9yuv/Az746itc2oKqt8kvER6EuFOW/qambYk9w5j7MeFit+8u438V7UUIaq -ibUbdUgS+Y0WwlMh6jbE7pVZZ7b1uaY8ILAPs2I3ixyQ57wp+HqWTY8+l5TOzbyg0WHyKvByy4tK -cZNkwhZ+RrU6cDaZo5292vKOl08zNV21BGanRHO+Q5UFgNdZvq8PKc70YEPZDNnBqhGpCzxfAQsy -v0S+V+o1QAAHAiHS4gQSHuFFLHLMQafX7mDgtH+biXlJLcXlauPq5v2xtXT2nFxSEemcLxQ0kE29 -2aNtyF8EPmKpBLZ+lBmbCq99bK8wCzLjCe3KTOVFDC0mvzR+LoqoEm1cmJvbLYnna2GJezqR3/gO -ZeqMqQJdwqXkh7vdRLRav2fNdpd14SGv57i4CM033PbDfUf5w/0wALlyFFuHqjuQRvFS79CNFtEe -uf6PW5O38l5o6lV2z8u9Jq/xWlbo3CS+kH7SuqE2O6y9a8YZBzDvuHVpHFMezcp8atbp+OOckgBg -5M17jRAdii19976zI//HoHLiFo3aUt3krQ2d7NslBL+xUGNmpbGDeNUGSy/Ql8MQHgoGdoJ9leID -8rwl4y4EzTvPxC+qu226gNLn9H+nvXUBxfmj5V3tKpIn3dAWtGLyZaOfDizqGp9zyeZgjvHTk9Fb -40UaCWkJtfEwcfsdjIwk5+2YKZSt7WZRt+EIEuoJOnfQi+xKDao404M/FPli+AY6mvqhTyqWAo7d -jt5rs59YfU3Ax8h8kuIoMYMqdL3pWhEehIQPmr5CUEy69qwJoc9s7KoTYDTmbfxFsMo07GMCn2Sj -v80iEWfr3R9bjx8nTcO2arrE3gcTwZM4RH8WO3z9YWCwsvsvJoUjVKBEq7u4aicW1JVtOsBLJTRE -ZA/I5g3GQhXnvGgK7ycBh0UTTLpNJnBgwBsdKwsuoczX0035bVDKkMwZ5cn4GMTj8wz5JMoMwVRG -j4ZNjufhoqnMi9pqqjMu8ITtsIy+zZhitRloKsUZ28mv/HxjM5uepbgqBh90J8loTxqhzOb/higd -w1ufA1x61Wg54ZHbaOqXCpSKVVekbE2p9gad0s7DhYz5U5AeNxCCXnE+aSOPEaFIFLTD/1FGDPzo -rS/1AxjjOqn2/c3IVIzDT3+H9ms5/+qkBCzrU0aPtIedlVZGK+MANQBiAZak8wBn8DYQNREsfFBP -bEEeiaqcKAFKY3lhhHIIZt+X6wGWPmJYIrgzGSNxxq8kesfge7sKkgc7wS+x1Af8WLE2u6kbGeBV -MnWMT9I1cLGkvnqR5JXoS4beKyhMbCLwAV0g1DvqbIWQHAmn3rPshktDq/qYuvdJLrCrMOt3Vdhq -F5qnHzzYwOdlWuZShhyhMXYyjC+pSSiK7MK9+q9X69ULFam7eDfwDCmiEYf1KQ8cnboYFhf5MANY -OVhROwUQwR0YSOjtFI7w0dMHL54jm550xb0TN/A756glhI0CCtkQcdCUoRQIRcEgmKg46GGpjYYC -FgogERFOlArIEbNHpUwiuj0HaBuENCm6alAJCY27Q4iKc+sMN6aVNf6Rwyl40uZyvaM27xxYiZQJ -TeqsR5qc0jT6GVgj7VI12ltkJVC4IHXZmtwcD1DDN4q4E08LnVwR8PvWQgJE2SdMZKTh4b+isnpA -KgVSFTSgo45PZTpZpVc71faRh1YrpmQowNcEJQOgZLi20TuCDJDf0eJrXOzGOjr0gwdcyLyFAdBv -RhRwtq18UvDWMqWro96EAUQHHAambQNaJMYtSoB7dZPlOisW/LgUyJKCuzQ6adNk7VOJVAamgBFi -6WUrXhROiVnCsO0E9xNGgHxLmN+iW2CYkgkK7w0MYxzWZeAwgUSjjng829Oy2+5a2+hFFtb+gP6Z -rSsXvtOruJYfRnH5TB2UX6+QjhR35b7vF5oDyqtZtPJi6vAe7Gswsd2lr2WR/zSN1QCut73VoeT5 -4Lma1HqlnxSllh/HqH8vbJteUOK01G0o7mQw6K95JR+h0SNhkLp+yJ8CJ8/un1Qq0emXaSJH8h4A -au6FdIsEtylDiXWlMhMT6OqMJHq8pC8VxPKGnW54O90CuH4gMh929ntHKdH+wSixrs5bJ8tFKIAu -K0SVLBw8kGUm51/aBVhetKJh7n67pffTQALZSCfo2pcRP5yfmPMdgyGkbdk7N3ftDxxSUl1CIUlk -y0YjfSKk528XdyyJT1oG7S3B0NzG0XW6Nd7pi6I2AZf7H6TVHxUBuj1wzPNwuCFCR6HcQP8dZ3nf -DxaXGlo1ScHzNqDSzZpRLVXHaQKNCJfVUqxTrcvnmBrJ0qAEdolElejKMwMumKUBB1SAw5br0KA4 -9zp1BeBtG74WhsaRyMgECG1zVEeBufllPRj4OcRByNfEPHJDQQkDdutPNgdjSupD4BuCtv+4C0XE -WNjKOfYLz0aeccu+mt62NB9WI8D7r/jW8J3iAbBiVx6ch5SpSeG2OQ97MaNJiBSe4RoMFvIyjImh -QETY+n/MX+xcFrZomxSU3iNq+5p0lY353fwhdiLW3aSwgDxECs6eZtoetFj2xTHiIU1oWDw5JyNE -BKwPC/99r/rTvfsaOsY6WcCJJlx+dRS/J16RNXF5UVQywgpvEN7OAq/lwhKw9sKnvEpqh8Zi2Do3 -Y52l8VODhqMjRDhwFAwSOyNWcJ/LgF7wZNjXQKOze162r791qEzEIgBM3T6rVSnHaItcFIH2eq7R -rdM9fQWGTfWLHE8VrIn5S2RSQfjhNudKFo4YxSHVee2DHNn4iOGS7oKyqMmEud4eRWlyOuU76WyV -np+SMRMuTBdRxbrTT64QmFXVkL3zs95fK7cYSulYovWZ6gQoxL/95ri18EecUQCQAo0EF7LQU7Xm -YVV36kKgcLccyIBkRG+ScwEm+NqPpDrQOUrJ+HJYuw/EUUDV60PyHbnih9Un/0XUTBNPy7/Os95m -//H+1uHvuJFWjZ2eO+TSGrdFRRS1Dbzc49hQofyfEQjCNRBMc04dMamAd4h5L/8ub6FzNSqXUJdu -tp1vijEh0NdB/PyBSp9z3z3J+JnKhid71mQGThZKVtij/duaVBBvjJcwJJfXuq7NUNFcj1kD4GKZ -vMS9LD6qbydWuwRcoFIu6kFShmSsGlTgEjwEd5ItqP3YtL1nFEr9hHz+PSGIm3jzVDnEjTyz7IJ9 -4q+qMPBkbck6ywo4Rc9d1TmOJuqRNggcadmuV2K4Tn80UEI2/GZFTahhZ109aEajHTYCHZwc/7n+ -vDDikUtpfjachk/GFJ3yAW03LEpdbFOV+/QUOEK48I+Kf37l+AlPz76daORoHzNeUveIeLO1Lvg6 -3uErbMe6hpSsGL2UzwMlgYUyxRHBMXdS8u4MmcS5a1MdsQvbhHeO81sZTs0TyGCTTauNS8hx1keC -olLCPviglxRAC5DgDHht4LxG2qNMzowl0YlPoCwZ/CXdTYJf0ldax5XcG0MXR5j2DF2ODOciMGez -U4p6QfpB++uq5+UY6JlOLrJ+3Tkxtdne4POQi71hJqN6GzfTtkt/shTBdENGOhrwHoiYSCSajVU1 -Qm5tKwZ1HkPB/fj7dIovPmiXEa2arkKRM1kfETuvmVh5w6eBoqfb1GL3TroCn/DvQTCaPh2YZV7n -Pav+T0s1kSFYIMoOyY56+h/Vb8mJUU9bxuXCVA5kqJjl6UxYmqKtNZQrrXxu3/Fv2/gm5zrYLE3j -1mfOYRb9MdfvJle7wWY2JVeQrCo/7WWdkFCzlIY4LvPd47Y84G7H48VYmlgUrnIXuyj571qPB9r1 -2bgfMLy171rCx6v/YnaOvzYoq7717wbgubXyZZkvJ8qMLy+V/+v2PR5ytFJBk0r5xxYJJcsCZb9K -Tt66bxve1EKn/iUJQLGPGzYsGaLwmS0kKdQd+mBC/Vzfb6HMzE7i44b670qLfwm7QvA2V+wrR01P -TgPvC1Mj/BNY2iR2v5qecENyf5bANCZ7TmVSC4168+aD4lprmr3QXc+eiWEVewxVIfTlwmf3ISbi -ZWh6dBplhc68l/bZszmIG/F+XFdkMsiYrX0qjF4c+YWcoJY2CgsCOpdehx7xXIzeHjhVVYvrui7M -73tnFIu8kBPhOHphH88QpIG5MnkNKSse834F3gc3aWapUon3GLJoMJTJR0GbMER8vY8PlWpTuDHg -SI3+tajjqGs24KRa9mz0DSG+TE9ugp4eWBqgACxJQyFJkjoxlPQj/hN9opyZP3OA4QJe4y/iyxEz -h4dm8eP3p6TIp4XvmgP2f5mlrLHjDFj6efb40I3tXDgfxEIXCafFb1eO9hhN0E1b1fOaRdQ3qaiq -2tXsYNNyj4i4RT/bFWk8TLZgoNJhefSHGUN+24Z4FLPXS1VCfdaJvPAoohXYtCSMdev/7bkfFYSu -losiGngsGsHchGd76bBV4H3veiWZUOYQvfRlVwtrIKFY3GhEHBuFU472CMs3z/fbMkTzT2X3ziWT -DG52aK/Yr8GlZiIREXOzCZmxbypma2Y+u5BadgBYLqcxQAsgwTt0WXM4TdjIuVy0aeCeY5ThrONf -OyacVAdMYZrE81jTWEjLUNyJb3b++8iicKv29No9vksuLcMWypiBXWtpZ4yA/YJRb2plIeI9tLww -6OfCjJSjIRGx4XEbmMsjxOMA9Pk064s4ba3MP41HvZ/HOILSCSj8vHNdyIi/X3kwvRX8SxqPaEv0 -Loe7PTPSz8AJKWIKhJKMqFxHP8oJ7Xx3HbT6JRfM0rDAflV6NTpoftq70kBENQWa1ZdbyGmGEq/J -wfq4S7QqxHOcDGf3k2Flltr5K+J9QUdDLDTU1PIz1D4EzWR640Q9k/rODeRMSNOk4MdimjlvA+fS -JX7vzMigSqkuwqWH7FDjj3BD61e4TbrxgsTCphZtM+UedpNkOz1LEC1J2KpkH7dPJ9R9DUGYF6G+ -yX9ik5cyqUkZXJwEqEF6dCkj/0HhmRTmsRrsizabSQvzo8FhGhiJZWUX4V1YmhaP73i5AGX2FxC6 -WskzbpbG+/7+WCNdiZFeBnb+ct6h5u0ahEq+wffFASuk2LkaYxv2HtCdSnxVndcznKTp/DGYyhrX -vC8knpC4KTZSshCr/6aTwLTV8UBnzvvsI+vQsTVpd7UyZC1bqBlKwkdGZtwwLg5nnOwAQo5QP313 -vH5d3Ch+ZkTxqjs2KngDhnEXQFWpFKQ99tG0KrLZFLnze6lEEjfWVtJDmuvFSZihl5RiiNQ4A/Zt -LxaQc7Wf+1WdQNmESkp0ohA3HI0So2YhfgwQkmgC3LNxpsyQFPHJLk+HtJqh3WK1IwE5TKm9oSuI -h0S5N827A+Fgq8CSMcGQgxrzdoUWSjZIradOdbZOp6+gfnearZHColrpLEM8SgjFriQGP80KvScO -ppSW32oqB2Mb7oTLFUWKa/s23HteK3TSSjwP0DISwa7DvliypGwNSGuQResidvUIH6nIvp1bmVHi -BWNqorV9VbmOAXuob8PbnkSmFcC6b0oOII+3AtuXjgJmoXT0rUQ5qaN0bSjUWvcz57s/CHhRITfZ -QZK4wUeJFG10zU5RrBW0meQJ9/dKk1/e/H1vth1/lqi/U8Ju/IVlC2i9BmK3TW23ftj+ArrKLj71 -XxWb5b5awe42axgq2GxhOHLMT385AS8WtnoSj9sH/jCi904kii5n+V0KkBDOnCNNUc7zDMKG8mFK -uqO+wM7CP9ROjSn73gGukeeSI7/Lbv4L0Eu57pWpPtQyS2nUwuQ2uKB7dPJoprPW7dEQMZ/iH8dY -pfKDdG6/mfcO35eMrBTLma+alBcqCSb/horvNTlpKaan9WwyWOxIKgjSWDkTUT972bIseqpa1fA1 -//FyXznTCGu2g5f+PrSHE2qoTlHt7GcS9MxdG2ehU6/qM4k3oT9L2PseY2SeCpX0iWRNjzvlvhnR -kX79D4BD7q+aFPoWlrdVeeW171+HdB/EimJWXuUKcTnsNwSeh/c7cSoPGJEohdLvxFhMrgNruras -vRDnYI6OnRRV6kaGa7xR4/NcO++eoCNisAXx/yIA6uRuOpLLqQCQZSluTJrFrTnyO6q2HtUGV3a6 -B1QeclCNcjKHZGSPV2K+1Xmsp2A0hBOJxqc4g+vYulr2abD8YtYq/RhEPs6X3+aka1i9EFuh8ASd -EuFrAHe33Y2XzFIGc9Nx8uR/2w2vknNe4R3iCPqfpX9F4XV2PuyD6DGn9ZmQyKbTi+SkVyGcEwQv -Zy01yuXJJidbs/0bjwSdlMfg1CXQcbO9Qu2q7tWLgXf9zcDxpdLthxagZiVEcQxIbrYEtYlOjLVX -lZPcSg1l6YkrkEyjlBQKGOGmnp4Aop7NR+HmHFUAgC+lxYzUuX+nk0p1hbhWVNHBQ3eQTC4rhFe7 -tcUXltnMLxOIhDkerv0qDz1yaa8UsBnvLWoimq990P2YIxJKmaVwpTyBMffB5aj1OSgDFuCkzIlu -Ktu9P5GThIE0BhKs12oJdalC6YYn/G2cRFsDhiTo/0OgK31riiKzTvftvenP16r5sXWnlNXokqN5 -tP46wCYIEmH6Hr5HOpfJdi7JomeY1ZOTXQlq1oyu2groN3zuZbgPQ4b4Q4DlKf0d35LPz88JeljT -AemXejd+mVnzK8/92RD64qeBEvsosFpLTfkEgFNLE6Lyo6Vyq0aZJ/QF5AR13EtkGaaRbbAH902T -iZNdVAGDMezsZFa0qs6LhTOzVNTzDIwJlaWnIzj4tWwcwcTVhbNwOh9zWPtBlvVcAV2EG0cM8cw+ -T6p+WEq2nAZNYDtcuePNB5tr55B+ClwbojVABIkxGZ9j+20kJTn30RbnCY9kuGvSE0Cabp1EfEDs -R+0EYJsrtZ5hWd+trrKim6hk04AHyJ/2+CqA+wmk9SGieS0YvdCcY18aYovXCJW9NV2dm6zL/Z2d -9P9xYWFIemkP9e9QfT5bEak02HqJBYb5TzerCp/fFYq0/pqBNM9RP9ElmtzeZPWNzuse7myOnCqw -e2rsNRUPHs4OPhKJYuCxY8yB+++pHdnNsUv2FYt38A15cZQ4MjfXU/WmcozFojzvQ1AcdcjHWUXP -qMR6r7g+NW0Aeglg7iAZJSoFujMjJTyLMgnheHqPxzPaQduZD0JhMnKx3LcfNceQzmy0Ylb6dTPv -6ipzUJNSqNOppiiGatabpGp7gFlH6Ou2JcOeMKtWuZ53+ee5aqsstFjFcpNnjn4/jusc3baTp/En -5ae3MvFyBsaUGn+FAAML1vL8jUvezbp2ZsFiFtoS2zzRRqGY1qAU2Vo3YdhEemN1EZLoP5IZ9bhY -I/nc6ZgboSp3P2IiedWyK6t3bL5PmYcS9oMUPaOmYuDdKH2QTVNkfXfbxkdprX0E+DD51ZaFuEys -NJZs65ELsVcDOHgkqB19o9NQrXZGnlByRDzeJhyiYEIpIRXwR9rX1C4t8n9yTvzBs03wijBgYdTz -gGlHnsHOQdIw+oqT/x2aW3bfB9GvPuxvswIv+hn20/HMiM7rjOT3/xE6hcS+lh9hdOsm+S8FeL2v -w8IvhO26nBDvCCQgh77fP1rcRDGsnd5APtWBc9DdtnL5tmM2QpAMxvEeW1SoqdMI3/nqTI4e/3Qq -esCs5pziHBHCIvvtyucsnVy8tb9QOtS3jdLFK5k3F7MmdKxzlbPHMB0U9KH0XJyxECuQ86DrTDjF -dW9N3a8QfUmaUL7a5CmQ8ydlyGsBT1hjJOzLxnZ7hfe+oM5kmIX0sr0GCpMKwHNzYJhG6oVRNmgG -UVy89NiAFBqkrYqj7jX3Ye0VVXuTtB3TON7mabaoMfTe3eggqRCJYDkLBh34XhUavXQ41k5NxhmQ -FXbuSA2wsR3c9s3ZjHYiuQ5UNgt0n8yRSBxUqVq3N3YIvBCv6DXQWDTNZqRPcWMNEjyio1HF8c5H -GPwk9ydncIZ9asOqqBMZDe0nLyZ4M1KKOLA1fTu6z18XnZSPAyit7Y7Y3W8sZ9bv8XfqrAGbCxW9 -muFK8gMFl83slSkpwwMhju7gKk8m+3ZBp5DBpjrGweXKhHVyBXxfcripgrBQfjvJaD7Q3x0mNMAI -vi6VIoDh/CjL9fzXaIFl8fXCBYSrRoQs0KGDwUE50okR4aVhh5IvhuFjSYOhsZ70jT6RQEfkSczu -wTdvijaDQFyQAJPgMMX0BB+QAcF0EPVu2EEg/qif4bE12q/lOcxZcEux67E2BPz4Jg7qWxEwjSBC -Ih6VdkR153r06yf1sO2OMNoWng8un1tCp0+mMWGZlZqD3YGCYLTpqAR2xvn2ioXfACDaHvnqkNym -SJzotUHCr8yUWO8vpflC+rFrev7neXS5iLIe1HKfrXzxmz2dd89758nvaJjUhpRSQgh1RG1a3O/W -SLg/nb2KmTLCnbp/urdAp8/FFWFfPM0pZbCM4RIGQU6jmxCfMd8MSTgok8WU3m8Tsq9OEhzstGHk -kkoEwTXHKcX15tk9/WbtGp0+ADwgBzovuWVY7rRhbLYF2GiRQ/ioqO+LaSkX5gCfhXRUmpeqRXZg -bMK+gxrF8AOhs9gXlgIVAYp2j02PiWHKh1mj5A6bxnNM01fXcqlifG5OJ53aZdn3eWGNprA0ymtl -bB7RcTx0FwmIEcb46VfM9ZA5LlmChEn9iRmw8lGct/FBqi205c19pcvxJXF6l8/u58GXE0AIGoYr -7Oe1g7MobBZkSmnDVIE8xBqC1LYoZzh7/93Qr6X4ORdtqrHS0futVV6j/DP1V7a84x1/30oAKGcT -wKjm3V5ecoxwinMNaNlv8OkFSiBx7zb3Fmteuw386J3ge6TSSvUD8wLIhBZTkoXly2MJ2Af46o7/ -4COkZDBt1aRQjOBkF4PhiODGH58llXZKbcrBTkEXBautJ8bSFEJPLn5fkpfTGtdQHlOxrDGfYu2P -VIDAac/uB6qxTuYGJxDIHR7L75+seEV34wsJT/0e3tCMT/vnO5qY9RjOgJeUROSaJ8ItDHefDAuS -YA8Y08e76ail/W0X0wrUOd91sb8gy73/eR9cCIA2/wlcTeQTnlPAOppGjUWz+Qk5FjwTYQ2x4GWH -zGRsVvyDPZgudY3OAgBtBy6H8BoFiDlUV00tF3tcPmLa46iOioG2+WEYEYE10nkuSGL8BCcf4Eht -1m5XLr7b56SexGalS2LUmuA+OhfdgitoxttF3Vzz4rgjA7xwkDUumVSDCCYj8aslbUAhuwhLNmHv -k441IJI1p46mNcYDbVKR5RnBu8G0EpWc/01iSeQff2xrHAhrm4Qdl8WgCxRfINivc/mTvQt2ILcc -CBotZciU56z2HlzOvJcRQjljp/qWtUcYvOtjSF5KdLN9YdrjOnqI1/GRn+eJFEfU4Yb0mW19943L -UtHcf/fGC8yKNDDbU/WU+42AbM+GZJB6raS49jscq0YU9cDsW63oHQgsgUYRuxJQKTleOlyW+9EP -Yh6298e3Tzhkfm4IQO4HdW3zVzg5RAAbH1MjclGlOyUj/DLPHb9dT8nauwUBRG/1teehk3W7Xd8F -dlMuAQ7qL3R/6/mp6Y2fJCaTXCDOF/MU0/qQr/HzUa7BoZSMb+XSvHlhHd/LM8ORODDWR0jhMXRi -fqmkotw+hgEGLtVhpR3eFtaeoKXm/emZIktL/gPI/Q3WZ8HcwDo2mC3ORvTzniDqk2DGmKySmI2j -KUus6juOpIuoZGp4Z/UNz+RG8yLrtUdt2B9Hs8NoCe1iZKqzz3kMjGVDlFuPTJ55VQDHmS5f0/2c -cgvk/dzterN+6o/nMp6Vww2r7VySj62iBRSiJ92mBwVS2Jm8ouSRWgYEmMpgZo4GoVbAp3hK3A6K -PzoDNk0i2EDSw2Oc/RMAlbdHFIXCm4WffcocIMECBRbLtOrwixckrDQP6x+jDPbf2vfOjc7biiC1 -KbIGxJjEJAyuXdY4pO0xX3Mq2IlO0Hg9WHNvfXfWWyX58SlrvmAHFj/FnFk74XmcM34WnrUV29+w -DaP6BqWZEfFby+m6Iz3AA9tO5sKpd/YBa6wxnivh2rouzROXPVmaFpMaz6ha/BbvxepFWzXDe93a -Er0ZgLSSevlebumanHujMzHbFy+vGbxCywB42lM//CW3S7No97Upu07aKXd+3jfmfjvDDF5EXx/g -Jf2G1xaKKMh9owWcRNCEwK0YXScYJxH74O5O97iSIozA1bZogR6kYoBu0lb9Sx4a5Z0ZwhtQkNcH -lvaVeywvLNGilAX6J3WlvIv1NyPTiz43+kPbgdTnfmj79qQwHRp80md6iA8KSR4u7EUMGrWmUlUX -bcck2YyL7V230f2hrB6N+1PH9lXFKy37DWT2gBDBrgg2qd5XQJrl+FlQoN2vhQwvKrqW2Fynle6q -6RWTIhqUD5RMP1gxEKEpEOyqTh15CfDMEi5sKndB3JbvdzOAoUPgYadkkY4mUunz84SxQGYZ3ktp -68j88Qlht2XY73AAqco9Gd+g16lj6bbG1DptK4FNOcyR5Uoe9ofwi7KLTB6p2AatNY2ZZFLs/ckn -K+57iYotcNfGz3s0lP6u2CwBolEqbBWg095d6fhOTfeqeuBboXid69ILMOeLkgcHCq1DoAgEml42 -bWlSLvRp538NmV3nJVcirMZChU3t/8IRp5msVeaAi67XXDAP5LZDLP5NKnQcynNrK9NfpQv1yTq7 -bYHfmx3KvgP1lgAkl02D6mifmJTyGpA/oQwPKkIguomwC7uIUdikrLL8pFAEjzmN4OEUTw81AlDA -tbh/ywCnDfJ7KISNbaKEDH0l6UO6PrHLBUNd7ZueiZGuJKWqRNhHlIczZ0hbf5nGG/LdeylhA4/m -6S6ShkJ/sVXrc3nCFhNNmsYayXSB0b5eEbqrV6GaI2CZY3wuU4T1T9128AB+u5M1gGOVeNKmzNBt -NOMrIkPvgvO3IaeDBpv/K4i/ZuRR1EnwTSpemEbgYyCRP4wNhQC1rIz3eiyIRR2t+Hbmk3uGiZJe -3NilWICRmqSvn4tKmb2o9UIkfSWw1cds3700x2hCSwNs4IUIQ3U4y+XA9T8604egHiLs/oCubkDL -LY77mUaPPEEfwIX7mQj4a9nkWLDlO615WQtfkyx83vxeyB3wDOzh/FLC25KdXYxi17/dEtITf5ek -uErf35b+u53vP17D/dOl9atS3kKjoos/sp7F1ZluoP8sjHXXFgNxQ4aVyfzWOo0kq7vWY7U5QUDj -FqOiM8mUm7XllhLLzLqcQtbtNj/CPxz7xCsaQ+MTYU/wAxV4juOBFqFnHm0bsMefWC49tqRMl6aC -wzgOTprudkbTOtcmlMs9zFzNS9lFMsMhAoijBO+2q5Yi+nqlCaoJYJ0Mazh7Qv2u3KJBHeUh0Exp -tJBsspmL1mEIEC+oF+qR6wp+U/DTvLCAbOJDYeu4mh9yrtmbc/Vnc2OvpATUq98bYjVe2MUR6Om8 -C4dPnRsqav414WzKOS0tlliKZNk8M5jN4qfIh49y8kqu8ogzqYZ4VW/lKKW4fNu/kB5np4XRSM6P -uzSPBppiyX+3F6mVJLHHSFdaOzhRVfpCpXVT/nvMi2fBOzDpdfc2XwJEdC5lX5hkHdB5EmA+XPEM -JC56eaWL4kbq0HqGPCPoV1/aDY0lBB1TkWhEOw1j6t0Ii10r8kJcWHv8adoYQyn1mZRVDsmiKXW3 -J2WHb+9Pv+AsKneYQGfC6pDIF8vBxrWLnQ25VT9hNjRq8VkfU9Ok3BwN0jJn9Ut+u6DW9qVZBshg -BlElLzfe1dsarMYk/VppNCGAqfrsqEgJrwBqMAK8uNg44a1Tt7MVlBOp7y+ZPTPy9Mm/z0GqIFkR -6yZDNzYSJVCgpyReoc+8TzWkipVWz2eAGoc9lKzEPl+4gRe7Na08u/WTu9HarsVXXPmvzguDE/w4 -hcnpjbL+RPasI4KT4+zf0D3hwMfQDfc4KGodQzJ1WJN07Sy/JZAv57x5dgScsF/v4Wg5pBDIyUcs -BjktglCMEnDH4hVpaRfuaqVGdPr3P/UA66GtUgn0B6S9/MQhAWRa8egr5oevr6kECF+rya+aXYNq -rLlsayBOHi6eaF02D1mHpCRnnTXK9OuY6OfTPq1LLE0mGqsxPoWY6IfTcNrVsNxf8ltNUA/XqJs1 -3N6+be99bJ0Ti8upTyyyKCV+1h0ss86FOW3U6Q8nnAMC9Dlf80Xa9Zsgc75VbU2O9Rm6GjNoPiWk -ENQ1LuHL38JqPEgjeXMFEYdxhra9lFLpoIWtzz0yCjL1j+6va2bYey03WaTfpN5upGmv9KzNroMY -y7yfbTLwgCmOUctihqiRoJv47Wg0OExqcXCnqK2q1iXQUVXmLmVWRmiXkHZApf2MJbQCoHcr8Pl8 -lKWDDjEygUoeeunAbzzLdtByl39dNm8sGJY8D2zTLqeBE/4TSJwBQ0pNNTK/ru0JeWRKcwcLauhP -WriaBd8i4H1QvRwu7pWvcVfEiGS1jIaVFjQEhjw4lu+rgCLWH26WantmTInecGOiuXGlM3IcRPgc -YXYX4rJVETWVWcz9WGoWZOEqw5l9/JHxT7gFQFXORZuZFJhS8p9zdKQkd/WCSQnv/+KOJyP4NyIM -6yoivUWguO6NnY7uMGzGnv8xsKfZY/M3MWCi7xx1R27ntYY5jJOA8XPFrz4pNXFFzzyrnBM3+vQ6 -18JnijXwV4pl0RVD8z+kuZF5obIDe8KzbSMkZum7flhDS8E3t0fUwFjCiXSwV5EOMplvd2tPSrgr -h7S2TkjneGbDKCIdKz3XIc2fc1d+XDbkS90/0Kso+fg8bsqwkkhvsgkHAV0Boxrsiy45Uxp2Zcfm -yx8CyiXyVl3ur1B5s/NFjThiDmGOpMgGnHBQ+sYJthQasu0HPROPz2TQTEMs7WmrmAR8p7ANMV0i -L5bBzEJF7tvrRGnyggHRSqzfzKnVeAI94dl62Ar5iYmSNNh40noHmjILc1KyT891HcZhGkeZO/4e -VW8ne60szCOWNIEjns5wZeWdd0KTQOkSwvf9lm9PhoK6s4jcuIZLq5ZBzX10GMUboVVlgFhd3STA -A4AW6R5YZAf1+7suMcQsI7gqzc5BCID67La0gp1+pUBlnR7XM49WxpFILxEVV1dF+lAcIJPgBH3E -Cp0eQNYS0XygEPZX5GeVK0CLrmsT0L8sq4Z1uJyVlZVB1/AO+4HZ3n/Zlctl6VbfBwdi6gcTGuQ0 -/YGv1HHYE0yeOFkmhf8TuousDvUdnTmOVn9q3vfVPJesqKwu7wDNtWkI5gK+934vLdW32H20vSgD -49dk77JkDagFajTMQUgPuebXK4Cis4nY2LwdfNLZ2qKneFQB4TbLQtp9XR5xCdsHA7Pfnmk5ecew -0sNxI0ynvJoToCMNklnuUU4EkuHnkxqkBZHE+wjoapUK5F4JaMkxOPZCuwX1oF4Vakhzjilt5Wsw -Ne/xc8IFW/qjYjSeGQedePgXD+JMyfNlAoTCtQ7aT5cokOgZwy3dS3TEkmtwkSYBpE5ArqfE5WW6 -jwQ09ldVUvteRBXmEWl9eCTjW4WajoG65dlSDprBbKConWpdyZlwRlgs2hEYcL0KwG2ZmryAOcEi -ZZAW9wOtQka/gOYpOl5rIWhlQ/xHAack/EI9GSZiPzGmLWqwUhhHxhQGDGpYcUuzOlh2Xge2F5W4 -LCLxp0H7ouOmNMq3pMRDWLgztroAPSPTeCXv4gQYIPyi9tKx0bVPQ2sYkY+eD8+AAf6DN1O3s7EY -9OvJDYxSYf1+I6lhLMOhm+54XrUn1cJly2NkT0SIsjptHif867vhG6CB+mf5g9VRRJaG5xKqGzdh -a7Fz/yTbJw1YYcEtf0o6GAXf+Dg0kP+H08LQEvuPDE7VETlOHoMnjbQe7/Vy3csANdAlg+uKKzfh -ek6LkI6lyxvxAnfxeaTEkyDvOXytO0Ad00Ogz+3omyeE1QP4sgQ9qCBXDh6BWa/W85FgQ8J2Txzd -yKNDfEZ0VOEg/4+Glpz57NUOTSgb/P7WudrCSe0VH6miel53B3+C8zr/InhkK5LfkXTv9bUaSzZC -gmQiW6xCFGc8AKkha8h9X0YJwZF17vzYtKki+K5gYSHMvQ1SkngeNHx1seOgLaW216sURJen5RUB -S/UuVS03ASyIYv2FCctlGQe9Z54xEvADWp2TJCmwV+fxi0F2EPNrzsY26QuQ3/bQnTOV13gcMyfQ -lST7fhPmJxBSxlGNik0jHi7GznGf5G9vqyeFN/C+PuwHZ7wh1Bp2bZCKOJqr8wm9hsV/OwErKIlo -9dvBch5KC1l4Rz/IYJWkwdlp1OdrmzBX5yn2AxYILY6vZCr3M8sWMS0reKIPkQECM1VScSm3lh29 -lH9yz13BdF/e6PpjCCX+w8PWcPexZmiTpHJCLGU5HgI1AMX3gGekVAgwe92+EkhOARxgZ1InV4iv -pjVEI/qVUuEqoZUCHGDKNgs7jHa7bhWD+Zm74PPNa+smIS001I0iHkRzjw+6b6oxnUbZJ7sQ++Q0 -TszW2dfBmRnGqHG/eYqUmaeixAJPSzjP37Tx7OamPxiR0mpjp/g1HLBf7pgTsioeJQoES+2oOQff -OVpMfp3DNLINQr9CpidUlDzR5MUTmuEPSVFV6MztgRHJIZBRz+FoKlp5ZeQnUocvPoDYVQnYXJV7 -ImwYMGbZR2KsPS2M2ccEQ2cIK5UPMfrn3vLpXAUqVSy+XbkVpEt68GTBx+C2xLpd5REdfIRpO9tu -+S40lMxIbfBcMzTlFqXDI8SFZakwm+72fBRMvZsOGlByV4AAB9uJbftcR8jDSCcqjC2qdc0FU6cw -1Uue+zs4fUOBU5482MhabCg92LSjGl2ZeOXpjSl4tf802rj388VZQldN1OQtB+BO5SxBCAYUEQ8l -lsNgD/ExGAVjmr77QO01SoVlTq+uawr65/EbXZacrvem51sQK2vS36MCby1GDlUUcp+mbvWswedq -I1dpGIqrFcExzaDXhrTaLKtabT3WrgEDQer59aUPNK609VWbSSiH6uu1odf/fEM6+C2Z2eTG+FPU -9WFX2HVoc+MWMo4wXDuZFnhkZGBWzDs/ljb8dOEDi6VpmDp6f3U3/OE/smF0k4d6eLpxqy5bTpPB -sB7eoCfMuoqFtEYGNT5EhYM4EBUgBzaC0kfbWfLrV3k4xmXzsdmApRZ+pCigkcbVIXMTvDVw+Nnj -znl60tm1STrtMKTl4YdQFEgtu1toM3nxBr9yqsPW4fymSgIy5AOpIOVoJMFGFRlHTQL2CzZQtz3y -DIp2KTnypuXQjTNOZkBSri/xd1LwVbTt8WMaMjxjm6voIe5ao35n7S+Rwfi5SSHjIrOLvTF9hEYf -y8dM9PxqRjZySHzzdpetVeB6/aTQ7i+Vtn/Qz8LagbLhmp1Pw8t8oSUX3iq7ZAHkub8ZmhAra9lB -41UpeSJZ72AUKJBaNsF96qI6uHnV4N7xwGw+KHW4MWYfw5rRCDi9T2ndIdsjp3W5AFYiijL8u42x -bO5MMbiApLPJeULwe/M8D27/j4NVKiAdGLCbjytVOGG45CBKQeZgLeF45lRprTpKDA+hnuANvzNs -A2azBTJ23VTRNdAOBqZB7M5QmWSSnTMDa+FPqsZCfSfnrDSRDkvzh8xExRhOfJ4vKNSNkw8KiBkv -a0bSRR8+8PgLdoFdMKmzNdWoQagKTPRO9STkMjuOUJKz8dhwJ5xpZNfuqT/IzT3pr42batVoFgEj -Z/GX70rA57ldEaQOVU4aJLdomjJskuT1RtUBrnwzBc0Ni8J1UtM5ps8p02nkAVVzyYeyN61XSqZc -eVInBbD9EsMwCmglhMbSYHmcDvtp6n3+A8ZL9A3cQ7cr0zFqw+Wtrs2hdkKDR63LXy6kwcTvzXoi -BEL42Qpbl8QfYNx1hrvOCmf2PTXkz4PYZ8V0ron8D6K0vwCCQTMGZVsClv8McNOXMNV0Oc1xdI0Y -ZEctPxOVfZP/W/4sKxNB0m6JlTv0WlF+2jYwFSbNDw81KQiouaOXN2YLG/6+H5It+DwatbKauivj -EdMtXyzeJmtjsUEbre4YEGbaiO3JzFfRopxDaMqoUazSgc7ydmG0tSJsbItdWmSms28EIOtV/hgH -vNdjYOvD4+PM1Hv1Xy6ivpvOkXPXZlkqsfxPoTKKhG+pMLNqtJHen4CoA8Oa1sSHnooN4aesvq7t -oJyUSGIThybEXZlW43tsdAkFjwS52suarzJNeRrBO7WyZZDZNYr2pUGFbLh4N8OjJgB1aXaseH55 -iU9q0Isf+jt/yMDVmSmnTep9dR7+qGT10XCFLGGi6VIcqDK3upwjAEF5InegjyHW7KXb5gGyTSht -X4tXvdtIbMEllTlCLz1Ngk3Ksy3sV6c0I3MkljDhgJ5ku1j3kC0ppom7EC4Tn6hfP/+8HQP1KTw1 -FAYa5JUx9sLrz6M0vkNNkMo9qMyxjQISAWWld40p8pMkvoS7ggVsSItwkCVLjEYyC5w7FNT4qYCR -DG5PNYiZWJ5Ywf6r9zr9qQDIEB+eVWOAG9C0x2plu26tsH5E4C+t4m7cIfbjE4CW5eJJcKAuS/ij -DjIhCTLty36+5sHLBhZgZRDJBENtzDB8xA+lFBhJ8nwU2R3uUZH7alfnjlxiw6H3/D3sQQxzqDGF -2KbzRUIUZ9XcLNUqELr2IQi2eRIug3SuEu3mybWd+HhmyQbkaAvd4t5Lkf/o8P5TtGvEXrLpoMUP -enp0jdoWh5uBX5kayDO83rZYGjyg10MYhV7JtB0x3puzbsRVvOjx0LE12Np1kgpRPMGIB2OQC2i4 -JrqU8JsCC3PjNtZstCazwb8MN8cGjGYA5V6rHbzDiPP+p+8iMdXafXKVdQNF0fsp5dKQY3v9lUM5 -ZYAQ+3kZKVINIOSxCdkIHk2YRJ8t5NErobe1E6KMxJJHx9Fhju6PlFnvnvdZQo+VpzPb0As0rwoZ -kUcNjXhx8QZqHoC2/48gFZ9Ol1tOCIffhckleKDXGzA2tfzmj7v0D8DNpYb+BPHDqAhyYOhhQBi5 -pOovW4w4qQlQdbAuKz3/OuVkWyg05td65zCIOfTIfvdjepuf1GhCBUawYFmMr7DtbmObNCtcbPTu -xLGTPnqOeVHEIXRWEjITb/Qfajid1qXKwZtJxJP2IDP/grTHUoIw//Joh/I9r65QQRxvNpGP/m1c -ppiSDjbcVACx3xCPOvpbiHC6J22UeEv4FpE4VSIyHvE97WnYAxbZNqo/lljVWN9WTPK0PiGYWGBA -H4lZZ6dr5mLlASzAXmbSm6L/rMV8rmEZEKQvRpgyStFLFYUrH0moiNs6jdxVwSNKD4zKZh9faQ1i -CVyOFiw+8wEJsIcVbSV8w4izU9BTczNjGDtKbTd0KNCvEy66qzdJyCvXgsUmHsQoxJCHBKGf+Hmc -JJy9FM1Me4d7cIxMOZrWl9Pv95sLeiFPTNarkzWv6V7paAzy4ZIFxz7g03+46nKuOutwiWY4/Eya -nGFRCs56Xn2TX+KLMPbJzsfDPJGx4qJo1KID89hXMpSTHQyvQSlBORvU/dhXjur72bKFigWoF/8a -Fj6h3H+KavIjMD13+3U6WKh6nV5TZvzE1VJ2MVx/kcThL9K341QnBWLGvCXZAc049v/nZsFRZ+NZ -8WVoSrq5Z/OGBJujCn7/PmbeMm3zA7z0D8Hyg1X7BAdCxt/5Cwoe4AHZ3VvkRubjxqg55u/8fX6+ -H8IuGdUCuUcCFbwG/5ewjN/CBuxV0FEHUJLo/BKZW6rx2SYzQ/Iyi++PISUAFeGyA+KD1C71qkYv -uYoJgHAsp4n5/gmAXV52ZQhzDVGP9fzuv4fctxW7H5RvSNqJEj5JLJetWJiIljOnwaA5cwLCwxsg -jcj9cfoiAfI7f4m/2b0ts7w763M9HezTiAE9wdWu9vArrPgswRPze2E70/HGksejkuXHrtkn3VZ9 -ixkjmp4uNyIv9+I1U4lO9tnm7cgcRyx64+fHgO5EII0unGfIthexOpzl5KjB6dpmi4h4hC/g8X0k -GuCK5guskKDNpp6LzTcZZUzYQaG/0KAOV1HwriWlSW9o+N/1yR/qEdehOAHPw9EjlpNAJwRk99av -B301jiGwoCyrh8bdB6RN/lcRU8uRS8kGdVSSyZS/ob0KykM+hWqHHkAcmuybFVOChJK786QNS7dx -KhUsC5Y1rmc2VpHAGNWlsHSb8tU+sIMdXW3T+PoHuQoYNTBFeNIc4jOfQwO81GRSR46N8zSIEvdg -1VNHfC4ogAx+F7WTqeJOokhZzw8Dy6OlOJM9Uk5sNcMNL5xsykuSG2OXLvxi6ReB0nMMPuFJK5vy -HiqrFcY8+1fClntC3mmeQVb+xjTDm9Qug5Pm0/ezNxO0tw1EtgcYh9eNOKgrMCVCVmq0YVhPs5Ux -YwOsupQePDI4jz5DU76E83zxrufJus8ByGR6SS+lGvm8OnVZMH5MDNWsylvjcaA6iyBE5h+aSFN+ -obzlZV25w3Yazi0PaHfy2kibImQMsPjIVTKP+fbLmF0bt1aD5gkHeN82wqteCOahBMEJ4AM3TjKR -2w8vxCtnj6/fFaePmXxhxgic+Vzqo890IKNMFa1BnneKEUpbS+czZQsWIbh57ocaHOaXQjfu2zaZ -FTO/4+sbuSaQuk3SkpuEBvk9ueboR+T5bYLKDn/JUqUF9mszhLxy2XYEyVmMmbVfWznkyaPOsDXC -zRH12eFMRnl8KArFKeUuuBmCUMPXrIj6O081/IqFriZhdRvn0lrELVz+Eam4OraCmPIyiLPBZ9u2 -KNNA1u9HlosVWTCTf0n+tDOyzzsPWNiKepRcwoQP9lljbTZBw0Zd4QPC5U/IssJg+o0ZbSXi972q -+y1Fit/lQROBild3Jg9OP6Ofi/e0yW+9ogZp4aLNAFIYx4E10RXUNNsIL5PAPgTSlFZWeOuZNClj -ssA7nTS4jAeK9G0uhg0k/E1s1dwgvLNr9pD7kaJ6eTUMRDDZHaDW/7C9AWGO39CI6Xpq6ib9N09T -oa9r9RL3nKVaHgivktsKxhCqSkZKuAa+bFL8v2SP0z5lVN6QJdw2+MjBKp2QqLZm69IdNVN1L+uM -4LbUA7XttzZDgoKzqPOAKx2DKhQAgjxDXLvYiQsg5FwRYsMiLrxdK1MpxDT+cQxEwBdp6mkFg8qS -VFIdrbH7oMFhOOZrNil+BFAHd28sA1tnAnDB+EJyg9ZuTnK14QHJMuvPfc2jd6us9JVq5sdDwk0J -KkIMkZEGGGN0QpDTSoVZe6nFdwyym5n+SU3RSmHKWppgGbkeVyV7fN2gK8eDK6lu+KTZArN+2k7h -qfCCNnRtn75S420lSvSMhOC+6TZF/BenQDAfPF/+m9zQRcwgqHXLOMGVK9FLZt3xvggSY4b8+Wj5 -+wS3v+zA7JylJd3h0b2tgZUo+EwZBa3a+5EuqOaTan0DuQFx3DzWwp4fYecastc5905RcmNVAVAz -IQ6jsxlA7k6r74qoDJ2O9/FZ+4J98IUI2BT8s0M4B6wkwo3xNo44PL4qkAio3NMEoF7xAdp0tR4t -e0XH6SnQgg56JZxsJKgN67aETyX+/tyZgFTkD6V+BdVNDfcLNY+WDAcpudtuLgsHl45ucvSSMW38 -y2ISfMYZc5AtyUdUiXwBXyM/xOiyVVKfL3KM/yL+IkR2SNqvnHcqxawPyl9GRSKxM+utcoABX05d -NIbOwg9ELcO04W4dVwJNWwoJLkWQvoCAVhV92jgMaqqpE6AIF4f8+yMwI6oeml8Jws63zMpn05E2 -exBspLaBVf/z1YIzdUq2YMHoIB60xfWxnWcBvFr/N9edQxR1b4kY24YWEwz+DRIWrfEtiDoLaf/U -rA8Zi3J1kJV98kZmMma4NTfvd8wN79FZocqZgzwGOaJ/FxfPEONS22aOT/5mvMSTXBeZGhBN5qRH -YC4RNE0QwKG+1XC64TIx3qGD83gnBUWZtiGcbjVsbXZIoUAb8xHUmCYI9BIJ+0RgjReTY2UVWUeD -+NpkQ8Ca7G6NHRwrunFiNk16C0fxPQBex8TWC3valP8xigAPcgq6Lpe/q8zg8mF/QoRfQuc/xGuZ -plCKcyd57Kfk+gmIyVQRFJJRM0w3mfYJ8k+bo+Kxve+JwiZO5YjlM9KkWJvQqphEueq3/Q0INfyG -VEyhnJXX7JZJJAhd6QyXrKiu/jlbjd5jOGrpPYC+LTWVjOtr5b78NxU4ofuBkg0eStTX2JwF7WS2 -j1U4q8KO4hzngVHo4TCo7a6aeXZCgCITnBvpIg9fuZPXrDsxvDzA2E3i7/XI7llZBtmnKDlpQ0AJ -6K6aJQDopshNUCOwaSyE8BhgouFvVwT3McRynHEjm4nNWekbBKMXuZtADqWKpLM+kPLdYIi7dxz8 -Zef72bS9oEbXoZeOUmIf6LnRVHcZElrnGOLtYetLW5+l2gZw/IhR9mXmklawtcRcq1bDgAPUFFEN -BEEHZxxgq1GpwFPFy9RWjnpqSu6LlSFOLvaUKkMZr9ihehD9J6m4o7OIDhUDq+h8dwMUeaj9pHuV -JX3pGlawqGePLTPWOHvYT10sq2Dt9WPGdOLvJuUDVYP1Rt8f/cukpatnClyd67O72nIgg4tx1YQi -IH1uUyK95sKSlSy13edO+FxKKV5aHI91d49RH0JfbGwUIRQnJuC8KqjtHwk0iPpeI+fMCOI0AO2d -Mnm/IYuBj6dCWJVtsmsoWQbwSh+ZxHo7VnhWh0o01jLGUjXMPH7UCHg97JRfhNJpAKwCXc4RJK8T -l0tvFXjCqGa8zVA/F2xsghufXUepvv8bJ9nGl+dSMPVwISWQ4zUPpvgaePsUNjAtHg9OCzpFE+lX -4/xi8sMJg+ttLMw5rJ8tMzXRtst8ZAWLbIY4LOkukVwK0iL9cpeFcZh2Npq/Rb1NjR2+HzUzbKoA -ZF90nt8ce/ekz4ML2AFsbbj/MdjTnpGxXbQqR9luxMGX4855a8twKNaSZ/HkEgVDhrNfGsihyYMa -lV6VKQzPNMfdnkFW8g5+1mUsux61gpRSWcnhunUOJwhKHWGiwaCgygtnvnoofXtD3D2vmvafAto+ -IIxIBdULki1r/4eZfLseMyi7KEP3I7sLR7h3PKSEai2jehU0Er8+5bDlqqusUOkEWMsLw3WPljqC -03ZFkO2gLw5mCaL9ZBQrjD23YDew+aWxeUP3QtFYSLUfnE3DLcapM+yMSeIP1fc1ylXteMnWZlOt -PmEs7DXepKnzwxayFAGny0PRa4x+mFDn40VQz6MF5zwZEJTc9LZKfKxo0F4CzHpuV/iXl5ise1iu -cIoGB1MvpkKyoSRl/0suvR2oHfTifD1YrNQ2ZM4xeb8yzq5dehdYlAdsz/uXyMgTHvlSJdI+Ntto -ngE5yWiT2/8dD5dQQ/Vo1bkrtnzbOE+bZoXUT9xAWFsFyoaEa0+KOJWmnS4e1imZpeuqS1Njx7DH -ZOGjKz+bDvlLFC9lLAHrP+yGMrblNxK9qA11seE3fp+ij8GCTxooF9NfMRxCcRFImcVUP4ne/YDB -DFx5FJvJWwvaMrx8HYlb3M6jIYbqsJnk3s983EDCm62FO0+fSIYFGHBvgMPlbqWV0Y5PV9NeZ0uP -8JpHbVLMfAFBP/Wr7Yn6o8d02r6yDZQ/TQ8g93CJryZ16zBD3QD9UQn4azb2sOeY6MAlS/ZYrYMx -/YrNW1oRcSty/TXP04Sd5wg1ojL9WD1gObIPAT3JENo6xqXKy/78P9sfqCGr53eRDG7n2Q65YlA+ -pi+a3CVVQ85MP18XcYBB4GGSITIVBw0J+a8l5zilSdqAVd4i26LRGG/hY2/5D5EL5itS7SyfdAqT -0msmdvBOncfjyMCqZHRdHNOqgBV55iK0fnc4iO/mNn5C5e3aowjKgAQZcvgf1sUQgdslwYMYdd0D -CBCCDi406o9OwHaYWQy4+0nGQPjCRx/KREFNIftmBCOmepy90WS7uVZD4j/qWXGGdGRc4Rvk0jJP -/yVijTowW8fo2jJzRz+AMF+XDloceA94H0HulSqFJpOxDxq+q0S/YNbZQGQ5a2IuJpD20++f6qID -CWeUW0OobXM6D9AbEOsSIVf1Bx/aPKDKGs738zZp5xa4A7UymxbxQb3o9ltH38Jjldgx9408TPuq -kWV8y7dfV+Oj8Ld/llffUlMm61Eybd20IeBp8zsv4b9ml5Xj3PrYAqWHfN+ksMp0L4xtkfMPEOC/ -8zYDXmDd2j3zHdWI4pWqtMHNvBWiRu+AAimXYuF+UZLOObPkms+ELRa2ALqIFNSqCiKiwtaJDQ7y -NTjljTypELHnEyHSqQAqLZLPZIyt5kisQUXU2rxc51xMIdDz13MA68RCgdiy1BviH2gfidS8by3q -dbM6JMHu3WROiMhz+eHDEvXjzN48HeiHeo30rngOGZNHz2f8nrBOtRiBRgenku7Laak10LXrAE01 -jvU1glAE7TIUkjr3ZNdbWukgt511AVa7ALZHcPu2gWOLUEyzQIGiMct9f3Pi7Gpxo1iStvnKweE5 -A61Ql0FlHwfp/HoTFUPzUH9DgMbbzfaXeqXqwa7gwHalLt/CqTiwoNsgre0ubf1ptmsFZF/YGd+y -OuVrKfvVZI8BKuiG83a9B6jRzNE7KYq3/xQ/TjmKLeOyfwIHoqx23M/xaU5LYclFL9Dr1qUlwLpZ -EDU2FwixG3fpCVh4Hhra27H8x1aMdCKTFzFzx7eYKIRug0+SOy67Z2wE34df8KBOgkKXVXC41yHY -GQMUfH0LTNYn/+wPrKhOzhX3T52cMasfzqSWOHvOMlGuAWusCi9JWNcjdM44p96PL/5CA6AinNsN -w8H001YNh+X60+yg01UwYY0MUxsJ9x1eBsCU+6LbKgJuGOjonlAkWJVoNmbhQI9oAlfT0wbWTexU -1EuD8S/fpNlVPDJh8wtiF7atCpC+o71RlRy7z+P9iLMWOfxCaW7k6TtrkAqpV1IyPiRoUQzqfraQ -/OCLd82so1dkb9x5VPhQar4Nqw5x4Jw1Z3/AiyDo2+bXw9ULvi9aNBU75mXruTctjtW0jctjDaWs -ikD7rtL/3LOgD/Gk7CDvgTNW9DGX8/fUQZ5iLFbCJQ1LwX47yEd9wUpnhEmWGmWE9MqGGTuqCU/n -ehvuYwX4tMMYBQKO5phvSZJYRXcYRuokVeTeEjtAVd64/+tUzbkMAEvW2H1NDOpzSVsPYVo8TtxX -lR+46dBcIT4zrsRoyEDftthGnrXicasA4pxId2Y3sylpxkCoA8echrT4AzkPd+nq7iy3m/FlE3ag -4nKrU5yVk74dfsL4bHJ6HzZsRIXhi7iI2aIEkRujFs/ZQPuGlqaLsRv1b6K+YOU+2Q1YQhfslixC -K0LT7gqtMM93PoEfSlv3nMSbmm92TvSJUYV5k37D88sspLhz/fuysFN77tH3pe4sHLBPclKR1fR/ -3nQLHyboHIGJqsd6X2HpPVZro0MgPZCEqGD5JhfKxNSjFYR/AfnVLAhCsTpsvsZyYby5e2b6wYM3 -fIYi1GNM0dPWsBT4ULbeq3T7IY71+BT+O+max/ZUGKm7/B5naby5mB5/bSXHAOvWgHrC2//EMuxN -RvlZINS9cDgTlLpwWUiXaexTgZTA4WM3HF2DZoCYUXvbGlxufzA6i5B4kkArIaL+V1NF61d6Css+ -sKf+tChUnqe2rwZ6N9RaR/Fqn68CY2xE7R0A1iKme2YGOx/56UQ1TnK70wULtTGShKDurpc3agl+ -3wXCJzQ9dXl/bftIXusOrKcvOaF43drBoJdN4NVGMXvfUOdYTWEjF8AtzgOyKdRIITG8x1NHgxQ+ -IRAgipS0dAWzi9sqVv1LmZ1rPyLwOGiChLLdFRf/T/oeHbfkKChPdCeCDT5IZBjXu6iKyS4vfOcu -+MPcLTZp5aInTqSEQnieSS8kp4VETZitx4MkGwqfS9Y6qM62+ayeNvdoPyuDxV1UQTx/ao0xonKv -ZWJiqSU6qL/zQJ6bX+pmum9RVvHgY06spwF41PMv/dMD5pCElwBi1UF8xfl6YJzt+VVYhXQQY+77 -va0iIPZO3cuQMTN+8f1KPFndwtWP12Q7NsdnUXwMvnfJ72+XHUrxcKqYbEdrfp14nBNXpA2URzOf -8PJ/4w0+uDwfeh4SH2jbaw+1HdB/+kxc/m6Bg4zHUlRUAVqLrjmEk0wJMeQFNSLZOTwD4HaO27C4 -OzTwZRccFH8g/NdLUJiSjC0dYpCvv01VyopUVLBYl26z7pD6xqcWllW8h21Zlxd3HYRZ3nkpUrmx -JoW/D28Y4v7wpDIRyJOLNoooPhV1U2Vrv6ouxhnElQ+ALfboxHmAIHo0wAYaL0mdoSx2nGtU8uNY -/wWDFMjAUPo3fvCt1lD2JBZV9vba+upNZ9q1R7vxqDIZ0eHlvl1wl/aPFchVFpHloCtR5SGrsU80 -Ge48xWygoSwjKiqBfnaP/Y5dijy+NoP6dN57CWtxyAYrqByOIgdz1VuSkR9XxpDu6kRYNRQCuM1A -Efo4CTeryeMH4pH7E5fGRlnB8I6OaNG4MFDe5aDEGywzGEDvktW+Jc3dI7XcHNBbmQyYGi7O8DUH -wB7A03qIdqq6YRI+XN5O/q2DxyMmQ8TgceZ4oIqog1soKfUeeXo+Uvd5MyoC83tCoMz54qp5CdAJ -CaOSmalbZXPDlj/4LnK8FsvnW4pq+UUQMu82wcwrBlZKor1njW3bWna7aVHLUJIkNhtPt2fHCCkj -CoeKQwbM5TgUYDOYoCMb6QJI2LIKrn39UMFcO7GALBikkrkZFf3yUHch5cUFXp4vpZs3VA/sbseo -lTPjH/2+UYDFG7o0AqIeoAfpZ29qSJW6Yd9wyfNQP1FiRIkh09Xfu5IVB+oOVSdztBJ6gO63Ianr -364RkPcyse8M247T+qFdy4L1vPrFKCK+Mk10v8tipX2C2ZMuk+BBihlpjNfRiA3MCkPOaU9hku8p -qgAXVXM9KpRAXtK/rky98MqwSlMMSrW10Tzvc1BPjpDlvTKjNxpCvN7yfFu/CiIMiAxa/Wg7pi27 -mYHytxHMeGV5mB+RaLiGGzLj8mA+aIpQF6XJPGBbaEdJL3Nb34U/2jdjRIv3wurmigbDB4nqiwCF -UMb2/y+722j2fHHGKSz8OuZWKYZ844r40gvwEIEv+B9OdxpIdB36A/6fLlop364AMG42GhZa2MoG -4wChwEcvxUVuRsGa3Of55XMbi/nO3mlHZhdTO0XwIwbLY7E+TpZKQToZLDjD53gnEqE/x1XS//2m -/AXTk4j1PoNNvQoBSg72404IcWd+dl9mcdSPVY49BeD+9rXGvPLZ7L6vhOYlxETH8aycUNaVQ59L -kj1YYlFwh+XED7GtzZxATA3xPSTXnwdRN2eqdpmc4ZJI4qv9amZkIfHu9hG/lrcVTm9pN9mbuzIk -NrXDaG2/a099goXQfEDyARyT45SK9GfU+jB6wGJeQeXhIRxdVtyDQim3mQY13hxmo+HiI4ptAjrR -1l8aiLG4m3LOJtvbGPxzFIzyDR0o6v4c6vJ52l9ph5MMH7TtdCslpwnEMxqpZLujl5YhyRXExHcE -N1RDow5lWzmkgZeRKwvQ0ihPYYhO1ciRBnDWFMeyCeCw2pr8fuIGOioz1JOPZYBTtwhWaZoZF8m0 -sKYhFhO4T2DNXG5K2BvRJCWeXJnF+b8yw03f3cBwhZbZbYEK1O9L+HNzYqaF0CM6/YyaXv9XlSBs -thV+45qL4VxRjMgQYkv9e59QjgSwx/ySNvwcp1+wFVpHtArBjbY/cZzrpv7Y1NbpbD5S0xyxKNJ3 -gSQMeaRFO5x3S3b0MILIQyGbT/c6zlB8Ys88F1zgzmGKVmhkx1NQYyp4pNd4uL2K6cEVHa1r0NOT -MfB0Tb6J0ksx6YfzEUBIVl51H449leZAhZFJi0XvIG+jVkob1kMWjc+kj7xKx1QQL7J1BtutcKNT -pfWUbMHDOEFxbcG2CCZap7wM0rdydYixa+AhwfuLQzolI5T6xDd2my894KpedmNe3ajEDGqQp7Dg -7ep6eo1j3MT6H8XD5nb1LVkytvMQjAKooY6sExPZw+n5eTfTClA98fLfPUWe2Sm4rZ7H5hXVzfRr -IjWAtbrlWqn6qcqo4eGynC3//jc3dMKux9FAjeaReMbpn6BskH994icXCA+WOnG8p7qfZoUZBYGP -bXimaZbqbCTezs9YKOA5pKt9AgIxctffFVySwT+HGatTI77LEUEvlaM5hBPmVU9sSzolKcmwGAHt -o20ESR0w5PS7RhM2xkhMNUXcSkXYuD+K08NN4LazfVA2FbmsKwRphHK3g55LXJhZ+Chcq+GWpTq8 -E0+6USYGRTkVTUGVRoU/GAqA+EE83qXhcoZSLR1MAw1P7cHKozHR4hqjz7T9OCJ1K8wf6jO+Pb3D -2wkkvv4HeUIV8qMfZqL5Ry1tWP/fey3wWCLcwEKISrJGmkgF7ce6tjB+P3hlEA6snhnAvzXFpa4H -XKSLMYIV6U9OO1NzdZ3Q68EhMzLu31sB+cru+iRk0nluB2mG3yuT6iRuvu+9Bgx7ko8vr/4VKJSZ -LARyvtdTwHK9pV3OFaUEMXrcA+MBWisiuXxvx8KBNtgHPuMbs/2otYodOmHnfdzzzWdQh9UzBS+R -YTlqa5+jw0dhTNxK2n/WlFMQojrU8Usb5NVef1wUHrE76HBPaiCV2XcvjpfooESUFIN9GWHo2szB -IiO4eblkbdOCQWHiGs6W9pVwvjuF5VMPYxVkA3qWSM5Nk7ZAVU1NDG0CPW2nOuIYIxB1cRxWWuSn -lu0uB/Oa18ai04m/lTOQZ5FGvSCUWfQpewNdAtAl3mmtSN6z/A47mXARTtWC9I5M9GVlKalyYLer -vfA1tFB082i7hU4rBeYh/RJhaKJ8AdiK52LRXiEZSlVuHz05S/OSofPLhJfGxyBisT09+48+HIDd -exXfp0K5uf5BQi7b0rAUEMqaZorVAXOw+ehDIK3Jemido5e44o/0QM++UDTMWXGteb3wCUe09/tF -OqUR0rXebkbvNVso+Y5pyjnRhepIt3nt5ujtPxHztrFgowTSVfRFFGNuybYl1Yj/daNns4J/EwMa -KclgaTkE63peqSqqB+tgmn9zzi98QeGZnuoQ2lNS6VW3s8n/s5LrNV0fIz7iVYQMtExa/dU1+bhG -dGY430DGbeszUHL3mnMZiK+3DxsUmHW6pBJQrivWbazrbDS3zrNF9LUIXlp6dTcFmt+17E10++WY -1U0ym5iMhlE85/eupXYvf0fCkIb3t24oE0WzHcGvfIylLyECjAWCpc873FFMgPc3/2HTAnWWr67E -bcL6H5soXF91QL0FXD7gPCUQpybJtUHwgHLOgueirBxGsIHZX1U4Brw/TiyI+XG6NaejN9fFnK6j -/QG6QNl0RSaR84W+jk5HRl3ct96XS6VAgYTAsiWnmb/+dI+ghIG8FKwD5Q+Qclf8p+K5jCIabzUn -LibvBsc6S7O+magyNDos0pkg6YSGXOhLZpUBNqLhbaN5ACfh58LB0cc3y755oICFo6qCHWDsolES -CkdR/2/Z2IyM4Nz/tYWYvA3x6TiLQvj7iyBnvOHrO8UO26gLlqx5rbPmfKOjayhcip12Q3GeoAYJ -WcFHgUyux1ugPli48lSCQXruvAkw+AbOyLpRwiMi13sAnQ7iy/myxM6jjWC0TQQyEbEPS7A8c/bv -3F8xVmm7H0Pt0r4cWEhjQCR2tdzv8CFG4rOfXE8Xz+94TBdAlHY9XLLcttHDf+ZstoVMi8E85ZyP -RtBR01aHznHaOcaQgAJ4JVBqFsRj8xdAwed5Fmi5FZ+dzTv1wwlty4pZ6UOpjPIN0C3ZKm+PW195 -405breD+h3luK6j+X7sE1R1cqc3AFwsDzxQ26i4sXFjYwNue9JZeX3RjUcoqDzQx/2SducbmfphY -dQ/RcPZGP5K7l9i+SqsD5EHT9FEX8hScL6qVN4ZWv5494Q0sVfl8U/62MqG/5qh50f+PXqNWhgLY -s34B7pATzMw8W6GzPZpiDqEwrkAtuuCJqPtXwJYHALFD54hGZGkfeoScW9qRrMsfH4z6CQZgIyRz -9riaw0oxKjuT/dZKwqsL5V4GPCwH7zEXQE9BNnfEa9eEk99vnk7/+X6LZLE1v6cGZBgL4BmTPabC -V7KtRr/ruKohR/EUAEjaJiwvlXgoHIzs78/8YODh/vAB7cuJDpJvy/tzsWZMR9Hv9YjLs7vaEdFc -rG3KmTXkjohzq4FhsiarAPDAszJybhfeVSKMUAQhzK/HyeyVJjgpuYE5zob3JX+R6nNB4Ic0ruXG -4SCzrFQDGqJ5wfOtxA8c0jD5Do3q+F4qQ51juY++V1CTt2zpZ/tNV6aMfh5ASAEyrATo34rX2tvI -X4fc6ALPerv8ErwaiEmpE20B3kkHAl8ki+eNlTOKdU4EZimqb+omSYSKTSQFmoAt5VMG0YkSqUgv -FUndLuDEsEcArCwreKGddkfnEJVv2qH9Rg3GwL7hPxWyzRGXSvA4OjIWHU9+XKJeE88623ZeeNTh -qPQkHr2DV7BpUNw6W8+wDJv73YLtaDmuimTVPGGPkpI63NfsQSICVsk/FSGddoqNmggoMchQY2H8 -+NtYwjNxyYWVJ+izNZ28WKVmUKb/XJ1sMiPOKJ/0EhlSYXXOM4Tsfea0Mm1UK9htzra+bJlTqBXq -3CZuEwquna6SXMR08ADGhwu4PMa5sYz4+pf7EL3lHf3MHNp/LaNP4TqXYMcUCwSM8P7W7Fe5P9lA -Akz+QALITMa6Vlpg39TdGfrPEpmgbYkpPjYrrj1zrYtzQCqGUrdD3M+8Tt50iPj8uVdnzdiVpwwR -gkSnw58DW9t7y2GB92xOMVP9VEOoJaV4WoR97jZ5blUXxwdzGp91Xxiq9C6o1H/mVEVXurUvkik3 -s2u7TIsUG1cyx8ljMGuzJilE9W54vlzWhK1TG7bOtXVitZ0lcNtjJHByI3gsxDxDog6B4tVfFh2R -3yqhxM3fdYWsgfJFFb7/WyUYlUfPB+z2ZQKlOAFuIFQqZUm0OA7p5PP+8CQ/D8ghhs14YW7Pmaei -rpNoSh/y9/ul5PcZwDpqMCVFa7gtt+TBj280UZvsRX9PDa+8Dmws2CCczwyw28cHux7rBrRcn7ZJ -23Zd4E1OaqXzgzgbZIt3qxKsqDm4MOTCnxzDXaPzekzBzoLxILE2BK3R+4SV/mK1PJs4KiHSKuND -xPL0jxfA9hIBzX4mKPBuXljPUN6q6Tgr19ZptoWwlST5AD2rpRsHcs/083II6c5kxviud17CeJI8 -x8QaItoPyXSEA/GIUZAGmVAHqE9hFh8LA2H1XmAR2KvQUWvpSzHyOTlarpuY0JK2MX2OuEg4MCd+ -WnQu1Ari57BEKx4dE7B8DZb8cBSbaVR/tnPE5qFvwi9XOVzlrq2mkecQpH40bp12JlvvNgydfcco -8ZPnSfiyniuDuiTljCIRgvujV59tmpx6GghitJX+zlDAfwXJDDidlDHHNBjIF1/k2kDMsF8AHPR5 -5nJ46TVeDogIYmZt0+1sOxemIu6GcnmFqY2nIRWg19bu+cPV5e7zT5mbDZIMrRpIYNoiTcsXtR6R -yV1pf4+GapoJ5yt5cJ8MA8LBKcTFAuyxZRn+txhRyP50H05gZVs4IsGw7GOWO6x06O3Hp2bV/pwp -t39Ec2igKD3NTM2Efi4gLqhmLXwd9TcbtFbndbezejUmzWgD+zIBv5cJeO+Rz9Dcoa5GY7qZbT+g -72Mcm4WUKySV01BoLQvHrjL1UfnDynIk+GtILtqk+oRj68kSabgZQgIHA5H448S9EEb9bHpvNAom -+qjXKuff56MvdRQkeO3ZFH2KryxfIRC7zyiqtwEDeVCPl4+1LWXZpvjYdJQE+oSUVWFaINwnhk/S -yOlQ5QujLu3TrfyMDNh0/KGb3IY8HsYF6ZC5vqINUlJXWrKNjPfpbGQQPqIU1eQPERfkfPte+drO -PhqsIqolVhstfVm6/8SdRaGATQqu5kHyb2utGiNln9054hau4Lc59G+RXxYyuqHk9W3Eo9xuf5FK -CnzUvL8YAzxsz2+li+oq7gbrCHgVvmXQzdWThe134L66DzVBKOMgCBHo9Oc/wtWhU5UBHiLSJFud -DXJPXHvBOZWrW3l11x2bbwmIsZrC8tD99GMFfUmVUXFi+VmB9gl2IRRMHpCHBFIUWy3nRwB1KvYw -7Soi2H4wbH6GRk02bYeKIpUyCBcn4of+cuoScSLKRamAwmDa6iiBhiv3QFqvU9gxafWvLZ4ZQ3vf -CKBSaj+kFgyNucFe//Vmx+weO6YULW0AdCvhM99yiI8DF4NrEeu2AEW+zcOHdgf26ZSYtHkB1O4o -KY2hGvbEQJgZSXiIJy68Ip9hIArTcI/VvoBiHyiSvR8cFBUUFHMSOgP3LOLG9ARNeNxno1rBj6+u -FB00EL+oePMqAAAOnrxqu6esIwzFiU1tybir6oE9atsn1pWihY95DPPOUVQ6KRYyQP+3U30hRHTq -RNdRHBE4mvCbgHhcyeoQdHlf4v/beNaFLHLamuGj+ky+xXrvREXHwOvDgRMaYIk2jX0XX1awQtSC -gSrXvrpzh0sB6mrLs6O7RRifdzhcp/MPMCJYMPaMivkm4XtZMdCvQdUt1JV5UizFgxwsiC/57lED -1vEyOpPccyF2CBA4TiwtmB5LL5YytX3r85KVV/uotMbLMRzKGssSlOBz0bbKvMavWHZMpUsDss9E -utH0Mw85hKOlkWSg5+QwxqE1DYcGlS+R2rIGSJMdm+uTTdRkhAGsVA0bjOEXRimNYKIn1gWbcamt -GVNsHk4v+IVQqAxmirDlbsbSj45/nKU0s/SG32IjOT566q2vxXTQ+ujTc/1fNjLxYwzKwFV5or4F -sS1VEfuP/Q1fM/MNiFC6pZEOWJdwpX+I6dyWMGUA8kBwMhZsIhxWXuSG103f0tGqI/Yj706FTKJC -6lFQFyYy73Zl4QluAcyMyiZbBQzNmRA5UlwXriSouiDsEfWEFrtrB9PbiBuC+79ZzVsuGxpzHYw8 -Twpuqro9Bj9nnea60qiULbRI3XGvzOiawRPr9Xm5cndP892gYVDPbFA96GtjCdkyC07ttr8PS4Er -vZasdcZT2RwKxee9pAQfHw95cIwU6k0sSqEbbuKexggOUdZDhWBlKcbIpki50h+dKqkKu3A91/WH -28j3ZHbpH2v26puR8sktnx4fqOw4huVoVLXzanummLykxw2zJ/bTE3Ncp6hGWzNP8b/pf7/xWLTj -5mdd95UHZBQ8rQ1jh19F7qiBy3iX7lyX/T+zNO9bHHQWOi0vdtg870/kh2GdGd5/X6G81bvOhtQ9 -kuL0mZqIP/N8t82Pz9wrXzqQjZfYibPV7JHoVWIJKLFdR6PojfXleoMTNprHeO6i8IjamzHcs56K -jsEbnfVmCZFmwX6qrKfj4/R1IDn5NVzkJTP//2uoV9CaHVd6VSL04vxGdZXutB2g1AiGvUg+N/iG -WAq4VKQf3ie2zH2sN4BcfDgvRIm/IzSQr4Vyyv2TjZ34m0kJmv5UQYK9jbSlFA7FynyIVBFIgnHx -VOR7cS5Kdeakft4Dd8Ywo0GYlhFSLrTyblc0daeFrm5lRhp6ZBMk6kVQiBOR3VpPMSsw6pIsDgr7 -phr2axgHMLC4IOOoULjih46lU5FYPsYT2vIrWp6i7+SsiKFS/M/ns8xyDxAY5v703A9yYfeVLlyB -yjQDfzIDq15aWcKlJ37kOsSs3OpCbN8/RxXyB34Xif9Yq79QRUbUIokxRxvPOurmUA6rXwBJ1FvX -4uQR+KvyiF1FC4Vz5TnNeKvK6+Xy/p0scrwoXAfHT9OsQgZfNPUn9rKCVcU2aBJvbkOUagOIsxR7 -FdIiaVL6veHdruT3tYmLpftou/udW0LdXVXD+9dJOFyrd7UDoPD/qeEY1Zo9NcTO0SpDHNpYvJz2 -RgjXvGdsxwnYyniMs7yQJ9g53ObPHkyeqJrSWssoTn0Ku7nR3U+qQtEKhXkZ/Os4z1UQSTSW/USU -WvkBYY0z6DaWUSpB0vcUtU2Mdy2+Nklco1g1vaJUE4TZz1wUpPOneMNMbM0ADM6jTRcLCKYuEhdp -5VxpWm4wTIW1QyMPBo0+I2v0fxrnzyeHLXEpvlBkKa7yyNIhnBLa9wOFGoTmLKMnzsMvk12elmyj -yWlwYOsNrluWSn7JDsMZ/OEFGVDAb0LxBgQh4K7s7IRQIthvxM9Vn4iEVh3a+1J61/yNB1Ef6mir -DWstc+yartj8gwWl38Y3Hwg+1MprWVwcI6jx7AaNHseAAge7eacWWqFAXshffFu/XnqjLgz1aZX9 -GJMe6reAxp7EsKDWj/ai554z3HFyccmhnaIY39LV2GSr3A4DuN3C18phfPWvm6GIX6s0GZM/9wq4 -kFZmLhZp1VPxJMaqhACJLfBVdHBpLKDKqZcNpW4pdiRPvjdlScd1No3yWluAffewhYNnEl8f2zvD -+Ra9Fenvb+kK5eg0HWUf/39qygccWQWKRd/3BIzAUOBa2zIbm7G8ZY/rN7pUIQ08mPMwen45u4Je -uKnFN5938gw11c5lErbKGlPs756BJYFl2c2fE2xqXfuYrF2JxGdIqD4a5KCI6AxJejLWVktWf/I4 -FwBd+eJr5tucBs7xd/bhBfSAG2kv7LGfxf57+taACVcTHlXox9SuJ4n9UVHrm+zO80ZN2dj4T3Ud -iDHyqrtD19N9k6VOvkt24zubJDez4PqV8bSd2ZVnVO5EcyrXnM3VJrH3RdLN7CN3hYDN7UtNIM8R -ZgkGgGOXhCoM9XOvHXNevKzATA18aEuR+p8sy9lIutjALrJFa08MWcQxG32KfmKDBP+LJ8WkO8/L -PhPGf69Thizo597w0HnHsy9GTM4fRXaQYMFqHVgc9tBWMVKaNlGtzCwo32VttHVq52c920iPE/d7 -rCxRrnDze54Fhgy5Sk4yUS/4QLaqDF+MV4vHSjzpPb/+09ZeqtiE4kbEAMKMXa43wfDzWX96zOKX -LQI7RrLHe0RUR5i0vlxay9Bc020xCq4tCcLGuu158U5f8Hr5ozIVby5IQiYvu2OsgecbBf9g4+fW -xcx28mXps5ol9YDohHoO4QLIo1K6ZlodNgs+zJ8aIpfo/s5HL60jJJY656YjD1ernHK4fO7pmNY8 -8BpoRp0BtI7CuNvoa+5pWsPefblpUHfzrr5GEPrq4RRm9jq0xdotPe7PjKsuNWHTj6/zOyRA2OM1 -2AFZLQLYNB3NCyDpssoE0AJ23N0qkS1aX9hekU7ziMawlTF8X4nqU2lSnSMeQixk51eviwnPEPDH -S4ui/YZvFOgUcB4lumhHwo6aelWukv2ZBc7t8GeLjHrKUCW6yA54pX52zEOMcIBxdxuwUwoFtd3w -bJjCjWdGNyuYS3C4dBuhoE0jezymUsL2pErZRdmdXHY++JATuQYZTobVr4FVVihykTn6/QbAQUyB -w2S176hj+BjDTPY7H75dM8t+OsJWXW5nTryfnV/MW4832p/NgQQk8Lj8viRjV4eQWIYQyMogIT+4 -qjqcdkSi7QPnwHMv79LaZkZttCb/b1Whuc38q3SdaUSMs9bQyrV9nIOVvjbuZAXNghDlBKhT34XB -5zf4ZZyS9hdD6Gs1l/Wyeg6YAnXftLFwNohWZTOZ/zScZ47Ln5BN1q3OUxbqoaPalt8k5vaS7l1H -raX0V4tpR0r6jCKRaZ1SOkiqjCiu2F7bI9koQKYXzRiOcl2Ftkzv7mWTnGbYrHRCwT/LD/49HFgu -zWX3niEOzROPLN1EBKLPhXFbM666CTkB/Rg2MUZYmC8p8uCT7i3sH16pGKjVDViHbmCQqYHAZXir -3XWk1lQE7wYwKxUr+lBExa5fsMzut2M7ortLjHov+HomrmlQ0ZISDW9phxv/K2jML746hlik1QaM -xj3YyXPndogkIVMpuoCVKolVKmwgw9QMZPkjsyfLBkMR04Ftfka2F7HM4pGhAsZhkUR2IWG9dIY+ -rm3a2Y72SFhpfcguwS2GbeuDBWo6gWwg8dIR5wHdqqtYXdVXuEDL3Q7X7vYR1OTPDnkvDs3sFKuU -c+jb0L31eblx6//wdF9dkx0ahae7mCBfrd2XINN9hgNPMMSabSsFjerSpqQWYyAGUkgKeKhb0+Ln -vh+ImbCGtl/NV+GwMM3hMvcUMc7pnj2mrEPICnMuw55vcG9sL5JHAvQS6D2uw6BQUUhTfaOeOqxf -vM4BGAGcO21Un4xK4Vou5078uP2qVDjXzxFLNeAs7+9zHyXy6lb6C+DfC2j27/XZHWY6dBlLW+V7 -VKVu1QD6sAib+MOOJXl8Q4e2n4+7xprnJ3L5WJL4C8/J/pPNKig5o9lqbOUVIeJ1AYRZ6Xv3mQoe -GSNpgPcyvdhPVNIs2NUFuRIQO0coUBXQIufHPo2F+h+1L2tGcxYIS2pwCqRk3GaNNal1IRRYh32y -aF7tOpJ78tp2pjykmUIEB5sx8+qlmPpkgc6qVVINNdPYSuOUHxH+OiuCpywnKEdcfBkitoms/15O -jDqgPhqAU0XTK4hL1ku9XGTy4CRJ33grkzfAfW9LibM8By0q4fOeMO1TmcakIXPppM2jfPIaFqpL -QKyzv74Zp6mQ0kv6fDpLhAyzTOoaTf9A0tEZIIuZUFmPMdYXGMLN00sK4SvIpLObza+ETYtr6+5N -za8AxB6fpHbyMv6EVpgVHnVVpo48BUc0U93+Hw+EIji27EFX+ZhW5XN+H0h3fskWRJnzIIwrUpTB -fii7tF4m7iXfG2yHUyjcVuVgVvXUlEm/4t7WPXCMbDBVmXDvWMf8fhFn13BzuLmQARUv0fOxNYf0 -e1ALuNU1LvE820vbRS6+D7IwE1WFIk1O+UQCCsTi13VP6yjGnAxojziSVxLH3onTyfJKdV4WaCaE -9SVUKmjHIVrumOJIBabqZAxssrrRQChjlzIj6XxFILGGVg074tPhg/H4Jrhp6GTywVTWDBwQMUny -GdsmYZWtEUTq0ccLoe9LZ/XrVpEjafdNUFobn24dc6drpSXWMDglELj8vGuUPE2OH08KQy8UEBML -N7IClYfhfcHxg9rW5ukyNzjlKlQRI+YWbbutpnrdrr6ooTUqSlMQVKwRBWtnvF7cJQFtguX395j4 -1crSstye9imQZ/lecbsx3azOpA5Rm5AO1YCVCwKI9Xb2UHLIBWM9Tjkf45KuXE+jEsFyjFEGW4+X -TFIxgTEttqi5mmMln7QEGtRGHhGhx259odE0dABuW7l20tnfGB3fSKenbbo2LkALj58C3j4LdW7z -pujSKVlDV3XB73gpOJfxgFCgaxjXuL9oljq/xIKyC8rafr3DypngA/YjHT30eJ/Dnk5J+HWvGzyM -kp7rEjyr8z6oQJW1+qjrmaBqwUC2tU++O11quioRUZjbqa2ZpH2iUMeihTRVZpeKLY+38KllDhl3 -U//ykvXBF5jKbhGB7gbaK8nbZdvTeekSWFP8XR+MbWlpIHoKaOb9LYFuolx0ovOUzi3L2GNmaEst -WpJpW0WCo65iEz0Llgf8o6ud5AuVKJGrpAU/ZbvzrWIk8WQm6S6CUZmDCvdLUPB0v7xpPwz+ptr+ -80WK6ed2BlKmtzF84H7Dt2UG//KWVlNyShU3QRhRXzsXFk5YvHQdKMGT4rqOJCap+R93ey7q/BfW -kNd2Uy6iol3bw+WwO/ByKFYLk/93ulEAAkKPJgT8OxsP2ftbpWOA0PKiFMkwXaRaKENnRffuAG90 -X/E5/LsBmRee+ZJzedkmbRyL6feXN88Fo2tpxw6W7ml6wDuOuurBdPrKAUdGUttbxCr+tTqcDsNR -+rDmH8jvlwpSO1Iyk4n/iggYrUGujq/UWNYFZ+xMDKUAvdu7QaVYNPjP0tiVA77OyUqO7UWojOnM -mMP6NfeJHfwwHHYkRegFRIYE8xxB90QzfnOGh097JBMHiojG1oTgA4eGtoYdo1Z5w7f+sLSD6zNy -fX+HSmToDxfIpAcnRf+MUxrYCVt8ZzS6FDbTc6tw1tKECc1ZnFXe/HRUQRuTGr5o2nFqYIRaRULY -pzCrUiOjjL8Yd0JX+yVdpYk7g+dejQ6at1sjBj0kHSiBQbfOfxWzSAm9WuyFfX4jTzt6qyW2f/ZH -fB9Tk9s5rzSA1Fx7cYXDY86Er6rii3Gp3/XcCs2edaE8XMUTi439pzsNpBPfd534KDuNVe2b5+vD -UWvgK9PsGCjkYzyUWFHhFS1pMpTUWcyeRvZUakRkRu5F9fmDbjKboegl3B452InTQlQTLqqbkrzk -Z3FrVU74olhPeyYHJRsWtEXUTO5rAxyZ3NduBP7w7rfeW6ffpUJM2SSExZWisraZRh8O97MdKL0j -LwrBJinq2WKgqrPZ+g+TtJ64lKcahjohKRE6yy8UCxpaEPrNEUN965Gh0lC/Kr4Tv3csuqhFgtnQ -ODxAzT8bC93+P4lY8smrPLdakIEbnD4eWIe8Y/3jZlKlCqNemVXZrDim1Yymv2Gi7PirbD1WNuG8 -WJ2ebXYljMjwhkBay0/oBwTNfWUOF3T4MoupXzoH1LWkx1cVNSeuQVmIms45WukqKI4Le48rNevS -0kP8QQQPRJjFFUKG81lnW1LrNI2Mtm9GC4DEfnUhOBRdsM7CHd+FQju1Ww6RzqlLEfj6AIDgu8AX -Ycy0A2ah3SgzTUSYp/cPDyCfj5VfPtgpRkU9RKq6IOdtgh4TxXubZEoUERF03vy7x2HAai3SWxrU -w7X0Dgkz1wW1hDDcnk1DYU/bP08JUC3TrohHYOS+TMYFMhVruzgaUmE/jvOLarZk+0IO3ug7vOzu -65t7JLi13Nofk48zonCgb/BddGbK3AJJQYfYbHZz5HGpslyvCA4LgLSQ6T9wlr3h5+NVz3PuD/w7 -dPs9dBmOWxza2LQkpWH806HMSodk+A7OzhkN4qXjASIpyI1XdXPMNjD1lXubXjiLB1DPb2FuZ7r5 -FpXu7rCNzmtM+QFddkPwhKmVcQrw9I1xyvkK4fBenQlum3N7uB9jcmQVFQvlkkY7qFxPSiaJ7Q5Z -8dEX4ct4s6aYrQoqTtoZmEHZlZyBhb8Pj9v16CpKwsOa9a4eU2kpa4um9ciFJNV/U66tMLD/TsAG -KpRf6rL7I/w4lPmYYwNqRCYecDMt6jIBVGpAVekjMnobXJaezK4IRcxLgPiNwYtfDgQzvXaSTAQA -JgSq/4KNmHKef0xQzSTzPmRw6bYS507vgqbzlbbcwSGNqgme1iB84ddfi54niku/KOZ4RDmfOGVj -V/76LRligLbG4fQJHdZvQJYvEYx/6IWlgxV4iBWroPSHFW92D079lh8ZS1gOP3h6mkZ5BrHvTuxg -7meQyIHov2QXT2hT9JSOSzxvQPfGRlSUhkPDizMOVVCzJTfaee81gKHfjyLlDAxZbsfMhTpSkzhx -1Ti/gikUsb4g1vGRL22puZitXRBxH9bm3XKreEiL4BdNCfgnYensz2bV0cOxogojUdOIW8qGnnH5 -h2afXeJbH16+2sITl9cIqmM4Mo/fZs96RbCrxrTg0NzDFiT9cOKQosSpM2gtVlLmfZ17RLJuTdwn -OCZXWRLiw7pMOkHGIgkbA3zAsrgMDW/Di/HNvaie4g38KJoyhQK58iUrYcvIhQ0ATdUhiYNJq7mY -j2QprFlKGGXLeo4KOSQLqofoHCZFvkwgJfU0MzvjhvLqS3pcVxL7IY3ghB5lCMzh3cByJIK66ptk -68ANOE4C5NHMrGbwrcVvNc7ezUav2uRiZAWT+2ABz1DmJUXZKPFkq2dvNYkPFSK+cZnhFyIGhpsc -KkJ6hr2GjqPp+riNBqvb/60t+sGlbQBNBD5KrLZNKxSEO8COLD6CZyuGOmVA8yMPM7+2B4eskjMW -XZcBfrAgrYxfKjaPBExWgx7K137ARQtIq/8MwN2ZnHH9XWnlrug4SjsAY5KXd6kgQMa7Zd5avw6W -Kcu5j86oVaZv+8Mthaw4DTlMtSqy/ndSD088lFhSWf5nLC3EEmEg+n1KP3g3uAkzEg2ShWbocKqv -V/QgRLciepZG2oT/fuJ/vlC4hUAMpvOfRhjuXGspvG8ssCKfYDd7CY6oe7pb9BJAZPGzufS3R3tJ -OnAvYBVR/g4f2mO6eIimYosDWicnNAMR2Un58m7vB2nBr27AFJM51rO1Idx/b9nAzes+gFDxJZjo -gd6n4vk9vmSRa4csdCYm3MtPif7/527uDcM3N60YjTjgik/t/h7VNHMJ0G8qWvF9Ukzhh3vlSKY1 -ykQDsjBf1LvfPExX57COGOZRI5fSOM/EHDn2e46LE3JHXUh7NFB6d9F+4dpjVJS11iskXSUh0gz+ -TMUg+c0B0M802LuAw4gSIJVHmto23ut2W/iykM0QvWNhfyEQJsvwXNd+921Z72jnKhvNiBQ20UXm -C+qJ3vYiLhfGHjNDXAXVTuYPgV8O+bPsKRHRv5Ews66nFl3Ngkb8WSMSdU0IQoHgrRAV5exMiLr7 -mIS30cxwYuoXY4N8pPCTs778CWhtfFR+yP17R9JaPRk6K/MjVdl/rT4Fld+49pel3sOd/rZGJ/1q -xIxQ0Vy1gNmcTC0fMsN2Bd86rxeRVvKEZORFHoRkVmJedNkPvkmZLPXs+Ajo8P2YVWG+pMOzqRFM -FY46ihIQHN/1NHRZ+Co8LttwDBgwojJ1lZeuPU8IbzKA4oScfa5DZPCd8qHvWU/bKkDkfsqaOwmj -aNR2Fs92rO+3nMyz8WvT/c9DJDVh01y+b+0rzJEotR42KeWepAnXQxdAxj5SitKR+XXEcw0JPUdx -1qqM5pPXFv+/Z28bw7OpjGi7XJDWyhpjsFgxgxqrxnsCAEQbLcAzFNM7KJFZc2WqY/IO6q9l9KIT -VecImCVav0HSRytowQIJTXDlZeLqvKta74rvvjMnsqrOtPJo/XJa3sUBgCHTf/e7Y+pDg44DaTVJ -1HWV0L7o9F65oqmsHC7urU7C0t+5lafWeF5ve5BMqOgNQtkkhdOGkMTrMOvgbNsQdmokWJ1EqdvZ -KRP+ip0mTC6XULnBSEwlg5Om4ltdx2rIYDid6ygsW4i5lyQGwawqBjVIX2/K/zM4+XL5IU4UTjaH -RQC5i8L+bGqLrAyNNNRCdrg4UTLgKFwuI7I7LQz4nuigOW5WP14OXZ0AYt1/FZ2Wyz6F6Hq61hKm -lTwixwlZzz13mf7OK6vxUPef0FhHVU+nJ/Jev+l4T/e9kD6x0BQGUm+diNDFP5vCpk6RYkoJt+US -7DSse7ijS+7Wjfl+qUJkni30G0LDl8xzcvMWktnj560W8ePmN0OF2dWOdxfn5KWCMRLy3iEVsvBo -azmElfoaAENp42whP2GFZKz6yJ0r2SeDX9ELNuGFzKxn3ouNBWzu1LkuN2fOaR+kgpq14nQ4uycf -qFwFcoiYEzjrbCdQqGd2DBIdEGUia0CFLQ0hxmeOv+k30Gg5PVdBD2NACYHwr7aoNMDgey1LGvnX -epGpUtEu1Y0joC3K/lqIOauBgIilJx/Q7Lg6cdGdjMrZcM07xEQ5CZdbihCD3WJ3yWakEU6bGKDl -X5NjUqk/gbJAdYH2Oprm2i+c5/6iK5H50wTlvS7HmI9JqXgBhI8wx9IIK7pwjsLa+6geehnt56UX -mBBJlcIhbQ7c/gxxauH6kTniUf58NRomAGSjjzMQm5xUa7WZKR14y+lce1UOc3uCtFqx7sIPnbmL -HADjbgkBdouNpcymgYLW9ckoFTfPsUo1fW+OeBR9fUbX7lkdpcpC/raVr7GMyzk++D+fdFf18xRN -d65UNc56l6aDXpwyHm0QO37fD41yXKYdOkEAl+pmvpima2BqVprAHk9Thw9TTFaeWiyUNY0OhUSM -fuQDdv/ysCh3+dKRt9ln+xb4YL7LW8QKe3SdpP4f3Ota2mwp3VMlG64DRLDYz/OL2+TZJvMLTCOx -UtcD1TR/fIU6yw9r1izbrHZJ94VMzaC7edk1Tq3IRjvbue/x4synZj8alajltFcJEAZKBr6RQIxR -o9Pfm3jc514XpHxxTtzuI8ZR0iqzrTA6cG8WoIwfnHVyawsHNPc83u39C/i4F+oNo0qrKWPNZclq -ZX7scwCSnQk9fJtuqmqmOYCpvtOO402GSBjtaKGVicNdQiE4npJ/cHpgFQ0R2b2jb0cAGqz8QZUe -8pSAkKWiPzZfp+0IzzXuQkKF7Pw1K6Bv0drJl4WF9fNnVpeFXDjSBXaLaMWFzUP5xGPopBLhSyyd -c4vRnryv+9JbONe5N3rPVCiL7aMMRZEE+jjDiE/BYsi8vImq3IwTrDbVyS9rT+x+MzQNxeKn7jso -kR0Hwf7prCTIGATQ8Kj5PUzO7xC6FnyXGMefHIYKLPHx40DEx9en82iF7H4/m8RjnXnNuKHWJKA7 -LF9NkeNptA5OsVCepCMQZQbyJ6Yi07Rdbvgw6bYFXp+Yi9r1lVX5I840VouvHBc1cX3vCDZ4ayIW -PIUb8vlTxxGmtT7ckQC70si7bbk7Ikl+Tk1C8TMiHpHehRWnTcBx58fcnxZhL28VGECme1sfXLlH -ihbyXuMfpS8OcsT7L/ytIQtexpCYPt7AI40Tw8L3CipqHZTQ0lZECzKtLlVPE0evF3p9i4litb+u -7QL6B1pesndAC7rpy2mtW1TdhfA+kz02hbr4NYHZwEzDVlass5dDH4g/aYBAhH7byBgxcsiKr6X2 -h0XK3ly5nTggD5A/Bd8HOLierkEQdmTpCZGDaHyQZtIy1M739bu/AL+G7mFNkk0kSTBjvhOwawM1 -J2XtN+58Cii5lBzDx+QegDy5fpwjKl2wrhdaUy51kFH3fimmQX84bVDHFEZBftxDsZfCB+PJwUpn -HXdd9+kyXY6KLrFGxSLT5TUPc8Uf9GxVI5rfAUL+DveYnGQH5tHYB2XkvMR0phKcyC6FbM0z6lSe -eG0gFpG3C/Y+z+a0qRSazi5nPDpOzy4fsNV0VZEjWTQZpvB6+SsM4UjcMqkdmYWT9aOvIKsTPo3l -SNcZ9HNHX8WkpMhfFxg3wzIY5rgmIxASmKLrdl76ImoNHWMcoTdYhErnrUR8gDDUaBmI6aCHnmDj -lBc1VVBiac0WQ3vePA5SYCbawrtTvWwHCU5jGIb+0Srds4MmNOnYTsV6FlSNMHiLEQemCZTuJ5Ro -pivo3JKVINSU6htfeKeQlwvFJOtzPQlOgx5KqpIfkJjtV2WDFuYujKbX8h33ZtBagcmnfo0/Ub0J -MXJjFDpn0WAfL3AAC/BfagveYP7Mj4qpPZDqmdTOd3zTzLx8S+TmY157GdXuLvSI+bhTNXLeQo33 -y70+GUCRpZSCIA9rfMOAEZMK+AYkRtOl5lxrm1KkBNNvSAeitNWerD+vNM99+BebKyEQh4t7N6Yf -BbqC5AmejUK3LADYoiV6pX0rZTz08MBcHCMkfG9IK2sAzgs0lg+xiL1m3ZzVXUeXNYXQG4VE8DJY -pGC9p02cu2oCw6LnQSFjRAZpQp/Um0JUG3P/b1LBZgXOj3ljTy6+vnBSky4DqerNbvm1HOhdoQic -jzJrEg/nRnUBoaufkEvtwm8LK7sSJOj0vOebCxGBk9YfoHDXnmiaxeDH50hcudkS3c0mnjSXNGL1 -e8rZAXuRd33qiEHQzK95g5PUn0zcMIP5mxiFDdW/FxrwLIIyEukfhy8LFXScx19Vgaeq5e9uiFfU -xFckpk5pyhhYEZMIjax1itsuqnZchmBut4dYS3S1JNW62AkdejAQMWWRSz383hGBHeWcnT6F5SY4 -JqYIT7XEHJA4cZF9TU500BbovUw0NrmtIFbcwtX3KO/sUF8AA/6oyNfC5SJNsOFg3ca9yUAgG3rF -dozDfhhqgFP0JWr3x29QTSLE0A+UBEOKTjw6hn9UzzouoKUFaNgfZTogKgRh5Ox9boPR1MQ12+ex -sKLwP+ghtzZu2UOiyM34MXLlOQHdxkrnGn7T9B5Ny4tElgE2HfzVSgfYSgApzZDSxCAtz1Xs+lvZ -qL4/pmZRWwYy3tGJHY43agtc5mmI+iTE7At6r2TYmWVBHXmZl16Dw6mXcjRPDP0KnXQu4/ApsgqP -D/B9ptNyaqTxT7fr+LnobNKpo6PWaTbzfe+/bNbfR+XX0Kq71g0rwA5lSEIqr6HoMUu8uVkPJ/7z -RVv5pzhaUmVzt1J+hs0RY/TBwCds7H9c6/SlD752maO8E3+M7vZ/WxwobiWbPxupcG1f5WNyGqe/ -lmIhoYILH3mXi+NhkTXPWQK9uogKOiomJp3ZxiTaSkk1H6mbAmTD6w6j9Od9yQzG1IOwixY77pFN -AuRrD99TKF43ISiygUJJLd6D07IJtCvYOO2wtQKKzN/Deen08SOzuAGab4Numl9LHxNu+slezUhB -Zo6LOd7D74Is9ak3xEcVmzqOOLsG76B6r/pYosrgeCLXUdqFHqXrmRQSsyYwDyoJBQi9HZesLz2j -WIzB28nJpKMsimvR5PMa6h4RS4yfXJo0OuaxT/kuHdIomRLF8Z0UIfswL+jZ8mzXY9OUuY6nAscy -9mVrwCoBSUeJZGCt3+vaCg9S7ibBaVrJiDHW3usA7wtkTsRNzXMej3KHrXhAXddLlqwWhnjWvVRQ -7UsjvqFY0OL/AW8kv3Q/DCTj23wfE3Kl8K99UKeFVTlacIYBmvb2rte9oKRL0EIBu0wYKPQjwLo1 -xQicrNv9skuBlqXf+eCARwEB9s3ZFI74fCAD9wiA8F29NUdEq/33cyIqk2Z5jxcBqqVnZsa+/2Hh -aCa7KAKlq783qoyHt6tjNURLRG2wNIDADpy+2mNmS0EAoOY1yPmdhoR/RoNDh56Mh4bld5lVjknq -FOEiZEoQizBpGA6tOBJN7HnfeuwEX7LygJaVFXPoPgEeY7I4m/7rD1zCxTwmMeYmNt80rHax5khC -hJxxW6OJMZ6iTBSD5JOC1zBu28kMwURSzgBJkI8JszUzbUfhzJVhB6ljJl8nlGV/rV+2rpBkD93S -08ZPy1oO8xow04wLm+sJrI8zHvxgnDh5XebmgKwsWZiFpkD+MPzTVIAywDLoMrv2REFvPF0McUZU -CrIiM4FOAz+c/Cxdax7yda6yqtd6JBGsyDlLePwzzyi5IPe5q+SIou8IJWFaZUesyB1KDEvsqWch -csq4FFwSKsi+WpX0cJd0azekeu4KsUsHutPGsyYKeyWV6rfIHPeovRvcQEmkwytWgbdixjfy0EUe -Ft4hYA0Ai3TR80X9jmU4NbaVuYsfVJIpX2LiVVMqoqYrE51K1Uk0I9lD9zFwW55WmYN1n3vuuSPD -jAKt/ybcnHKRGdPFribygWTGN2aAefKhHA5l2KcDKbeZu4fj3ohsPMEG/mSB2K3jFyBCgQbtluUx -qYsSPMAaE9q1OBulJgXEfHfdbftQV1ASpltlM4Sm9bt2QtONQ661vOnMIKbijjC8MWc0kxo+bbgX -urWi+d4sJRXuP3QUpZNsLnkYIpQLBjLHlCJZV5bkZKG+7Kv3V6feanhcmr8JHueY9JOaGX2DnvAL -qSyzvmQEcB8tPXA+XBO78CogiO7THkUesN0jgMSrGp3CaWJtAOPsirSRyDMhSIsPwpRIOoTLfHYS -N/dbpfzIp/V8yvqb68NglnZpMoh9bPD2ee2h1C6c0JiyBUPCv1VIDupeiq+MWzTdbLJ2VbvqAR7x -+5i2m6zD8ZU7imi75i7eFj/ntO7fsSEAf3t3D2zU2ihcrjr61jQeEG2yugZrCYxDcqLHfb9mj+wF -oUJDh5gO9nUI2G9uqqjJic37HR35Aki2uOOxhxy6rIQi7w8UidR6KrBSh5/mHA7YJgQ6n6MviE7n -DL8yBuWKyDREY/CuYiYSLdcnsbVJDOsUKH0KdEuES20YR4LR+tnoa0K74fnX8dBUevMpytVwalf/ -Y4wnWW2iYs1254r/iRB7PymL/+cVBAWqFGhfz1WkXQeEX/95fyZWOMFDylQtOp4mQ+PvD610rAVn -dkbDkf28lUP7DGwIdFeoNj30ZXVGKmfsQ0ytdsoMCsLGp10H2vmTTxM9+ujiFSpPJgSkQDIgwjzx -0bZXh3FE2o5+pwzlWSjbYYQ5RmVQk2NCtiAetJLgxIzUH0tt66jfKDmTXpw3YPuTuFIDGEPvdN8Y -N6iF5T/FX+Ob2+5jWV19NWJiX7pRy8SpF7awhk+oOAPx32zTFM7q2198VVKgfscF6/19xEwIk/dE -2IazLbc9NwKxDl5bov6REvI6vxvUEsgkSCJptigAaVjKQyzFJriEhdnZUgPtmKXH6XUiglnxEey9 -2E/TVCC9UsirswazKtDpWQB+x2li4n9zc8KyVMecpIgkQWmOSGkaEXrqjgBZvAoOm8ozPTKMAy3s -g1C+nErBf+5yPBub3tXo8N8w9CiUoZhXaPI4r2p5DZ7tPTsvo5Ol85bYok6aVHLJ/0qWh4At7r8o -8ozd1NnQ+mlx9n964KTvRQHHck8K4iTAj8v1EkWzL2dLHsQaYHFOXE28vmNcJ2qVL5JALKP7GIlz -8kF5EpVdxOYC86XQrfnukD+i8ofPHKzCbq7qrBBdiMhF++Y1pZ3OLFTMc45OCU0pkDKf8EnlvKcJ -YWGSL4y+bjT+AivvrbqDUDvWb/efaPpANBDXkqGAFivjOPKoBy0U6GtLNgZemQhy+kol0xMnauvl -Smh0CpHeThy02/EocCW+oa/r934sugvdDFaMhmqoIYAmQVj6+H5puLw3OfDaqw+sJG1qzDgPLFQ5 -BBxdg+96T5RtXljFqCOXDNiWb0R6wsd2JdZvthI+7E4OqK5RTC3WtATKgM0ABZBm5uXP5bvXqFCv -f8dQxifkn4yE4bo8xbVaAHP4sPnbcmCr6xF6Q30tFoeh7A2piLODSw0HePVbUyyXMTekaC3bZitv -TnAYZuKAQ+rM0n5OqL1BqmNawyzrKOl6Os/eoxZG4qpx9g1Y+1dX80sgR6i4fJrM7oXZ5xe9DpnV -RvSR0oRMQ5K7h2+QO2pYSsIb4HZDWNlqfMFuT/KDTPd6yDRobZp/wzc8MOtFc9oXNgcR+7LeyuN9 -XhU3Z6x80vd6EpbBhTxELf8qcdHm/pWkWlP99GlSHoX4sP7ufNqw0ucLS91N0ZqU+ocG39d0gTDs -PBYU9FWnINSe7tPdQUzIEURWFVltEu726L3tdhAhLgC2VkF2kUAXPPm6QcJNoJ64GAYyjcMCSxKk -0zvm52MYaB5/ozKAcn1xS3QRyjv8g0UWz+OEQdedDh+waju+VOpmVfVx1DpYaq+Kp/oErLdmaMo9 -/d+hdV5ADCwFqUqd+m6gfhTVYXuxdjiZqoZooMlRRtzZSAn+2ui67UuyHOBpL3sHwDcrbCS6h+0e -0avJLMifry6Iqkgp7FB3k1xjZYk5oLBlBs0d7KqssQXgA6CcGhqq1KkDxp6Mm51kDANsusF6Zsjm -pPQzFC82bsTi4dmiyzvSCdxxPvhh2Kg7JaO3bBcuzmzK5rJZHCeG/cttwx2eqsa7TfL6feIbCPwu -9K4vByLPZP+7WNLM3hbWH2A4TjKF97C4zN9As/3jDkXsDuJO4oYB0beMQK9mqB1Xhpq0hGeEI8Ty -zV2nEhUNc5iBfb0gNTVbXOY7aAGUz+/ADIs+us/fiTc7HEwjLaFVlEIdj/h5+NFsLAKUsHlZCxvf -9E5+eA7NDhOC+/NRmbkV0l+TdPSLq38QZ0XO154gXrZ4KlLscE4yOiWq1kASTpWS1j0jm2myA6Rw -QVVxGQslLm0QfZ31SNqdLEqoVbRQOgksglzEOYlgLHC2P7LpICnbLjtQkIB28Z56A4B3YP4Sp0vU -fEkITjGjsfaHEBeavosRmqXYzBL5FlcHcImqS+qSZJMV9qnkLFSVkWkvgGUDFulQzxBGvyNDqoLP -7VWulLt4P7SuN4vqNPCph576Q7Wz7r9DRusmAVYatLVZcWvecOj2WOUZXM/g67F582W/MBQjLV72 -ArLO6SOVoT3WGCwM1brM5r7foWxz1dADdFkGrKvfPSf6OHnoHR2KnkEB4/a+CWY5wpaSTn5eOZHl -1/QwzbcrDVsM7jaOocZzPW41qUcJXcczCPL8R2P0wGynXCy+q54PFyRZeF3+icNt60ggouo7hxuB -uRV9bfLKeelTKBtqqubHI4nrq9xwW55oo2jOa7QCmV7gSDuFNJaVf6cVkM3BhldK6F4AVntpntVy -d8hkeuoFQ+mgCi5Q1kKzDM1bm9cbvMlMeH5rxFtf4atpUHAVsmkg9i/zVRlja6rvyacXFp3ZUOGJ -P4epIPiLquuRxzcLYyOv/xkC2SFFGQJ7Ut+8lclR33sR3B+01R9/td1bMtvwSWgkkFzFhrbD5KuS -EfRt+KGkGIR8E9FaoyD6MC2jg8scysZxcCpQzpFmFvdmpWF/E/c0pBEG8RqOrds3w5nyu83UCw3E -OghiL/oujxJ/O1ivuyuyiEWfCneSMDYT9wpdc0qYE7ZT0i//lAk8rBbjQTkBDOYiYbaWajz/EXp6 -HAIULMglCS7TU3OEmT/M3jLpdPBIDnf5vdj6/4U8x/sfyEJCrb0p1h9aADw0eTEGV6LCZUqWSNHG -naWXQ/iSJv8wnTQCdinv8951N+fzqFtjh4XmmwhJ9ZLpzSwE7aRj5aEmUydSDaI5pdp3wQjp+O8y -JqMUBtOduxukT6vXCyishrECqpXCIoZHQh3BToFBjvqefReCoyN2i7ekFQIK6zenRTwJ4rphhMWM -8T9xYJCIl9SQioLTwmKGEH3UJgJz1j6/plVaXj28V5CR1x77aszf5K9Akmh3QwRcffJFRSzFy1f2 -UYYK1450g2qCHN18FG8o3yHybLX9AzMAEFoHi5q6PDl156rIluTRma9u4eMmlmGlr/VjmU8TsHIC -YcDFHshCZtpSQS6OSv1vFNi9MtmBmNmcDnlVAFugqrDR8E6vL83bm2TXKw0/YH/6Mu53mNwZ0Mhc -+RoV/UfCSmzqsRBvUhVzdhO+OjG1RntZgTczB0481LD31ZoMNHNqgH/qlKjtnKiniyI8u+ySqKTK -3Ima9jwpWCRUVVJItrWfVE4UgArxk7uzwIxn/rNybxIErbl9qRilERTuwshFlx0w8g432FP1+5V6 -pfKEncu2y36v78/wzz25R5r01zeiGgzjTCalAjl+XKH8o87eCyt+J12e5blo2971CL/IbRMr6kDE -4vkFjH2gvSx0t0sc9Uy3NG4VfuGW9S0N9ExbiX9phSSFuJjZWFPHavyPJ4Mms+WKnTOOKZFI2aKY -zXiHamft3H+EtiY+8Fna4IuCxm2jg5jTRu0Xh6WnKRETCblgezLs1/1IVlbYudLdkUipEJ7QqtFs -q4+zXSlLXUL8qIpLHl216tdNyuTMlJTvXnitM2HGGOfl9EwKeDPFetWDRbUau6lSoqLXstZD4R24 -5tGj6bYyCB8bY4CNt0cvOZmz9KVJdYxdQfPIGQzsvCXZd2Cj8XU3DfOLpX6rzDrgPwd4ZaCeGnBl -d0cjKH72Pi/74+nEAHD5I3bTFRNeoZT7O5oDYXnoTCntxHaB2ksrV0gbohYzAz3SVcfFDbBYa9U6 -lYbsRX7IwFV6J8tMM66vm9nwi4mA2zR7ccQWpPXrgH8w7AUnk9YNFMhAmxyI2W9OKc9cPUgYr6Vf -IhRH+09gYw1dIZv51VdTKI9SZpmOWO77Y8j1xhyNvr2yVxX4iEczUzyqv8qsYLMCQ3UwUjVF0LX2 -Pirbm4w3yR6bn8iQ9acux3vJ/LzfQQLEApmS7IKVoxvcmlcZNd5phSr5avo3gNGxQo6Hqvbi4NKU -qC45F5TFb/FFoAhCVQt4tqdbxYZ7UCar6EiX9Mkhtk72+SB3nM444Z1OixTiFeiXH4fG5ZKCMP9N -Ra/0jadBmLJeg+DgWddIuvxuy7kbM5E9mVGWtTEYXfKFlJT0SyE53j60jbtnfsX/J3+Xm3F/ACik -WYwkvzmcbMsYzhY2vcArcEuQZMFM/TI2omuc861U9GjF9q0wsDbRHiH2yi7872w7Zy5BeyyLFtdE -e7TBam/x1sliSW1ewoUTlK2LAphPdKzBodF9mGtkTY7/x5gTHm/ac5hXmI8OzbON3kWebokHZgKv -kbxVzY5Efn5lQN7rQZxrMQO0vKyAlx21HGMYSxUowlx2uh9i4o/TsSILB7K4HageICurPCS1HolC -IvbHbkKgzSU2Nnwh8GuyiMxqY7G0kb293xSi9xKmb0QEzTwXOt4Kd2KfHFK1Hsw9o9h9yjbSLS8M -/160VL5Thnfsvc6zOwX7Io7pkqMKiYBwdAZTRL7zXyHNvrFnYlBAzVeoJimFevz5ySkQVMczzRBg -Y012Kb9Di83AqIvarCuVp2rcZAHg51swHIUclK1LO9z4bsj9Z0Z6HNyj4eCEAS+LlpTBrWcn3MHJ -awvoBXpGBXK9I/pvfXrHy4CnWGQ7dpUucH9feZnaRbpFm0Kyug7rxAjrILE9o0t3zYVy8STL13TJ -mU6gj3Oi25j4WwI1Z0ga5jsoFpGsMar/PNBP8c/pu+yP0n/Ve+VwxFBll+Y//50HbN6lJmKq6byK -8/j4KUWaL5JCFccDwwTwzmIsrNFMwyFO0l47KlAWt0U+ZcBkBfkAdA9baZLS2R+N0v90umMN1wu3 -9U72/dz/7loo7jyBihCoCROoJe9K2LnwTZEPDWFeig5Uh/WYBGVpOVpxZJnNEopeZRC1JsBz7uZG -QCTOhcgO01UHPpx/+XunEm+N5vekdkA5YVyJ4uexJMyuBceIooep9OgNqkVqk6c3jxJnoZPzJ9q7 -Y0fCe/qI73skerEw9fOs6EZf17tLZMiCPOeJbMuzOFVRGHY81l65ycY8QOJv6D0DuQf9M8aBaib2 -MjR/QSJwEk10zMGduWfsbJUD19+4WvA5E18aNvK5lcrDX+7TGV79/hE/3ifWRsiq6CDnBX7qwNDb -he/lhA7AxyT1v1HaRp975gKr185OU1TkLzDql6P4zghwYiMFWZ5PwNRPNsPKFjS+xV+Z0ZGaEuoE -hINCGFs1Bp/qjp9WeQrhTg5hur3cf0aMwhbPwdVZd2VXZwLQyz7dUHWIiVGHKH0Dqo5Ongh5sW3R -52ziPCrOg41AMirBNBp0pXkR5p4RcFOyeu9qPsOOOUDbERdr+aeu1x+O0pDVVdDF3CqTKHLGHYdz -iv2dBQ43XS9BEzZaq+/UDIaxr66TcfxsvcrWgK2i6CVuOiNEfWtnwOtXGmNNYTrZikZLmUv7ygkh -u3Y954G7BrRkRIHzhQKj9SclRNNibOhj0CQYS1cl7qP7RB1+JJlo2E8HDzvc38Gv4tEgt7Yj2EWj -9hHz2ZA4bNf7uhvKr3mRGHgj+nmtezRBnmQZVxKbqaDOk6ifN+NPCI6M/RmPWVEl6g48mSMtrVgE -/ESFmWVRKrFo/fK4MD4cqLiPnoisBKoVtRxfCnEiNb2O/Ga8BFcAXIzKQA23K3NaHaFrgvmUPduO -g+3tR5wP3OAdqXB1aBWnSwTiNoP5uns3JqO2sQUsOCS9dRBwu2ewXvuKjm++JbhF5JZOQErGWLxp -K2Zwm4/NSY+nSfApMoyyrluLS0X2JiO6gmR+7PfZs4tw/ZQh4MoUjLEvfm667YPkaCeun249cfL4 -cD1Cr78bwoM20EfOb4Ye43Beq+qgcsvZAHJxgHGlkluRfWeBzuVvdNtqryQQLbERy1lWfZINjeaw -d2jml/4iFK4PqfvTJLFFyUvN2/Tk50ytG2gyICxxd3Rfe3yTkXxEpmixfzbjT9k1QEldOSnIt7YH -K41Ge/3Y9fLaYwYnCDjifIesjXLe9NIef7kVWidxZBGH+wWpDuXikmTJ8woM0CEMEKyTA3OcCwkF -1j+chlCd3RUj02b+F7DmaHFHujNc/BxyAig/LSyXkeI0SrbGc2Bs/8QlWNSmzs2DbCDuIPVHr1EX -366+EjsslBK9Hx7+34WCT0sL4TBfk0VXrilwhMaK8TqoOQ8Y+KVtUeMaHF8AWnJcl9BWCeeNmcDQ -3+lBsBiemRD2Q8WM/SkWiyQtqGI8QbaNqGTKhRC0w47Ci5+9IDLxK3Igd0qyI823Uya0Gs/RE+d4 -Vl4/03od5mlb12VofZc17qpGr9mXynMuwgRXqfpPaJLPOCDVvSU4YercD8szIeWxx1/fXaEYOvDr -8/MyerRTdJMop5tuFSO6AmjxUhotTcFeX+IJV5DyFKKK3Dl6CLsd5S8ACGClHrpvBVMEKNcXRJKJ -JrX8DvxIcDqBsutOeNV21keIQElwT/bFfQl8eF8H3/inxKBfSUfkME/eiVEoRQJFyuunrvJ0wkuo -75fU4wGbl0Z2Dqi9g+idf+nl1bKzV2SsjQBpqbn4LlNaSC05jgenS450h6UJUWTb0SbaAg+LeUSu -BnaWx4hwRYFytVbu5ibqATJduFYih+WPiQMUSCv2BhlsQleExnLFi7NrSkuLVOQNb8TfFQXuezFd -//O/iJKV/7O77C0+90fWhZfFXwG6Ob3lAVDDHbiJwJX/2SPU3Q0M9KLu08g8Moq0tXlv999d2AcR -rju1Ul3s5TocgSPPRhwtoqQgGcV03nWPNM+BKxWiquUtm9pychFH7hIptJO56fzAh1As2XRu+xzG -7UnLuXtW/9G0neDAxqrAahSyLrjKTx+7psjMEvVFMGizwIC/yD15nemjOk/8tB2gTXDSKgynyrBT -rYJ1bbWlzY66TRueFH15J1d/ZSCAHIXlcGf+xM7pO0B8C4vHly0JKUt43ms4xFboS7XewczeQnZV -IjZD6MdCSuV9AZHTxceXTnUfR8hf3cdA4Ry+N6X3z7eSVwjyceVMNWQVqR2OyTa/qDyBhi8aBUxr -zsN5ur1I8V0l0uXI4HoXfV5MqrqXKpo+BypKIPK+o+3o4CbwX+GPOOiCu8zxPUwcJZjiU/ywCswZ -5eMyfPaXwwMEXT28VtpXkfpTRHkcAMWIOYsyAng6X5vSMaDcSZ9A4tjApIHqoWf2IfLYCmjWFV8N -WNp48HagTbycQpXoosZpPwFK+dwftbGnyswrWMuKoCgJCSPNCbJLBtRmWL07hdhwTttozYL5ix28 -rtGKa0kA5E8F5YUeYZClCq0atsNgq9Q8EfXr1606gen+7VOIhUhftOIf1Kj/55fBQfWtTNbTDP21 -vKhWgJ5yk46z+zozLWy+BQvwZ4CsC9ExGXB+6yoq8F40L6vUNfjRC++Ue+9tqFc2MAf2wgwkKrDd -5M1exGbg8PHfvdFFtNq56SvKTKpr1z1xOLH0t03oozEnx3XD9783dLLJsNLZSQ3x6W8Kadk+QXqI -Fgf1Oe9FlA13LiH3j6k9XKexqM/U05XEQJk+k7ZH9K1chowzCmh/jybV+6f2TruK3Mx8EyrImwXw -sxhQ3aBUghIONp7Y5RTg33ns/d7pg3i8meniHegf+d3R+TJmSdYbEW3idBJTWcpS46gEjdY+JA+3 -qTV4gDgdA1tXym21hwZ9WrKHC3cx2XtlJi3hvnwfvso1NimGF+sL5ACLjpFt8n6e2/UBHy7bQmAZ -ZH8OJyziXaBWPnJwK8upj665wEc+/2FiRfNwHJIjPOG5j4J3UJmpxzoSo2ZJRBG/uIx4hC9QljTz -nMdmOpXB8crmySVTMqrY3N2xMXRZYoU49g/vQN0JtVslThP39Uzvn2WVAwLcLJkJvEK3Ro2E+j/s -eSIKwo6OLAOPbnCknJZSU7yZQqM+jWkIJDY1QA1AUlKjoR5f6MNzK+7qVYcNrWz5/IdfBuRK+zvM -ygoY3Eu6X7JEJfHFMOiZI5MKi/XdL1qWMUZPtUoBEAuZwU/PdzEcVQFY/ljjmKRbvBkQJZl+M2rd -f7G++mVCNshEBFJL8x/Zh0eXGJik+QHrwVPz306SgaEUfHMeEzMZNtTc9BYIbKvQJT3xOmi6Zm3T -ExE0IgNfuzonBsmYBw66pAemEgaAOrKth06JuDIF766E+xoEN27JWCqPIe9Fu2GqxFA1e1JWRQrv -D7tqjNUVniQFwnE8UXAahhurV+Jt35lppKU4uMu54bVu9MHzUa7x8L5Cq8czpjtOFACYz4ebLavl -1gl/vaLSJ4JL6pZagBUd0PpGLFEgNVRzR2+GP/bu3dF/QJ/2UiyFzb1XOIPWDG8WnFaQf8B2Ypo9 -z9IV+MuuHhKPlY+VFTa934BlSvpxfoQajyWJ67Y0KEkjDJ2dBtAw5Waw1asVv+6gXQJPlRa2JAlu -hefsl3WmzAtjwcHGVVnM+Lp3KkNB3LZao2Aqw9mGE8RebpBdKsRxqCo+JAYwdm2zUqzOcKhnwsHH -qxKFzhS37aU7GR/WNEDN5R0STyUKdFsbeAY3I0LHL39baJMS3dB1sLd4BJVUVao1/MCoPeF5zwZZ -laySV8pl6kUGR37sSPKAE5+E471afOfNYs+MxnmTC+nzujACmRIBN3dCJaCnDSJoQH5QQ+obs4Ag -2MjmCsvV9YYuCiE16TahXl4m6/n4ZGmi3bPUATBhkFqEeTsWv6JpTF9aBLw3l5HL0AGWieWK01fz -WPllaK3aeG69mJvfkuC2iqhxpqU/GnBxZhbxp07Mz3R6k1SFoTXQq4zQSf6rfcp/W47Cw4Qc4yPa -sJQAVvK20EZFZYVk6Cb9GEAwfB1fzeX0Hyn8lhGbgi7cmCgtVM2B1LCDgFW+6EdHlTvMA+MlbnqX -3Cd2q8CP1uCyNvDROMJ4Uge3KkAPO/BfiA/YVy95W3v4BFETK8ZsIz62gIJvJBK4nxK04Yyt7NWA -DVyNXd0sbQ52FRXez8NlK8g0dNOWgbfxxZyukSFb/8LCNdH6BLGd7jsn8MjQy8KcEBAuNgHpTs++ -/2uFb8Do20XI26G132vcv9RMN6bHjoePy9Gjt6pANjum9Si4jbQR4Jydm3VehrqP9XlSsp5IiK7q -+nbi7WMlEnyVkaw+rbgB76jH/HA7eADXWfWWgvlBiX9iMdPXVJxHHX6KHeH3N2fwuxO0E8qZl+Pu -Gpn+3puIqNG3JDa5O6B/jgBk+b2YJrvOCg7XsdLp3aaRVKwEcq3oGF3TWI1I5o5MOc2qBi0BAwM6 -hNDaZI928qb/Xulef1ZfkrpZCUBjb07WqCtggnVBEnfCqZptAg/sDTc5Q7bsRHavwgVxkPp4YUq5 -FPiuLeWJow9PzoErpAAGElyovhhXUPmZvpNmLwMnXfdsiXdOzBeMfXnLYFpyJtRP3SyFmfY01Xnd -ZdPAlN9wJMWz9pguc3kxCFVJG7a3GLju1EI8U44zx5aDCbIJg9cFc9U8ymECGbtGeNh6fNi/5Dv5 -vA0gbuZeJogvpTGU2xZVcZTllTwkqIvMuzYECOXbFnlzP2j4O6FZVBGl/PBiwK2Zpiu/Z3vsbu5J -WyENou09KKyWURPrifNLNp52ZzekXAc6c3iKM4aJJYvpDgO9XAHTxC7Fa8maEA4ehCZDnx37mS/Y -N27vpB2dK2QykZwuOqGRMmQ3oEPJNP4awQnd18nh7OJyoJpXryChFubEmNP85Cpu62x8s3k5ujoa -rXC/J3R/ZKg2NfJ1+2qaDAhjl2FxdEjX9IPWp8XrPzGsP3H3d1nFtXpwSRrJtfVmm9R7s2dRPYgk -oOv9C5PepFPnqGc9fT7OYbIIXEZtrpjVNvxPDslBxmIw2auShN/BcFNoBSm2DUYEe57/qPef3o/O -F6iLhTXSLkNDrqRH4j/NzP6puGFAEm1AkQKemzPeC4HNTyQsJfHIBEzKzmK6c908bB40AeUlO8Mn -BDOu0tC/t0mv0dMEdDDhGZqWm+YWnUxSXp83wTxlORLmtYkzjU7prl1AYPj1E0PeZ79Ck8OFw/wv -Wst/2IW/Cqh2G5mAsyFiaE/Tqd6TWc+YDrMjmRqu4YSVfKFe0x0VHwRnoXRqmUGU0x8nzVjcsHso -HhV8ZJvvQkUSD22OqzdF7vKUSSwrEBZti6PuuMukPKjqq1n1MSW301X7T3yNQnCQOgkP6ATz1OS8 -kWjWenLkVOLdkuSv6m0zf/2sVOSrhw4jjbZRawSvJ0dPq8Oo8uefFDOfH0lEFEmnh0FLS4Autsfa -fLvGDVYx8cKLDaPPG1D8+Hnx53w2oOrUgP5kGEdxBstyJWEyNokuFdI+Nrd1OQZ/7W9BLpn3XOus -cOBUO9EpOMR+4SmZPhIxiw37nwOL1o+Jc7kO1GxM/f1cfUvQBSN9mDEx2axyyn+rHj211ow78WL6 -lvW5W9iw4JLXf9Jd5LBdUwMLT0xhTeq8sFmg0bReflIvdfxqOkQ9MPwf7ExGg9SsL9aPOe0683me -CbnB9MNjEzAkRxNP4F7zk5jLEUOKbyYzI/IMSWBvRRhOHWSx/ynpGFVTY3696ZYRJROxDkXCJzMq -NMNN3yPctszd0WTWVoP9r8Nui6+I9+67iJTdoC5rxPrIyGlmprIGSrUFVx+1uD+mqwr/AbWE3/Vu -aSj0OUeOaMAIaSz7FKoYbz2d0Vqh6J8JfFQqzxC+Wd0IJqTI+xPtxcDUsJaTVPs8YLeZfY/7sVjX -ZYg1EjOLUtG/Lav7Es7Tw7ummGXBqAGHvtRfnf0KSP/BPr0TirXEhDyWJwYrrJvcIMhKvow3YD2V -1hjUOBHsHA+ekRztZUn6EJjDsO/ejUcynh65+fiOyiJOSV4L4MWPHweuHo4tyJOhIiO2BfaFfMc9 -MYMUhjam7hBeBal9bHihNVW9YJ93yaeMhre3s1ASVEtDzg9gA2oEG3hLb+HLi9qmFZ1bFr8n7CfA -Qkmf4/Oo9h1oGGeSSXlpD31/VCjFcgFv23gGH4cBXs4NBvpm98FIN+zgooxF9XN7cuX7riFprBxH -MliUQlvrhSVHNftHQjPa9nnQD/9mKGtEZ8FZOcZVep0FsVpTJEcokA/KujbtDM63zUsT8es+EULe -y0Cj9LqiB+pVst0ORaScL2mg1R68myukIz9vwphrE64tLSRKQQoz54vVgh2FxBCWjp4SnG1Dsn3o -iRiDTDZ5UYdFGiM1xfNbJTIn6JlN1boZxDVuPk61ZnaPS6Us1LuSdoHUZi44tPvQM34ys/RjHhf+ -oAbQ64uZqqeDxcRMMZlfX1FomMIRmA6rwFCg/3q2itqvL/AkeQ5A2JKuVqsfGemIJ6kI39+zkSHB -hpNkU2m8RckJJZ+iCgQjWOrPucc5fXD4nDoSqNm64HWIeMtYiB1s1I30egR3gRehI6QNnMfIJf/c -3/ojvasyl3PA1tYjAaRoGxsHt6bn2dlacL4VU7H8poHrCkLlpOZn38dqYzbd3f8Oi1bj1gBCqJG/ -bcre7wMNe2xLJ2kLuoo1mv4gkBJnRTRFBiZAJHZ17IFSpplE1fr6pSk7bgo9RGWk5kvyRR1cTnbI -/5EIr6iy27MjfbFO5Boc9dK+MaraYi0h6ms9GbRaUtuuYnmiCoRBY3T2UAXBZsXg0qeFte34ZtGa -2fUNswnwOwTaVg4HlCbUXjPLvgffhCTw2bXlbT2I7IeH7cM/PtvHoeelHy8q4ReMsrnJLHLAmz3t -qdwcInX+BaeQsU5VkfRvn0m+1qnlk7wuIwkd+kaM7f1hmM6HVgOLUk+T6kJUNV+sQ1bcQ8khoiCA -b6ZxAcNZ4L2w/7egFs2rwK6lr/sd9YYCSs4+53tzh2kxCJigrZ+uCtWxAYMkq+dt6WlaXAwznarP -Gga/xJ5aXk2egnEPpyhaMM8VOZqyvxCJkYhXd4VwBuB61jVPlOqPHrDsD85On7Nmusa80Kv7NoY0 -rrrfyB61WCRIgbqOoo6UghLep90srMhTRQ8Pzt42cdFEY5GsmawrtE3I4vHcdDeyl9omUIGvObMr -vSjvGUx/sph31Z7r/ZjNKIibEE1RtSVQ984ti3ySvR0VfrS/VuSsYvMzOjWUR8BOc6YCIX9rsfOd -zlwqzQXNTVKqQU7U8b5ZYa/nZM4qaa3jeAaGNrFtDzwPOr1jEt1xjzbIPIbsseKwyF1E030FRkAh -ij6xKtzs1OEaGtgzpc14DGSB14s5bCs443otz3Da7jM7dbBn6w7e+xvxivm+nHcEZUVHj3KST8De -1VU/oRMhs473N55H1AMhJOX0ZdF8wYgm/NMhOTm4qaZz+fD/+UvqEcLJSBgelARFDw0nLWstCOij -hwybRq5W9Blg7OYh5kSVhs+u6FUMhWLjqWpMKRdiPklD0Xw/cIWaG8lIsQDBvxrB/5GvdygtYPO+ -+c5oRdlENEqNG542tdcMMIaDdlIRzFoZEQB3s025fPyu71NHX4SLi47kQuSVT5Jc8/I5cE6UV88R -fGOpetoZ7SGengrXTiaLGnuCrlKVp7viUy608BCgA6YlR8Yu7MQLtHTMPc/mNY8+QxS+VZnaEbfz -JKi55K5qiHfqK0dasKOtkRuFYDFgepk/yKyz3UN00KZwf598IkHO/OiUXdrzhDHncIjjlOi0fVG2 -aIdIJ9YG71N4fNfom8yRpQZzIsGqfhg0MeCtonqeTvWKu+3a4IKCDdSMw+IrpFOZ0Ze5FnAWGkZ4 -F5bol9/Ed6p+4XylQa21DZ2L1W4fz93zoMNh447pe8H2EtQk6/xy9cruTKvB8bxhSVbi+dXoHpAG -tJz95jlEEPaS8a5+548Ub0j78llOJ0wWXawel6HLAnR5HRfUSjSwc82vzQ7GTlx/maMZggJM5lz9 -TO24pIvSrhz01uFQXZZ6KIo/uZmp/L5v62sHoFO9ed+T8t38363AjFrmfb3fyuSEXJH4gM6dWDyp -mHinEIOc/4avXmy+Bb0/EsnVZLXhNAugwN+bvAuz6vW7UCMg/PhuH2T4XRBEI1CHJ91ShjLfGl4e -fuKFt0hnH5TlUikaM/XyoCZ9/NsfnbdzfFn0dLVHBpcJhZQSAKtOs8dOzwyf7G4hS7GsYS6/fGMU -ztNfNOkGvJ3o0JTkpDtrmfqFJE0Rhny/uTJGTd8MD/tE67dL3vIEXLGWfqs+g1X9g2+uptWmqCpn -iX57GHPNiMvaiBoETCjnya9am/3KW4IcKpiQQSbGi5c/rvcRqmFjEr0Kk4aMLGCfWegeqC5xLxW0 -b7OttiSfNgtgXdSKhekcc2Dp1Pi/cF1ElSqFEWSthEnexj9o3Fckw3/0wwCKq0bjBznfM4hVDAE9 -dWJvgrJTKjmgfUBUA4CJwtr+fNhNWm1C4mMSh/QR/wYrD5H1ryMOuC81b+blQqKobqnJZf8+NKV+ -sSLuOk2nZf3jBYtjGVjGl3gLj75HVeZEtLxm7U7hz8ph+EOwZqWtBL4Om8hXBk+F+1V2gvWfzlbx -Drlb1rUPF+OLSPCN/+2cXZV/2bqpuv/uwaitPGY11nzCkWkhdYVHkSO2adgsWCifm/oQ0Fm5joqx -8tYEP42k7jpgtcsLzNFGl6LcVcYT+z+T7Ke6hOD2FitSZmgYwnxTC+RxmZRFzBzv/AfQeKXepffC -hv72Z+jYphA5ACJM9Eq1KbqjtpwJrIyAuAEYzQBK3jOJp0cq6gSDq08TxXBNr+ADIvBM80aEJ6XC -mZjf+xOLkn1w4Tz42WxijL7aBVBHqsoK+U32DdFjznjnWn2bfkY5FqXtoiCWZnRjQ+tPySa0tQ8h -EhS0U24fJqGmsCJGmpP3Mnzq9LCuMkt5FqLzkHZ1YiJ1xrxiA+3m5SLyzKCYJW6ocCJRksEAdD4P -bR8RoiK1ezdXbdK+iXM51yE7vF8WO1RfePPMASNcQAgT4Ib7uLBr8/vVu4GJZ3/S3bI0xv5WIXVX -+QZr4ZQ3mArL2w+KZMA+vWIv2g+Aw1K2W1f5ojUOTbjKHG07X+e3BhBLYxA2oGrQmqS/FGnjURCw -yjhVpsUHzEthc7p1cgiEl/tbb6mRMGjDxsLyK3jGCMquOjFOktFl1IUTaBWgPvIns26fjzoMRF4V -wKltHkZlow1jzpjFEfqaq8JgVcyWumn+pYc890yPmt1t8NKRjIP0mYu9ls7NCpkncYtjkwmRJRUP -9ho6j8hy1TvDOgFAL+DcR1kq7kTl1rfH/3RFsYInVIPu87KMmcgrterVPSr4E41Y0df68bGvZv1K -vfs2tk0IMpExejrvX7hXNXjQLOnMtiEc90TjZVAo+0A2oV+PvCFVURRO8H8oGslk3T/jZFVrtRe8 -GTssb0dXgNfskmRiYoiBwpdk/HW62RCBRS6zPvXBbO6VV6CQBGgWutOANpKgteG+Q2ugcrjTasb5 -Vx6En2d9kFF08n9kfrWAzi4gF4K4qeeu1rgIOOOgb8fN/fOwKbozSuuN+QKv3E1A3SVQEUrf8Aga -fcW6VaH4GbMgl8jaYgC9rH8Hy+a0VjmTvMAc75X7G7aLH0v4OTH0iw04PO8ui58rR7M/CehobERa -xldqJywLNix3/PFjYmlQ2k/RuHE9y1kumWlDfgDOZTLQ7ndHzy19F0rYHzmt/y/fwX4x5tvdjwXA -KhaS2e0hRAn1zcHJ6vbqjbkppaHVfdCHMX5YeSjsy5hlCeiQorTnP4TmpKulytzOVNX1Yye08ybT -bixqqLuTWR6nCc5tjychVqJEKmrX3cn9YBQWUZY9uO/OKTIwoaTXA3iBBtNFA/plt88m7xYhp7+X -t6vJM8nklIF2MHZfhA1t09tJh/tY4iEvYMcg2/zAjaMAP7S3wPFrYdtX8iKmDywf41iMFheKLAWj -T0iVNZKl4F2+nokM8KmL58cxgRWPBo2UJfZ1mhhLfw4K6nDmE3HWavmuSZXSqZaCbgMU50QkIAEC -Jj3VBphqLkckbsGO34XvVX8fV/1L+juwtyN/Gpqd9imHDP1z1WS4XG3We2FhvOnFEcEnS7OpbA1f -uUWJPeJxAx+ZTsyoLiuSORgUtW+ggD0ujrFFg1dZNSlQrz/XSSxMad+Mzmpo6XqhbKYhXXbwCrU3 -MyEmPx7sTuiyUyobcuk+1TltNHNBACNEWqqNBo/rtkg6sTdJXZ43KOy5vxDOZx4gVKuL2aSNHYLr -JQtaeBWEIg5OQVJpccGcBhHssJITN5MPXL7NWBa+hC3Gf7bK3+1NrXQEfhNUk6W70/EeU0EBK96j -p9IBmCG7KjKixLfCnpqNQ1O/nLW+QGIBBOE6kQyrt1mDK6CECFfG7XSBHyPEw5EnOsIXbnVFdmXj -OD+Mp6jGTHkobvCqFgf3umIPi8g8t8FwWQZ35Yd6U4C90O9bx0V5fbh1Yz74Oy9uIvWhUv+736KN -MjA6NFAhJIvWVKZhHtCpkrRW5l7iltv+ChgC+700dEEoj/nZawqWQ6sjRKBcSOFybtiHdwhMy8Yn -uGwAm9QLOh2DpYE8LC3POTlvWkN8ZSToV9uxR3OYf5ec6vLQB/im2KSKel4mqsW+tf8N8W6awvKY -bVU34+ZL2OscmI5a7MgIbs5igz+qv+abLZWkxsujYuVSunf3ZN3IgB+YkFQS4i3L3l5nP4K8rkCR -poj2MUBMm6QjT/tpVTxyfymAXoEZqXZAeDB2SVM1inhw4TkqVCXjmNulie914jRf+BvCSCcRoh+m -XmaxQzfH6GEaEdzvhe5nfAqbLHVmkIRPgjtVrueZntoWkegNekXf5a2TTXDNoBlu8UUVqFUIp1Mg -KOGFo/+m/c+/nmtoIoD7XM/taLmHuPTcoCbwVxPEmq5rLZIINieHJxoxxWWaCx3gbKt4qqzwzlBl -y2efiovxmnVihy9I+4AAd5+uydpeJIg60++GP0hz7uV/kYJ+rX+4CKQ9io7vd9LiZPTBW7/DC2ga -zWfoKa2FUivFtAPavBamz9g82E1yL3u+7cbXpVaGaYpOuWr3WTEaxU5o+/hbBpRmMAWS306HhcUY -o6DMFJIpucQXZj7S6YCYHEjqU77mkIyWUI/PQQ7cEphnUeBhQf+H0mVSt8CJY80Gxf4spQBcQQ1G -1+MHmZqKyuKv6Icqt0glHkZm8esttSfLS78Gnm7si+RLdSWSo1s7kimkYX4t9s2fvo/d+mzW8GMw -wgLPh0e0FWNfvGVGj6EnEhCi92oNRFcgi9lSMPh0gQy7o9Hv+ntZznnMBEySxsbTV+23vtchI4GE -XHOoxOkxvo281lC9wc0nLpqutdeHYHzC97zOQPOC8LQ29nekeF9R6C/WvfcH4qrMQYfL1L8wLwcW -GhuUHMdqOQ18TrlME3O5tlBkly9AyCjg4AkJLDVWSZu9yyX4jPnqnidc2wD43MKB308VBALolNH6 -a4YYxTVpxet7SinwiHLTQ/uf1s0LBcaoOmveofBvLTT7mueJMmuGJNM5/rgChRV1N0F9JfUNX+1l -G+9uatB7t590sKozvAhGbHvSUcYnkKBCWT7z8lJkbPFi+Uw09cEOCRlkccyx0WA/vGQHpltVjAcd -p3C8eAUxodbuK98BxgxSL/oBMuWZw0w8ZhIc+pz5kw2RxhAmKnk1pdiudsJsG7Z+C9qovdjjY5G7 -gg/FddesQxqAxYT9GuFug8x8B/CzkwP2Vib78agR53WIRomnPz2MFOX4UBZRhczch7inhbNyxKY5 -lyG1QILnxw1oShvg+K1mcxx0I7AqKNDmdddxZEBJOvWlqp3ddD8b55iCqLjdbL/vn7RqZMNHRekR -hkCzoxerFdZoSx6Ww1Lt/ULHnyJCwrZo9rGIU9XbVqRqANID/VRxJX5/M/+GQMSA2AX/wN+oyl1S -mS/JLTyZ36JryJeXbAMYDWuBLZcZFT/DnGoFjJIsZE6l8THvt7i2tlad139SNJ7GUubyUQJl0F0b -wvnOMM/oKN3OwfUy4e2dp6IrqS7ZO0QyOb6EhZFJr25l0nRAmC5CfwnpcywqRSDdNIsftinqgbsq -fBcKacwIhHrm+H5jb7nslAUvepnAiZQuDFV/RX0nLEMlk99w6xdjaDWJVS0izidHb080X8upfWdf -zJP7f2XFdh+ITARJfKYo+WTF0lcNVbq/Let/9dfWHK9LZmqe52+vcvnIHrMu1n2rcW8RuiU9NK5b -w/henyxRSY/tNYtVlTqRzCBKd6CQ8x+PHd4jQXNbCYx7538zcXaxkK1xAmFzfR4uvr66BZ0QhB0F -x/PvJ1HBNE10wZZr3awOxlu2AY7UelvcGL6fDzBDdsgME8TyYNT/8/hTgrItNS1Bsy2FUsvpDxL4 -QMZb/a83lRb4K3gTU1Am1SgLYGC292KkAcPMqC+oZa/DUryflqPkz0umOL5ITsyVS3alyaQWuF2X -kYoG9SuMmN9AZFhB5A/8QwpfcKjn4zAthCImdfmEiXsrCY4NtbYsek7rDEE49X80YfhRNmeBD67m -7ZnK6Sd7rgEr2c7KjzC/paA363v94EQ8ap9KfzC/hbHjMeeWX2mXnUbVk3RDWBTwJEo6jnKj6L76 -rlfZNXylM0YS9TxVUX7MqGh4zkP89tZmjwfitKTXCq7a9PYKFqM6osgJoyQr6QyM3kRDUFALyD7t -7kHEx3kaG5i+uO7zrcd1T6NggxpMKJnLjzOBZc4v5SYb8FvTZ6wDxYLCWAjiEZoctFvzVuKlmOpf -SrokGO1JG856SBG0tpjgYVf/DxqPlezCTP64llp8GDRdIBWqgd5A8mOonhzuBThF3qYCfrlW4bkv -GHbhLkC+9elF+OVAsHhQpFHQud+EmjSe9Rv9ZdcAqGDXxMQQNBu4Q1jGLbRTIAHqnbdMnwRp/BTG -je833D87m21SeZGH9ce+03l1HjZdT/PERwUoWr0GIyDv0Ta5g1fhcfY7LI1BJc1VIEhbbO8FfAIg -IQ3VJRhOaviMIFIOcah0SAfVoI9zjGN4R6jWrCYs1XSdCunkiN6kU4zD0lwqSamkkkUB30pmv1mL -8IPYOKSkAVDxT9Sd1xtgTtPez4/T24FzrgqOFclBJIq/6VJaO14G55dRKLMRngpMSNhn3NXepGWx -JMGbIx1bAYdFVbbpo8j8ROpHUxEin7SUlTU6iv0adzGuK/F5oD8NbrJtHHsEBBSyEsv+2kf5s3Bw -+UgvWBsBKi5RyibGcCmBEE2NApHDdhWxGHWQir0Fq8q9P67Bq0Ch7UugXj660YNq+p57aK5cRrNA -EM5WrSmUAeiwf8hYbl60nkFtjl4cLb7HLGWHteOkSHA9kgWfOCwHu6eHX/0MKUeaP12ll5EJXmY4 -3knTx7ZPjqdPC/7vGT1xs0V/9r48Fi4ukVvMlH3KjOl7xTUwMxe+wjS6PWJI8om7U3RugJEE8V2M -plii9gD8RRO0hsVv7CulCcHoGesaV2DG9LQ6GMj5Smp1KcSO/eoavIkRdlQj9ORaHrWyRTIB5GTU -222vNBm4gdrq3S1Fc+ddKZP+6a+0C8gQQK5GYP7p4avOupThbont3sbNFSgEDUZi602iK/qwHY3n -7s/R76hE0OlVWruqq4f6bK8R6eAx6JMZLw9XPyadgJWCCsPrMDgV/DL3H6t+yB22q+aNAvthmbs8 -hLuoU1q+jlhr8XrBm3MPc6u0m8QoXmAlFSPFhIB0dF+6mG/QAmtJnMgfkL7Htc7BOi87SA6fvx3f -sQarlxSDN1gGYiiBSRtUUw86J9tw/ZyWa0OVGeFAJRRjUNhqYWBXWDtSNsvTbCJevZd09CFkwYz5 -puJ5Wu3Qg/6+TpmdIp4k6hjPelphG/aWXEXMom5zk1ui6YbZX99x9yBmlfOZ0VI1IWueeWRI7euy -iYJ3jp5V/nwYdvdVTDRMlaBdtNPGZayNndkYs+/dWFkcNTAlDMQZg/17UWVCiG0tpEQgIpBBUj5R -+CmYav5P1pdP46hCx6oqsXSk9Rmg1yGdUXigtTvBkb/5quUubc5CV9mnMF61z2gvnudDexzQfmQ2 -NDjGTZ16s0p5p0qVFsObmVxQ29DQbqJMVv7gxouZNP5AERrsPu5VMrkOxrfEA3+du7SV7VDE/RFG -DOBWcMBKksmSXLTz5hML1LUVE0/8nsLdiRXdcfILVJiMQ8mkKHNxrG93k52ArV9AG9rjLzYFu5md -dBkQQATcRzKrTYnDONNK73WypfVE7/WWYpPKPyQTiaypEqj7I6E/8MWkC5lvynq/a0ffpVr2Gfdu -H/sDNSRyIBd5j96/hvkguLmQpN1QMwFK0g5RMwURTDDY2rXH8wvYoMR5GIlFweyFbiM1Mlkq5Ke+ -KIV+mfhyzOBmiWit258TqF3tUBQ9boWb2uyTuByQQQHFZAVVInuRMKUC0X6GqoZiu/kv0p7Kgcjv -tSyLd2xzzIKHlR0Y3b0NlkmGRISUkLUbSNk3Wju2ygWacgTEvbqFrF1C+6LmFxLfy2EIdPl09Xf2 -uLeECwbmk9vHQNVkA/SiiQg5NN7tRDO8MehmeceOGS1TvETI0MMDAuErExMRJEicPC0ch9c6NbV0 -xTZdwU+X4yvFgin0xzIlLBeGzD8qpboeThVe8wf4F8oZQ3GKB8YBUuEjkBK7ngnYCRctNxn/LUPi -fpqB4OJc885N36wOQiRxyHjSncX1IofVpY4kIrCpLnh/WAJP7elpvTgvL30lfiYD7/z7vq/Ar628 -u/bdevnvrbhJSCzM084JYmeAXbEOWnPA247aocRAVFzfcMXlly0p7Vxir+uEh7ysuYS6CJwFslJv -cpQXM4i/E2vtfXcXeUWol0+RBlTLzGApxgqwE7ieXMjzcJFMOXvBEXO3PWGS1cbi/5vCRSuXX4EY -pGngQHRd3gukTtiUMhmmW1B+bJ7cxCbFCPSG3hwF4UNBiN85S4ZnvumHYHfMkINqc9GoRP7hTuA7 -u1WsZA+/SSl3AkYciEWE6qFO1Iydc1ROO/lsuo6ktXznPfPG0hLCZiITf/1OgV75O5mmH3IA/cdw -gFZJYg3W1mqKNIX73/69YHRoFMxjUSUfD2jcHeY+tLSslzazdEXqiz+7UxjLGUir7AtsJ7C+T3Fw -gbE1jyOvm6Rtnd/j7PlkvkfTVcbTylzf9KpiSHg7sASsqvxnta9CLNxMOFNdMyWJgPvYLRz7zaCn -Nu+KA30XE99Wxg/F4jFaK2QymcdS+kgHwBUyI4JEEdMYGVHrCI5LFSkn+arG/3ZyeQrFZzQE5iW7 -wN3x0raIUfUFginZOxeYe96pW2uQOMnbzJtTaHeXTLKwzmyY7qIqHNDyS1wxICwAQWVpWUUhqxbB -dQnFLHpZaNm5qSMJWYRU8/UYcSteOUt5wruTuj8DYmFXp+YE479HzLPcGNEnqzX5MnKyee00lHqY -JiVBMo5BIshoPHnUF8CYZcmt/B/QrQh6ZChuq4KifmCdDaDerHYQBUnw8rXuYQX15EnYLGDCZWip -ZEibv1NFO6ZFdHzclQpMB9PQLhG1Y5FJVSlhXxiwliPDPu4iDEzCXQLkr3f/5IzOdlVKZSBEmiZ6 -dD+l4GenvR7IPDA8eNyUIe0ecupq17RxMCauGSuJvGaUQBRzgwOktPW1JpIIuVvmqdIlVK19yjaA -VMZpLjnzTLb0sseNyCl5CT0mnlz3vWfZxkZYrWDTlxEdom2UtlAhKE4zv6pMXjygO49MYOFc17sQ -RfDfireKpbl14DG2Qnzbq1dOF6FZUQXRbJqzMDYhCrZy9HZDB7kFKeyOaQ02NrKZUSYt0Lq+Xd1C -c8rGMssOWhVwbdeWV1X/i03OYKT22fvFUzxUFI2DPqoJtF0arQto0qmNtigTJ9nBVJNy9PJLsD0L -LmvhU8EBn3DeiDh4dNCVwsyHnX5v1vq8q0hZNM9pzWBSvn990enHMJ+LbCpXz/HpmI0Q4jB9Wg2D -eR2N4M1Rj4PDGXCrFKADgn3KI5SjomcZP33mN+jhzi3rMqE3J6GYObe5+vs32S4k0YGC+JKEbku3 -tq+EFF8j9O+6LIvQtknW4ICusWJceHcu0PBw5r67zdDhJlZEOykmI3rZAdLP29NydvGt0dWiURUp -rIp9bHyPxIFeNUQHUSBcPdLwYW1UkXNuTr5jccD/K6wFrs6fErmdgnko7svHKS4fg/k7ulTPMtJP -sWDiHXZhOrLPSzjFQjxt1MBeVwoWf6URpXoS9p51Foki06I9wZ0/UvAjtpPcLPOHXAP2kxQQgK0G -NFbuNdrHUAE/6ieblebXn6yYB2WfM0aZrtt8OG0hoFywpeFwRXhjNu+DQJ02HI5DyDIXe6BztCeH -KqWqMpPPMPJ2bg/DZUHWo9OTFfFBGtpoQrAsfwnEAlpQUEaV293RuftVrUYzSjBoVTU3/d8itmtg -NoksVfWJo7iNAch8GWCTx+NbSpryoULR5mOQHXBz+bcqfC0zwaIV/FMPaApMckESYaf2fwTHqxSR -y9k4VmEKWtHVjij9ihfxwiv5bRHC976zyxcoudf1DGpfADMKrJwxP3FVu59VMHT3KWpsSsKdH+ow -uIMqVHKNtSsdN4+mhmPAo4XLEcgw87QJwI1e2hDmnIjVx1NfF1AfLmpSCWYeMOBnxatpIwQXsACI -K8lpKoHOI+uQgSM0R+IZhZ8gCMcJaLU1kB/ty2EEdl8uLNuNHudH8ECzr3/quPX/mm06wTzpSoG3 -YxuXhQGeP8qS7gD+zcriEINsTQac4KpV2prqjUQF2bq8QX1FgbtmD1OQWbRoNO+6oYa9BVjQeBXw -nmGnJ6rTCOOFaO+NzwbAAs90YgK6XwqIm5y7PtJ7ap8JBG2Y22SzXaEi28IG/WJke733c65TdrGa -HAwORLArGy0YeJDFxzYnw36et6wc1yaLK6flkvng1uSnK8tbg27lfONFC4l9mpf8Q5FU9xYEv0Wn -S0tWjeVqaS0zE4YKkpOcbSXfRCr1/HgKUDTEOpVl0O7+vo6Y+o7PtM2HASFcaHW9S+EodqcRmdUL -fpEAVQtM+eKfz5BtIPU313qdiTha6R8PGTJbYXSZlVf8GLJ2NDM8f8B1HmzJMFxsFyka6c7IGSgC -BRxL9kZVxQuCXDIKgJvxg9/A2Xy8xeti5b5dxDxE4T7tqZACqZo+Ql1G85yHfZLnlSxj8vcSEQeQ -y3UMsyKbA/97/mO+m+wA6OS1jWPJd2Q7Zb662DYTLOHJ+h5jU7bNF2400GbgX5G6f1L6aG6Con2x -1xUrnSzS1yJQ/1BrlpY/aqVFW1c9i9RoiQ4OQZpGVA06uzhfOjXrHJhWv5YMYEMRScLKJW3sEWSO -/jLz+Ywoez87SGzwOivKE1B5w1mQ6aNCeA7rvZa+UVGHjkFS19KjO+WT0Ow7TW+C56mWTkcTbMK6 -pk9UVqyY1+vz0zqAYaOvpci46bPlFzDGFDqUPQ9SyAbYfAE2Wbod6fVDXKuDRKkfle3pSuf6dihS -hzvNFpRiPp1QriBRBg0o/0ZSPO/JaPCMK3k4Bg5xGYIKN8RNVL3vx1zEOI94kSQm7c2vqwR0RhVG -BlFta4/xu3rt0FKaLD1OCGIqTYsFjsR8Z+8m7dpITgHiI3UpJ6Q7jcmqJhaKY3xIlBfL9JbwLhz0 -Uo8sVAZK7zQdxpqgSMrdRMiClmzeBI8AEsWdIwJapm4pbLTBeS64u/nEg2KbNkjggRDPojKqj0ON -KFQTX2hbibULL+qq280mCq7/X5Ywkewz5qTR+YlhXaHMQjoZKMPDdNexhqAg81He0ydOql4T71lZ -7I+kOqif1mE7I3gLy4/Q4DeeLhpCX2kEyK/O+7hO4oWCTfxIsyE7bjPBd/cQN/fyKBdk7uPA49rT -/p0DBlGUg4nwFIup+9qT9IoZlWyuEF9xBt6E90niWhlFRfXzmv22Y6jvVTp/QaohUMYa90Ukl03E -qTZ+7syq2kIMqFoHPainFAN3AO5+6p/VEWT9dXQHUkK3r5++5GOWphXvyf4O9Dr2fC8VfzG3mww/ -1ySkcjOZItY5sGwrTFBKlyxPMbjg+QeTpWvoPiRwDVRowodh+5/hsK/eI+DjAvngQ9RTXeD9R/di -wSOQgnXaYPJnbPGDw+PcsGEHJ8lxe7y2glWu64XdumMKxdanDhe95kQiE+3gywpEdnTD3JMMJfZX -/JWUj1QTdmro/8AthgHoLnv+mPxt8fQQuACXQUZ4k1/EOYJWo9Zk+pQcG3diaFw2w4FOMdk4Gt2U -X4wFqD/ZUbGzBEBJEW9aGkuVpnVrwb0gaNk0vmIRT4SaL7vYenkLHOPJRLE0n+OzS+rdwSo6MRBm -eKQzeJdPefyOUL9efYKdiBM6be9ChKGTB1YMCnUZa0sNBYq7cSWQBCwmMvqECrc0jeM1HwMJVTio -dDsuxe8RGJOsXuaKfVXIPSUJnRA99uBzeVU8m2VXEYELq7O2s1M3r4hdtBFN0wHVNdhuYC6DLN46 -qVhRsqOHFsLQuttL5WOcfCTLekB8Z2R0lGyABNt7DC4Cctz3t6BKUHRlReEgcjPkD1oOMR+wnHIX -l8fDJ1xboAWRYxFwI/LJS1mCAQf3mYRU2HPIdunhRcxmXhOfHx8slxe5a/uttVPAFE9MGhZcjTFg -7a/ZYf/4RS4GaDqg0aYdSnPzvMrUh7gHNTFALJK4nvhXv+YGWHh5NM3Pb/zHaYLre9/OsqJsZfLd -eSf0lRKYiHHSCDRjAxblCCYS5IF6pU6oS0mL8uY6TC/pOugcFxNapoTLWikZXj0w7eXf67SJKyq9 -ZWuj3qypGhpqrOZpkJIHocjOH+2bIyUmaElnA1CdRHhz5/LVR72ufHdbz0w6sghpb7T2zJVoRABq -4TEAFnROzdBIWOytQvOVDXfSHfqNMAbLcHuTpMGd/AKKL4OhbKZgw5oSn54Ehsl7oOrV+MM9KM7J -lu9cvZmFayzlfzY2CeGV5wZ6zzstgcs49r2Xe1Ah0oKOVP5FRZpIwc0XOz0YIpT/EJdjWhxUlQ1f -tLwPs9bwkOaRk6A7gDI3nSM0VCPDviEuLl8DgK31Rt2/Xcc3BwWWNuWDM6htYF8YtbbqyffYUhN2 -Xamjhl/6u9voqCC5mOOxOxUNcQanotP5cxaw3WO1iYQnLd9/HfBBnZ6whVS4iIf8qQlewJoQn+Z9 -ZGpRWAVXxmvziO4GvOXRGe+/0yDmb7+l9tkIFFBHYCbSiEAeNAhbFMJgnd0hvthzL3uDjV6KOal1 -pfT0ED26F15WfhEoNsZq7FTbLQNRje2sFn1RB10kqLZk/2PFYealgxV/fKQt4TX2vw0KxSuwdpAg -vxremIhES+JdsNXl62gtgMcOgQ44fSkDyo6NC/3gbcxyTLOgDldn4Bv0/46+JYSPm1qncxPGEthg -3niHjWdJpAkdUE3cFrz95Wc8gDM69AmadK60QV3Lp1gSMhIKtusLhYNfgpFr/gsD2ULa+IBg2QvZ -HpxjdTYbkr9mnPji9jJpSlboPvhU7J7XWnCZswMbf2hKl0CdMsz3C6P3nd7vY/k4OzIavDUKYctv -VG0rk3uotgM1+wXm4DugcovNv+4m1WVTdgLF9FRrovWA7KzvMyKtrHCPNJpqETMie9UyNCFVZdc+ -dFU0PgvWSzTBNDVsrAiWi/LtPe/9rMJbD7g5bXH+r8raq0PUI0LaNG8sezzbOmfYEI2rsQdsnk+j -4j/h0dcXBFp1SxVrFRZGh7K4scP1T5eundRRxWcvVKqNlJjzRrJN//N4ermAEs30/cSX972UYOfn -7YrE5kTqzpKCUc3o+70yLHqsccjuZbk19mTug9IYK1HNSCf607wRYM7bYBHiBBkxB5r2z23Bb6lb -8O0iXuSAh42oenqrYG8zVsiCdQxCH0WYf21p3Hbu4byxXGMih740iDUyGdog/M0mCp+lZqq69/dl -DoxQ7H8+zc6hVTVJhliUh+wl5t8TiKrmLlUeeGrRDtK1BnYxKJAiJSk5UURyGdv3T7RCo4Bgf0RQ -eKelLpRZzx/3WsTwvEmBehJoWY+Uus4Ap5Lmk2pffErK5RHy69yNtSsxtVHDOnNS1/7Vfz9+3u6o -tVASEf50LZiIoefRKeFzGUqWbfdN7Fgj/vzOmYbakBKSIwiYO4a9uS1SVYPeqNf9SyeUpY90EL0U -HcF/Xss62yxCwwc4IcO40RoywgnIJFdVSauNm5gU0rYQGPhIQWJ+uaAz1e6F6xQ+xbDtxAGxIKZS -vyEHA0ZRla7PxqvY1ExLxix0aT0eZ7m9nXOSPAjw9YA+Zt1dksu70z+mDLU5sIhssuejzNGNvp6h -v1WTsJ4Clb44bWZLjt7GXVQA0ew/4ds88bU0RZnx4hha0pRxp9/Ov0ipV3LONMcrLxxQt32wdoWB -yempmyQPXXsO36gC/TKLFiwlPElA8l7Z95WeDCAh1mgxwId/zeNjDlL2SlVodfGOZx4ZBuHS9KxE -L5QwJoNksfaGu0U7FJShqVCmm6IHtfk+THVvAl11yBKMNDG8Pzq1HVvYqw0E5o9Zqxwsxpklx52V -BvlFJg3krAT3ITRe5MuqxK6+Om/3d+jwUrrpX6+yNhNW6s6g7pXhSAk8MKsPTQ36U5AH0NFu6qlU -Rpl2QsR/YPrK/dxbGxEA+DdEBfZno0ulDDV3UhbzidwHsN4NofSQF9fMA0V9LsGbWJtpXj+aKxIM -5bFjem59stHE3PZDaqJoTztMxYXcu7Y8Kb7HFFIruKoiNoezvCvgHSjt5Q4vobt1hqJaIWU2w7me -+TgbxbXvoGb0sRv0UmBoULVbWN+K6dkqxoBOeDt/HL4cvmdNlVYO/s9rfjTy1+K+uS8gJPSOYtoU -aS77llRIu7BFKecaRW3+6tBPR9RFdsZxAg0G2BpnVzXnMtVLCh/ugvWdyJk1SEB3INdQTsssS9dw -GvZ6ssL4YLnncEcsfVjkZm8foS6pS90/r1CCEl0Mg3F/lP0fOHZmMuz1XT8ht7xpTuOwy+RE0ZVZ -Q9C28DFc2Hm4vavAgfaIYNTdW/l9SN75t+iutTUhYM4tFkjBf3KMy9RFJwO1GqxWFoMCpxb5+hxk -SwRJ+lubvLkeURXQRi4d5XDSmmGjpAVVUQvaybto87EyszF012MPH4nrIV+kLDowOM2LsI1+CZhH -XZneOqVfnRLgBy+U5UzN7Rpf6E7t+OqJKhQnuS2zfsS/0qWcntCwKmAf8oPGMYmljBacdHVfeoKE -TmPrQmVHjJXzpwNGbIDU9BJpj7ZJ+MBEH3nxMKjiBHBo9GcE3WpbeIu6BaVyHY+q12mUDe6kKDxF -ErZILL4DbnVdFAV+nTbE/B7L0ZILycB4bMwQNXetG/oYXe5UWe/rW/nu20Wp4r9LTly4jKrl7NuC -NqVGA/TdQ8qDuhs66UA3EuDi1D5Fm5ouAzEopkGOhZTBP3rDAKZjjGdyCiFA5I1+pjGPHULoh/HS -kBwvOpSwoQt0iIyf/7jmGwGpKwnoiCzXITCSRRqwFgGyVnObQObLwtxxa/61RuO8D+IhR9IB4rgi -gBCkljy8fudbhs07vPRNxIAUsl7m8s/W9yjLTCmmCbtfoRdlCRnQS7yyXMB49nAwhH9Zjmlp//47 -GCn46FDG74YPZk0Yrb4JgBuEgo1hix8voGcxgRkuDYfllrIJRCOOy+HCk5C+iV8D+JsrnyJoYRdO -IcuA/0JnZAVfXwfL1yaNc4rqAQ+yT+G6XQUGDNHqB8yG2J9zgQa+PLGHYHQTF0eFUHPuF6SVPKEh -TzSeIcUlw1FMPkkwelKhYTXC/gIKZdff/Ys9U7/5RNnFhjHyLud7qsjVCDIQ6QZLt3BhNzMqomXb -WzuWjpA/Dk1n0HSK7eXf/hlau1DmvsPNRzqEwZ2hxu2TIpmN6mReGeAyyBOLeBIU2IGvE2WA3LVo -dmRe6ice+E+X+B2DC7p3eOoyVLV8U05d5IMUQKhuGDGS/T06S2CR8v3c6HFCXFBwOxL0y59GwmOR -U4B6jsPShHlOB55Jeq8RgryFzR+aPuAetdL9zBOGyaW5X4CDuxFzj8To4ba6fsqNvy5M5t49mLE5 -7dzBWGDqbzNH7LAQ9XiH+VZgYUE8EuJXFbLpkAvWFzvDos5LbAoI5uJxN2IWWpDG6zqWKsOaDFdd -TO7lW9bCxCbSPOwcF3UYZBalcUJK7VJ/h1dMZV4x9iW0iBqUh/vJiOsdYoSgKxhbxZDbaj2q/QvS -eNXv5DoOIkGHVwxY15WcNjmeq7Yag5L2nDxDMKsyhvegKythMKCRS154ouDt1gS2Bv92ODqd5XVw -YVK3JT2ax7NQfLZda1eIuXbvcIUrYfEJwkEpY/LASynSzfFP5JECMuiID/uYvVtybza25nfdQmWr -d1EALEqgUABSTkIXP6l+WvxP+RqGdLKjm0pUhkM89mLfGEed+8fKeuFcDN9VRhypMWTug4wO+e2n -CpZHb6DGZ+UxtBg80TNA1mt7tK0mHmza58g9cMNE5u8vddum6qSsBStW9ZoRLNIyCmYSia8ZXXWC -5fzGvB0VVhZZI3um9yEdhCeoIDV9OXKbn9/llwUD+ukZZZ/aXVo/yiPSMpfFmdJXnB8OQFz8d7x3 -nNHq4HgFdTqCnQaiIjn5NLs0e1Qs9AEJN2+jy7bN3puF75cd8Ko8xhdJXh4KTRhMHkoRwL8GiLGE -aVKHJ7wE2l8ufWkDvyW8ciYE9shrIgMe+oPvvIWU8hQ40nyvlQc8O5fT9Qnw+6QbxwZ5LBmj1uhI -ERIeJaRQJks27N39C6NJEDj4UW5igd1397wYfrb1RgRWjgDuTGdbV1p9fht5qb8dAjjA/KYpQsjx -8Gy7B7PXCkpMd2Ny5XW2+0aLm94o9thpFaZFQrWBfdR0BDyUjIFgkuIx1cIuJfNg2TPoYBcRVTty -pZPUAUiMA5zm1DQBLz1d+Mz3oMo/LluDQEd9MCW59ZAunjH+Gw1Tl9Bix6HMu/h3/MTZ4zZD7RfG -2v7P62HFuoHbkrp9tZjrvi/xiwh3Lp5v2uF6LxYz3/3vdJYjaiQaFz0Hq3Tqwl5bmNopODlXgz2Y -5swP7Onnbz/IYdW6yj3gTVF6fAoBKtULxIy1v/uhMgx4hzT94RSkoJGF3QnuIMUPnk+CxI18fsPe -krWtQdbOdZ803njKUZC+ngauA7n9zZx1KnwcFxZ4wP7Zz9GQGQZ4HEcjzWTbuf1VRQfARjXhTnV7 -2Wfy5pyCOEks3nugyPVVbkuRYudI3ar6s86cDVstt6sXwh9AGuQ7Ph/oQmgKwv97wyH59/pA36St -AXFiJAQJcuMXKqZvVOShPyItL7oZueMbCk011+z3PsPdMibfhHxzzpaOfz32BeXiQn2p8M+iiIrb -Emp2FAMo0fpkgOddyqtHWPKpaor9tvzWOvRyTdQuYUctIsIfuZ6GKPI/Wlc7tdXl1+3xd84EjiNR -tLCktMg+rlm7/yqItUl+LqNIZ0GY7Ak8zGdzODh99Vas20yX/o17YD7FZ4WEJI70aQpcc0j9Q2l2 -bSPX/RgiVFrezXK3Q5NSljheUJhCQZl07hWXWv19tU4QrvVAckinonl1Z5jbmNRBfJf6XEx3zODd -DQNoljJ3UWVWDyxNcGod1zGZqX8d+AW2gbMcaYYOT73J8MlT8KIcX2ar85GHe+EYGNL65gGNgWLV -ef9cV7UZ6jlTR8SgkklDPnWW/wfyHb92RzInb8wBTqtbQ1yxWC8lxw9bvwN6uHT/704pBrV6AX/9 -0DgIlGgnL7eS1rHo4zHD4CK3Bdg6bG4RkC6kBNFU1mbOiEc65GpQiIEVLrbcokNzJ7MngI1gs1Kx -U20UGlj+vdQ6PG1881FR68uhV4z8xmNuCKrVHilODVlVv3vjDNJfjybX5RcEjlxMssNj4zyi2tz+ -6316TAqXa8JCc8YEKD3Hk8OZHsb6V6LQB6YIKgQRhi3i2tyZmtC0zEY5M39yQwjcXqeAJXYqJYlm -gC+qE/0F9OFEN1nS1G+BNTSfmrIu0SMdb4MVJxZBWaX1e2gjC0XvrPK8PWmV017a2E2WTVW2HziL -lVyI87MrSaVHCyF+/FiwQepP/qfe2UTp3LhHA9JPTdlTWsAOazAlh9oszFf+nLWKvDA4G1lmiRVB -fYStpI8ExM2GCw1Vpwa4HTRUEjWlyexc/Rfd8f+QcEpg2p8q7LxM96X2/b39UTh6uh7IwY4RjkO8 -wapc6mVTTqP5J/WVGHEce6BZ0AkhlGVVMjBj43Xw7BZe213At+Grv1kt1m42CCXL8rZVQx6dfmru -HKXVz87EgKhV3HXxPqud7Gztdhq7LpkGCIPIaM2bNtKxxl3cV4riXNlDymamfjYR+Q75mByFvxIB -x0kJ4krRfoc/paaoKrvWhCzZ+rM2vUKI+AGR+1L09KzMc6RVT8IOQdaIwCI/0V4hZBDfL2fLBVIk -KT4YqYvKKEI6iPz8oUBcRnyHE32+5IHcUmUUj/S4EWQg7yyeVTBsUu+uiWF4/allcMo4L1jH1mTB -f76VIMoQlxCW0+6phr9M3brl3crANnoN0FtUP8inCWeBHuCjfNjuzzs6o23JbliPTzZe1nCGw53+ -dKPLq9JUr/lMGi5GYDrKhbHFiZNJ4uIjVax/xiJ9shBpUs71AG1sDi4vZP+ZI9vnDGBVguPTjFgm -lmNfMnmNxovoDgjyB8kC9+UxhTIt9u/ZJmhJaYuM7c36GctcVoYbjj/Ffn3O+/6v/lQHXB52vqcp -soyd5IjoO+Af8dk0uzpbqZHmuOju3hsB+0qYRzFgXLccAUQrMAaWPria3uuULb49CbXfk99LjXz+ -4qJN493UF4wqrkKN0d7Obg1DCq3jDk7b9NamhtrYZHUw5x+603Q/LB4WR5kkzZUdvEIDunmUDujG -WIafOJMyK0+nQZX/Mc403zAuyFM22CTxAHyOyZlI5wLaXddN1gwR2tDbLvb6wF774M98SFk5pKFD -Z/LdO9DDJTW2XfbnaIyviNjdYBR2R4G2hzfEw2lvJwow3SjhmJk6uRLWJcmwRmvqkBb4hYjOL/Rg -BO8efVctsSHprydvqGgJxSvFFKmRb5SDgeXQk5sKJZ2Ozf9XCBrWuW3kcuj74SrEhT+RE2ePXn4b -+MGziPi4j2WMHjlqBVCfWdORTA8FSiqDx11VdOvv2PQCxJJ+pd/TQEwCHeLDHhBOK2MukW6v4Tqp -wxZudEh8bFnVSHN9p9NadSJLqo59CxojPQ+HIs3OMFNIgptqvK/WW7g739OBzQoHPjVX6p75Tsp6 -LfVbdZCVLtHupkQ2UPc0FU4OeLvOtvZzOmm4h/ao/snMsEp9NAc6UTQVGanz8wNGQo3eRds+09TX -DJT5U/wffgaK/r/ccyLP7NSnpCsvoO7iLgTt2pLNc69bN/QDZbc4cJTY8frxvqcDmTApxvOEheX8 -O7l/jbxecKPl1/SYyCytdCwP39+RQwuFxQUGGCZjfV4SQiq8rQc31Ctn2Vzv5QGMwCDlSjJJZVME -mywj+Y6u22VVcR/E7PupyPmr1f/30UOVif5t0CFBSWEYzM+e7LwHkc/gX7hYfEW2hJCtI4VlKHi+ -FIuHAdqsYqZeGuVUf3tdlmcollpDEZwQyGhs2FOtGKOojrSNp11IhLG+Njm5J2jV0/QN1UJFy8qm -+h/95pYo91haKTeVP6fZT3QyuebbVs+oSo1oy/KOhFKSXJ5BbFYQ+M31CVJt+wfCQIv4sh3o1NUx -9csNWMTkyTFF/NphhPHQlwd6m0BeCnEV4WmuQoK+7+OqDGdk8vDuSOnZtHhGXW6dAZiyS2QojPtn -MMoHjroibFjizpEQgt1lThOwZ+sVFbYF6z10qAKhmCw3stDAvIFjLmotowppQ3YB1pebW2qPp9YP -wWB0Pw6iitK5HpP325Gghijdu0nTSXrGjM4WtOy4ikNYY8mChUHDUMPwQW5a2aDiER7UQh7wMp69 -o/a6WBrG4To95YT6OGXrRdHp/ROiiWTom2y9ybVzxwUywCX3mZkQeUYDeYSGB0FUuWsLAGsRfJ1M -RNlQdzNT+er8U1G2o5eCBp/Yn7nzhDkD0qKugqExN1z6VEgWBt6BZEpGHnZgBhl0DTjSrKdIFol6 -aFuDgVrmbYCYY4FNm7+1cXWOzbTRhFYVi46UFPFzOQi9mysSE94xvOpBwzQySgyKFMHaF5SqktQV -PZMJTMapnwufuYjKa7w3ZqsT5NERVvChW6bfQw+50yi8yT2VwlCfbZOzuVoptXT2cBPLh0D4Kh7o -01CFzhfgytU+zb/aT7cYkApeuQalQEbK2VTqHquqMGcJO8hDSIB2Zr6J3lW9EGaGZO+4W6dNHMac -SOK+RUJg15CHNNaehUg+bSeDQLDQgIxQWPgUCx8Hrd8KI/GcOcry6MSvuAcNHokF+nt/rMeS2awZ -0SBG2jwLu/tgX+JigzH/AjvzeiAWOqZm/1RfQIqGAdPtnZhTSRgIqM33q0YOOEwU/y7rIFC84BUz -RK2Ic+D12uWoTm6dC3fUrQSO9ZZaWkk6fcrSlN7jAgrlOxHAIsiPwGRDp4k23w0tYTal5DN0tr07 -m0fXaVdywBPaAtsYmb1CtrDpQhiH5LCJUcZkF0fNjVfS/l//LhSBawZfU7SmC/G9U3FV9r1EcXDJ -6Pn2fXega/4sqSTLYm7NXRL/REHvBoaFh9ZoKEKBdFOU33oUWX9nHjgft1+wUmyKDwvCgc0Md7r+ -cibkgOUe0XUaEdJCnUDFYj9FV3tSI9KEvrawSIhzKYyUPIfy/HgmYUT83nCzAUgl52Q6LoIqSbVE -JzM94iLGzQfgtyLpGGZd3qkEhTE+tRNJXA7v28UzVw0TOP7K6aBRcfyBpxiSFje9vvUw9NYU1LLq -Wp+MFlQn5QhAcj9Czf5M75rRRFbPaMjTr4vKgGZSYb8C8LHioD6YWUqnCleSFrE3vA+8Jbd8s1x4 -EHGXJN/a6e5SozawKcQTffLDweRVV11NQCsRmQFsgb/vor+HtOPQ3BQxy87ODz+lSi5/vKI5gHgz -Mu2YL3//5BKpORf+SrIN03GFJxIzZuxRtsyB37MwooS3601NsRE6ZpnVEm/m2Y1TfTcsyZHym22G -8mAAiOI+3mpkM/5s6Y9JrWdckcYSelzR7QDBPKD24j5XssK6m+6WxnrtLohGNZk7MWllYT5cKrAa -ryeIsZeE9sudtjTc5TmMXoqVZEbajcatV91CngwPQF7PljqA2cT0kEQS8e4N2ywSw5hIQ9hdvp9O -mUor3XwNFIzkF3+Tg96BNBjY5xhKICBIBzqTtpsFvirGYhDUJmaHfooji1pwiLpT6IYR1lVMoi3y -VwVqx9hxPM20gM+OfHvZKVkYewBVwu03rUmHQT1xN6CBTZa39L9XzoPcaaNwwt5c/VoKc5b6s70e -MZKx1FIr9t/m2Ultf7DmbzbHjN7hetprqrRdEtXtTd6NbhOek3vXXpJX1hXTLcVE7yHqd/MCirt0 -rdEOkZXIYuOEmqehmi91xeKoe4bo9sFOitQRRPWabJAiT2JLaUjXd6BQzPpqq007wSW+wL4luOXg -wG1BfSALugQETplL8r3Oj4xXX9RNf8gPopX/MFjum5BnBntJph7SJYF9rNlzZUrK3+eB5Fq6w0Vd -wKhmZnax9+WB1XsAlThHh7EPkK9q3++ZBDf13PzPcE/TFu6pCcIdwPCoSrr1+zK54ht5h7kX8R6A -6OnnDjl5cLYMdPOW+mbuSdU8d+ipj+ocBFTT314Gw3ShDS/dLCW2geL98JZclZd9vipEjuA/do/c -IGjhwbiEF33iV1KymEDzS77ENn1pF0nDYMuPT38KcGMjhmHBpemHubz3870aPCL/jHM6JiXx7moT -eWpssn+QiiK1DiVb7/ObnuS1r60eJuWNbF02TNKLTvA6efHK/HWaym8HHkJGwTxGwQN1YzRsUKyL -L6V5txKNQsjj7hvPm5/0W8lHJpIyTTQpaLXfOuKudaCWmQXsPMYV6Fu0ND5bUbowePQgOVDttSKW -BV5rnaULg23hWOXVnzrwh3JMlHG/TEeWeeuQZQHqaAKRdKPizzUIGjk7/Tvta/7diAhB9n14wmD0 -tNB4vSqypLXJPMmeMVlDag80XmH9/zg8DcoTh2kehn+3Z8BGUrYLX8Qih+WVOxegL6WF4lvmYN4z -21kmEoLImqviFjhQBj+F9JtmrUmSY6p+njtKOzpCYRWghas6YzN4qQh9cxeg+t5/9YNiEALweEUz -cvYgFAN7wEaM5dsixyNL+P6/30ak2Msw3l5Tx0UZTIzMoWzBL2gSowBVWPGr6B/uAWYTDX9xTfa3 -XN8V0tVsikL3jUy9TdkHEgPQsJ0ykcwe+ZtLUpvIRTybwbiGJVUc0mvNCHanrT5Mk/gQ+7EjOL0F -4OG0IoJnulxabu6d0Ny4Xsh8uU0IjiApclcVW7xSHT5vE5wifFpGkT9rAlhnuSdH+xKl6cdYtdG7 -bOdJrx/Tzd2UTPOUWppVNP+eNsTXYhXJsciDCedEv0RZhLUyteX8aZpbwWWTtxSm6nm6dZ53DiXR -aaF3wJOaQFXZwbLZNpDnIoMgytsbCW4DZDlsbJvE3v/iyRLMU7lxiKyskuQ2Jgh0p4sRKDdbk+G/ -Ql+pFdbrHQVbUwfgYSbwiXEh7BBhAwyVranKQcZ/UKRhOwn3oVajcMZ56EqxofryZmR+TjDgs0h3 -du8TyjNTVNVMPwsofni/eSblIbhXmv0VYk//dKsu2D13pT/rtmnrU/BWCGTi1DqiltjKV1VTK2eM -y2QbJZv0Ze/N5f1rz8zLs1QAYkh1pms6kUBZvq63yGqGo7c0PT2Ub+ukx0af/vSFOKbyMuVXkyld -2gITwO92vbArhHGq2eI6kZ89UOww5cxK/oiV5YV9lVWgWrEPPf4CT+2Ju0F8driVErn+cbbWMaDI -8jWiUkwsQUTu8l4vCkZ4PpVxLcFr1xfdl3/C6xRRdun+pHacOjpwWDgbR9R9mvsWTD5o1ezHYZxg -aDTQEK7pL/eqKDayitw1uA0chJybYKuq4mOd9uQcq3wcBg4Zv9re/tA38pvV/XjIwzg+XarUU6Zs -hmtRMFNywWaEubVx8DhSvpPJRZSCzwMwSGqmPOG9ApWGU2eJWVDLSBhOR8qqBqtQsWc9+RPukyq1 -qycQN9gwIHRG+nVFD9H3DFg3Nu5KQVTB5XYRRPTrEsEF5ysM2gndcio0hY6bogAoz7Wq6Bp+Plnp -Nw3KOdYZ8AYP/a59x6MoP0w7+HWAt8oHwOfQupkSozXiSx37PrqIfc+Xq+401cpP4tN9YQNNaM3q -Kdboeo5ZM3VEF8c+pk2jnuw25Sf2l3xfq8M6kO7YQI0D422mAgjnBUCGC8MBNeI1MLhKNKPE2tO7 -3Gbk33B4qwA5+r86BT/aXXXy/PU2Hl3u1mXxvMV65gSqdNPMP0vrGyLFovhIN4P/easjlJOIosbE -OLPb6Y1crSNsNLrpeWoPlqqpDggfTo653495DiVEjU+XUUkbsFD4F6D3BFRbwjGm0cAtLg1O+f65 -hAcGsQWqVRnq16dnu/Ylng+U8j/P9CePgJSf/rSXIiHDY9g5dFadXs47Zz/dM0MsaxIpCbxZgF44 -ww4qSVdQWzPN0CRyEMheXF5pBKDKXRLTYrk1vQgP8KEC1cAVjXR3YKJlAXMQt0aDmY00s1iTGB/6 -vJG9U0MC8JCgHDG0qrSsddWFwE5oiKONaThwQa+YngrpT1+2uvxEsFjXycER2LKgGHZke6QhvW3P -+cJFFAgWIce/dhK7nF3KmpFVhGNGXu8YOqsUL7+9YRtzI139GyXRer0V65V9RWFm9v+DXeFUFpQl -MrxTq33o0xi5JlajNoldHknAiWAdYQcMCHanpsA4NAY6xdg7QlHyfmKAN9Rp4OXG6o7I1njgqCah -iWZtQT+cMkKabeGsvtIT9rCA/mJLPJ5uI+KoHQjvzdVSiwtn2KS0daXOyPZjTtXEMhkuXcYHBnDk -fSpv2JhmSq0CfA9XVAuyDV6nd9kM2rkXFVKs6m+I0KA2DicrjJ3qqv8zIfcZWQTFIRzxEEJeDe2x -AY4r0GGA9jtj/n6N4Y049ynXDo7l1ZIxK1plYrbv6FiX7gQknoT2qYdK2BNedYpdeQQPN4nlF50F -8bZsWSL4HoCn9gqPSz0StljaBzDz39B8Lt+uE/FHKm57UDFF6p4y3z8FRmvStFWvnUq9rBj8eGaF -v0n7j4RZvaHFe1rzdWDLImmVXDqx1O5rZvxhlqNnN1gv+fvIeKZZDy7pLN9bMrvaXv6J0dsvjdX0 -f7A7Gczuf+UCcxvjiE29n0r36h7i0LU8Zst8GaM/gtNYwQTqVLQNIDidv4Arj3FL+yf76Fk8gliF -xa9vudZjxaW8xtWzWFlBTVwGmGcPz0Z+8y5Sm+UcgWUNnPmJuuBuBJgBZoTZd+rkRD2+9cRlSMDG -Br/N1ebre86uBEqCb+t+drI/lleqoC9onhuAezTLpqWwUl1XGJ4BlOXa2Isa/kLgFXCq/7rTEL+u -J9w4AQQSwPd9j8eGNAvxTpDgfTdGBtWdq7YJlWZ5JuK6EEzlUHJY0mXCTgGyLiCS+dOTw9ndJmkF -iZlO6V9sKXi49LJmASEit1aqqQ7EYzt08ZW5tIhdxqoV+Jpln1qhZif7R9oDbuLf4Rm9hKgEuvja -wD9Rt2rlzORF7uA0bx6lN/EPh4Zm50ycJ7NfeDJj+6QLAJkS3dWUT0j8wpDJDo56pU4InQCOnzBE -arWo0YRJ9ypeVYnnanRjZOrfPQ/dI9aB1ZWpLhU/3CJBwLCBZPEZU72TGGVmFMCwujIydplJONuW -XHsbxgIjb+zNXzQN/zNJXMrYqqBJin3m5qtNpvLHMwXmMs9ENYAZwdJQsPv1LuPmey6MMHHriYnd -FjHmSL59QZAH8Gp7FpX4bjDjuzisNJYuN1WLo0k14X6ww6I8rqJZAVQbtqlS1laipjaSZCkyUYlN -hIaQU8mif+ZFvxrZ1qRrIvYuSVmYJ3YTgN1/9DHV/uDCtFct0s2GJsa5csVY2ZLnK2Yj2R+gDDCK -tS5yNtqad2kG86rxZpbqhQgQE6vFPpkMv5yB34/JArjK1QByg5Z6inNc6+Ot7nWAPOs/EN1Q8pHS -ovaPSxtaA1CV1ukFmOE8zWpBVaTeeRSkV/TtU5FjxjHwTjwZaqOzWAfPyiJD6knxGLikaPkgs1Uo -bT8QMfvzGmTh6AhO1EMnc2sDDRSh2Ts7htxQbC8nX95wP/91j3NSltK4oE0xZfzd/0qMQPMzqf/T -d50VjpoBKB/y/cmZeOTSZ4kjyZXEsuaiPJzkiX1IBBA9QjBNAftZAR0jEaJEI/JGSndkmKNo+wO9 -jn/t2EYnIXe0qVfSC/ojx9iJKq8N8uBKc5yWiB3urWB0uq6di7HGQLheQMDP0U1OcX6C7FeD/jnf -v290qG6MRFklGeKZrIAqUjgfqVeS8+8WnOgbwin9V+9Qm11wpCYGKh0VWAgah8QhmnSaFMYO4Qzq -55vYFPff7UNAahaPa5JvFwbCmg44jIyrHMzQoJacbx6Gkk5mVVrUsL57f8mzY468AfKZeLdUR8km -7H25FpEYHgx7SKYnekvXvpuw7ZnrZ5vqcFuWF/p211tOQ/pEt/m0u4m6nBSCROmoNuuJHjvFOA6z -/8SywYNMTTR1DqtNKNZoMagH9kTVmTAT6OPoe1HJVGhmlNsGiWUKo3WSlF3fMYkOnIRGUOSJ/i4p -BLfqfH59s3JntJEK2651UI96j3hVZoHeXsRsrp/1Uxl6Pp+4YewcdlBjUVyP+EIGs58oDb6xE8/6 -htXDI/FdzyRxhmAHFnB6IH4bTKqQ9rTR6H7PJdk3eJ4HDyZzYG6cr0Rg6PUZbU4TaZEyaeq2G5Hr -HrPI0twZmFo04ydUCTFGiLPPixFBUQXm7kuegdDPvBEVFy2SZUbiPVwy6kbNOQjpouDLo4iGfTLS -Y5A/vbqnZub1qdQz0er4ppZc6+9Rq1Lyn7hJ2YO6HMACRD8VidgFzMJQH02lFcJ6VbQW6An4wvFz -XwW+b07rFuzJOrQxxvvbykcp9J8l2q5QnAm9GK2pL4uBnFifjxgDE6kVJiYCpHqWo0rk0sioEkE7 -HWRJSR7TWDsiGglmvPjt+gqWSK/hphOLSQhz4Zrutco/y0kLkNBxNvRym7e/Uhugb5ZwGCXdvCfX -wfvY5FbxO2s6n86jfmW1ZP+BaZLScBVSlHHNUmLhdaPHrdF7RePAWjM11eZXrF0sApHH1C5W/pDT -6sAgcyc9n24cyN0hB+gQC//YaWVTXJYKTGn6fojincHqo+Ywkn58d6B4jDsi5hQ2vas/XW2d69wm -O74QO7PiOwhhFUrO1hjrjmcb7xKHhWVE4Dfb9C5Bh3j0yA5uBf6UWkk3ADco10HJRSo4RAorGslT -ij1+X66M0x34UnJgWbHdLBSKLIqukxFmVRw0W8luu1YomZHSX2yJf5Aj3SqucpBq5IUOLEshhXil -M5th1FPbX46m4SsY5dSpiaF16ipUjmAeQGThQ3LyamQcMLcNBhsTY4gpeyIgCKGwxHsMJgeq3t3o -ADtvGSFrViUM79NJAr4gCUIjvfmRmGShAiEDrIzHITpdkigbBCn4ZKPbsBdZVl8HLJzC9aifzqEx -ZjkQ8twM9TdND/EVqaI+ixb59qbqUFzDiykQVwYj+qJWQlDn3oV4JSC4fc2o8uvfDmp/0ZC/ZKd6 -gPnjwf1vKgZfz2MK6uSC+DuomTGlZmlUIPG1gkI9NKGLY3Cy/IMGVJTtNsBkw2Ydko8SRBOgzEgk -yGP3HuwR4yL8R9Abs2tWCNg12ZZHfDnY3e8XuvaJJODJDatZTH362/XRRKWhbzj/6/QHGvvVNbTf -1kBcZXH+QbfC6d9by2rfWLXzs7ShTpSqJ8kkxUEHSHjKDX9eLeiNmfx3I4PMVJuRoQKV9lBNBUsX -mwT8vxtyonw9Qc1l3QJaaqAjQ9vFgLXoHb+eBjumsufoUQqVYFNUK2mOLmSs0HP95L8OwFNjr6pp -K2/ltcP/rCKrqDiFrA+ar6lUQ4bLJtYCs2b/ZyduH98Lu2Rblffb/REf6fXANu1Tsi2YnDEXDqKX -h4Yu9lgVB7v3sLdPWZb+49vgPWE4r9yd9BK90yKr3jgdJBos9OjyuqczSlY4qTMmbRjKxBAGBTCt -POAWtbrCrUWH1/DBhpSK17Xlt88E+tiw3zppWjzrrU+Z2GHCzn6uWP5XDxtGeJQldJEuhX2bR4Yu -LaeoAvNOT2fvnOVA6GO4xeUxrRDGSJmE65k1U28f+piJ0A8aTKmXR+k0LudQ74gAUsdvg8o0ZEdZ -g8P0WW8wAM/nvqAZnpy5KaPGL+fBxqpiU3YvVJswRNxvzsG4lOlvufbTiobHGfivnhqJBwTZymUq -MkBqdyjVchAR67uRyIUfDpVbqJNXDPoeAcIv8SzaB32+9LJTv4cyoEL5cQeqSX2FBu8IZzTvNXLJ -zBxBlFDs+P+VA+wklEq/NdocGxzIDLL+Xbld09MDkw5VQT5O8Ty92ZseYrbi42xre5d7P2tNKX34 -dKYB3XtpZk1WdwR/Sdd9xjDsEOWAQHviuF33how7t1EYSRnqBMdjizF5quZDJ14nsjlc9DhdiJv0 -xqpZw6xjh7k7AzKhgrwdyLisR8Esui4XwIjr1lMS8r/FPalJfb2UqInMjnPYR3rpPneKLrzXgM/m -jjI8HlObF/9g6EdzY6W19u/lh/SMuK6ROYS1Ajsyur4RZC7by5DeAaUhdQ4hQ7DOQnjwYZJnB4/9 -v1YuFj5Tfyv99XdnKtVcubl7cXrnKljhekBi1GHGEVNgJf3ZdrRE9w/fzm2WqyTD5MrRc1z8MFgH -++bxmqDQ+JEo/vrszYxXTatW8vhvi5z7SVnAnHZEddbBpiDo0TqkiT/Ko3L7QH0w1dyDBNnmiNIr -i5u/raFQBA0EeOeQ5TxCG4BG3fjC1hWsQepck+sTI6pMH+ZmnytOzjJ86o4oLgA5qJy9+9ilCjry -jYJzP6t9dpmMzfBdJYCaI/tPw6EPrxGS5sg2STiPv+89JZLLf2ULGsc2aIViYsz7z4XbztJBYTdo -xwFSC56560dmsVlCPerc0dLkAdFWxxgkkzeH1N0nsoLoKhypTbk8UmjiiGNXkKt297lc2Kwr7D0n -CvC5IPxL7OgupZoD8Q3OJiTZKfh0qhOk4C7ih2J5rttJoLd8Wu8+tM7xq9BQ75b+4PIoHI4l16XE -CFrxZy8Thwys8camykmz/Nd9JSrttOpX+9lAEJ2GIlP1dTLf9/RiiyTjk70BLxPzdVxmNK2imE8M -MsKuR/RbUfpKQZtLZfKM+wyu/Ga00gkD83d+JvPralNEwnyPemKJYLwvMMT3i0bI3ku8UryeLS31 -CA3tZcMSJBg64OsEnmVWpGT1CBqu7p7rm1bYC06CjkpWUZQl3FWgcQwGu55B0oX8sRbca57DnNk5 -alcDHsKeDBsLB91iKoreODWrN+b7/ir09uqW4hg87Fz1nH0OR3YbXDz2/s3UKkD6znIvUMbI2zHF -3CqqGqmXwH12YWwnYuqx0Fnz2JH2PtN0eIPx3kSGviMQW8lekReXd+GiBOqyou76/Cg3NO9SRFJM -+HoxznAiNV1XtMQeukb9NgSxBO5oqNjqtDtpuhIne+ercmI0EoHTbL1m3s3ToymtucemRuYIfVmB -C+wxaFmXwO9HWqf7HQ9pLPrkhmK56f1FB4SrP42h/wK+9Q+IvdLn5EjvF4u3W99kNWLVyeNSRE+7 -EaQ7m4+4i5HRSq4cK33G0juSIZvDSSJGy4s+8EyOaCZl/YvBpFduEHSS3wkp3e9g8zwR2+jW3fNr -OCoIgk2X1mn2eU7bgCK5v3U4ewkQorVIt0zivHedPWFCNm1HUZoYV9Nc+M3kxGlSxwxMghq4ePc0 -/NmjfyiPJpicTkQuNJIyJWTTh6V5IbvXduL87PHhYccY0ScKK8w05DLnNRt1kqK1psj48ctX4f7O -PBHZVlgHgiObNWH8i9GlX3bTGm3zMlATDbHagK2YCs/PuStl3jE9VN7adtHwX1D112BOd5By0YuQ -bGih6xYDXc+mlYeFS7/v11RNPjf2VCCW4k9YzLSvWxakbRm3NAE4Oa2m3fAtC0yD9ywaTDQRrTD7 -sn8plWzs12rvKE/eoIDCUme+cJjM0wezAwBduEC1MKxwoBjjFyLbG8uRMYR2+lNeIwdpqbgwkkWo -rWiSClKlWfRZ+xzNso4DdAWN3Xzi/aaogB/yeDtKNhSWCQC5y1kl5XmwWQsf6XR1xZlCYZXEXKfh -sZcmKE8TAGPUovMQqNdicL0iilpnBDc6zQRrAFzW5F7be0uNjnCP3UteutmMPHP/NFZPztzilq6V -oFxrJcLMuGD7wZQrxIY3H2SwIbljFGQQ8LUjaUymqSVu40JJxWT7QOzk+9puve1vB6V60DT/c2EJ -iv2Bi1buC1RXlCRbsylrkmNv/fkVrOyWDNWavqIlP8MEYy3/fhWX0r8mcI+TR5CIrfS6jePLUATY -n+EANbRxMQF8ay9g5W+/BdkIoYASBEIDEWm7Vv8VS3BeFYQsEsID3CqWWpJQzWvCEcgzgJCo1XQD -/rzybD5QF1MmuR9Yb3CrMtWbGB95jiCsWimXvPTgeZ1vgu2iG/tqazrkRRbitb0xdIsIDNVOoWnY -Ys84r6Mf4XVDFm9SrNS+2Rk74wH+8VlDGpXa433Lt1s1gnlksJqIqh7yfl4Uy5NLknOgQofx/RPh -bjjXFDapV+ifCL00gP6Wa0gS+ZE0J26BoFFylRSY1r8t2U8sBtjOJx9527DWz0u4X16B2AqG/NbK -VftnOg+3qyrFsP3ejrvfa/KhvmBGqx4YEuGerNBkgsgx+z5yiFgCrEYUNQfSd7Q5blN1vvnqVLxi -+0JkzCgChN0gud2veQja+06euLj0eBonRy5DAgXctWDpbkSSHt8GyAHHB3eFFHKOOqgvEVrMhAnk -ICdeq8l3KUztihMlV1h2IkNgXaKlVBLWM4MglNcLuLpT+SIfpNDoy4HjCdaK3s1E+lBjQuIgfc3p -uqg52VtVF4D1qLbtqo5b/2AwidpUr0dEKxY5ujQpzaMa57cGPnG8iJbHSndaEgPzsq1TyxT75Eh4 -bMlQRYuFFlPHkBHL5h5IkCd+EaZYG2Oy+zU7wQIPC8FgSIarGM+eUzcDqq+avXtay6FoEapitAnH -HoBKc75eCLb7iuAz0AjzR/sNf0o7+DZfk2PQ2yycFrOoInuAuVaxVbYcJvnhV9fFFcUrBhPwDGo6 -c/6d9M+GxtawPlxGvlTNIWbUxbgf0QLDn0zBfCybgxRC2IlCX3dRyTjC3+dIKlUqkHxsV+9hc0lv -SZLkFiNnP4SyzTvddXh6asd27kcDKMtQzcq20OG/WurwoZ1l4ome/j7KrnOWqs6c0GcyH2agq4Yq -DM9DqMdtU77REjClV4RycoBAqktH7i/wd/9bdPLfyJDjmzmUPL+T+lPyvNnnGHFU95puXSHvzhqn -5yTsbv2tN4jd8bDtZHz6VuRqG8DhHWWES1jGLSlmnGTLlbaItssoobiMkOKS01AlBxO+CDu/S/Qe -lUFSMSgEUcJymndR1ygMcUzQttj937IGDaZ95/up1uujI6VubHvcEBzeL5PtbJyqhcLJXYu2YahJ -Ou8r/Luq08CAT4/kmeqYP3GV/5lvU9dQunmvsCw4uKZQlS0a74IYqy2MxSk02e32EoVJ4ZdxCe/O -H4pu2kk+eQUWj1ur0FA7A8Di+vtTCWGLzNYK3QJ2CS6LYFTkiqKrZad7taZ/TjJyfcGb7wy35SA4 -1tE48edPwQcTZEZBrzaklmgUOjoqJwzdIrYcNHay28iyaNnnwtiajUCDuo2gRO09b4euLhM/Qe1a -aYdiu20W3Vk6yJfkwGXidVp2r9BqFqgJI6GIDMCjpbbqhGu164cLj/alVT+Ren3CBS5z3GVagW13 -pfmb8ZBwUqDjwLjugwpTcaL/XrPL+nJnx7zA7v2LAUeSG9a0Kaq173DyctWy70OvtL1pGoH3KQto -WDgazusvVABFfnZNTaFaSQcHJp62btihSOST0irpsW60Cj3J7+WLXZNWAMSLRom4EIniFdpEMwk1 -5pEvudZ6pWTcPPRz0M/DJyvnSoPYlKXojqn8T2fut/rhbluAG/Q6Jhs+f+9jLHa3i3JCE8tmzAWK -e5Y91maxB9kxGgz4kjmIJjvGV3lZo7G6IJEmqQA8+xPSJgY/E4lUBzRpWqtnxBltpn4iKJdoGvR4 -kLi0WeNvzUQ+GFvO/cuMSYbR/xUvfnQNWBg5TNA+YN8+TmYz5QIu/hFo8YldQfW5BK3KbboNFeIr -mcR5JWlqULi6QkvpcIobNFaxUbrgXRD4aMmSlwBmls2S0tu/90Zw7jw8yfP+KxL8Ncm1HXobkVry -yutF4YTs4UvtsBTvwgfqEjRTrc4MfjWWwW55mL2HRbEO9ZAS6mOdZlsd88wdmwK/OEGb1U4OJYsL -EEWrwCL1ckond22lZ2qWP5rXuHkrtjncx4hlzh4UI1GJCfVPW9kGuaJ+RtBT6hXWKqUr4VDbpO+6 -+kl9POKrYUW9j6K6kiU4H1bzFU/PIFe/F7nt9Lmc247B1P2qbVnPb4xA63ZIzw4O4QKY5xvNqYzU -D5CeVyuweyIKKrLysFrLvIeilLrKH/hGVB2m2nGoXgZhh7GZEINcfxswOMaAi3689H9nZzSSj3ur -C2UTCh6j5QCIfmJZmB3469SZ3LCsxMnqxfYOMjb3ML7KifsmSvd1WEG0prsn5U0SYTJxQh3Hjp7T -g1y0LVUVtAAFQc+bpVL1RYT/3N+d9ms1AkR8K4XNT12bJQZtkRH6LKIk5bSnujVAskgFg6GR6/4S -858oElUDjXcNMGcc1hkDv4KZhwVflw1sRR7t3BeDWPLkT1aWXAm5cGEoQx/rSTFmI4jJ8TKfnQEf -VcYLMdbvg7xYFJuTwUPlgwOzom4kX89erFzAcFYjHTqOeKzncvhGUHc5F516yiS/WkLmEX6fwpSd -NT4LeDbY6/+Pa74dkE7De11ZPM2CWlR/VduN0IRL5jSxJJ9gJfZ1cyPgOGR75ceHWV3OhZHD/WhE -XVzxMOmCWarY+5axYmZnXgx/jlg3wA38+cPOT3pbbYTXXrGgZisO07zlj+pdzCIovkY2cN4lbs4S -EjuWpxfCAkx1BMznrY2CD3mxIDmitiPO7NCp9BD+jfrBUNNHzs7NoatNKXGJCbbRFqa8djhqElyn -loQVgjAajw9drZqjsO04eNNnvAaAPHvNR+63uh1uxRE1pn5EKZG8dppkVUYcpcm0J3WHQFC6kAZk -sal45zZBcyNO27zjLcnkj7zpNNnF6YON8UAn/PXQuPlgo3eeikZ6RBLAwq3sIw7SVUMH8k0mlCJM -PVVOQFiwoly1z4FJC9UZ9NzenJiBYoZGjmr4zhFC1ugDCqIpi8qvsrMcq95vxujHe5pWtDA8bFBY -QpjzT/EoklZwRsf6fkV3WTz6FCMsZkV4eYRKP8Mio6Ul8UGBt9m6Y4OQkrmwuPftpZI29kVqkdfG -OP3cUjhmUjpjgkyUq1zL02c8IBtdNSAIAlmGuJ8bi+oi37VushL33cZeB9dZC8Ge0OSDa/GQpTXP -ZeEqDQxTjRCmDRr3gcgO6LLplhwpxIWfaA4pqsPFlWBopG4tFQ9Wed4VUT44AMK2t9IyRC9zrNlY -a9HbUOKpd6Y5l6fME4w8Jsxs5HD4k07PXU+ZyT1PWGWD36GPvvjc1DXMvnuWf3t2IkCnWEKVRksG -eI1hhjEbWW4OFPeJrC0xOB7TCG6jFI/IEkj+Ooj2ufWcGS5zEFqNzUejwYBwty9HKqp9mL7koee6 -s4zpYkfjinz/HZ4zaHXI0xlt8mrUFLD3ScNlgltrpRVpgnQrYZMcT2qwT0mJNiZphyNDZioBLXeY -W9TFmYxIeaB5qnyJWfFmcnBCegB+EFzGezNbWJ2M1C/WOZGuklZZdL2HA1sxfryKCnrCNqYuxT7J -Fnl2wfTIC9/rSrc+rditrMBJoR8xTbFev/UauoJRY8OO/1rLmHFx11pEEy58BCQv0/W29jdLp0Nj -N1/yq++YK8B0PKdc4OBCBLFGjaWVDKpzctURi5OVn1WGzG8LSZf6p5XCJHsgZ8Jc9VIWGBhc7qPi -DC/OHHhW1cMzN3PO16mbft7vQDlGBvkhJKXjjfMCZWmm/JN9c/qsHop6risiYk7rosf8kwetZSUB -KylKwO1VsuuDZmwp0l97GrcA3I9W3EqBxqgpaBpW97yi9E3fVJhqqJzdg9+qwLdxRTEJi9I4a2sO -p3vMy57tp5wdkWAq5HZabrnYmMcil2n5BhhuXqNTwIxNIAL0MeZRSgldubG994Y3ZoVaoBt9OS3F -glfmWtxcvc2txxd/k2QPDvMiCDPEMZgxhQ8+CFUG/uceH98MhKgijWSmW28OXLhzfKOJBAwQMzkH -EvEkh/cdbRcgyiCxyLG0mhULdAjA5EvXMaZDAkHliNsAXXvf6ZILV9Jl1tL7pu80iOXeJtWdD3vz -Qb58DcXm7zREvw07jfP+5DntSTmdXpV0XptJcrJxw9NsyKqV8TbZy6MmkrkTZbRpVC2AVH1927rY -BbWzspLL1GqqsJhAnmDaShButW2LfTzRTNIL1x+bw7XUxIdgmV7OAAWOU5M0PItUJ5JepsDzRsmR -wmxrFggGbba1RoUX5vAt3PJ9tyuT3Z8+jUUStz3/M73VsKjXRPEDvNmvQdDYY4Gvb3QYaHWxxwER -BfRgFjfNxmYILsx/Mp/gwzMNgxJCdZF11N/6MggnVVAnzGvg86JqjodzW4YGUKSUd0+E/t1a9XUE -vRb0QTwvmBtdh/RpMEFhTWAkSYbJmpkhL1+CQi7v9Y3OnV+cXdtxY4/hrXH0okHGiFXtRB+H/ocp -ek+JAYrwI4Lfb5k6ra3pLDNElSmNe0Qzn2G+rqmGSolmf9U2xyx4Q6AE/nUsLGfzfQRThEPrAl4q -tW8IqGx1AH7q2v6QFzu2ulEVDwZUfdzpc8dFVLx6KVr0yL3bm63hgp1GzwyVzmWA3xOvM6OvI95G -XfhbXRZZHDw5e7RwD0nNnzW0vcerOSZx91h8RA+n0pN4X9esOj3raApIcOl2NkMiKlyAdpPdtWQq -5CEJZ9fJ6VAiMy0WcwsZ0xx632FWDr78u4fR07dR3zd4TRhG32vKmFINHh2o3sKiO+TucuAibHg5 -v1QJNkKGuJ3CB623bUqqbcWnISnEy4IqFUHMkE7/xgyVti06hWTiIxhlCnG0LPHZehsTSkyxcVAk -ehdjcyITms0Ceb9IQwlTGts2coNo7867HmcwnteAZwKTiHUzE/8D72JzMf1drGx9qTnAUq+t2Njp -K1+HX3NxwQqeIGwgILOAcTg82HKwh/2gsYVF+lU6jy+RepwPMXex/i2jZ7bpQpyA6RC1I1Tuq0SF -ZKr2Mel9n22njIOUsBoX47RhTOyF4+zR7JkzfJCtmeATdPHH5rRdM2F0FczZK/uFgp0nzi3syKws -AGm8r9LYvw+E+xCBqZoxetmyppAzE/5IXKb62At3l0CSkx6npfpDETyF3VtbupdT05ZmIyrIC8P3 -qoXhJ69fGCkwB11yn+T4uYMehjnHekLarv+D0JkQXthrO4ZXFW7850+OsrxS/42VpasUFpM8N8oF -mr9SM23soU+sBago50CRVZSKYSiyvIZU4mz7w7tmNT3FQCH0PdF3ZxGh9DoCd0phVVrGawuUiOU4 -NSiMwnd6DW7L3y0ezovkbjvOT4HVYad6AlGF3Ae/Tvy8KtiZibcghg4du6bcxacb87PEtMcZDX2i -BUVQyNNeFlDYMfTHmgpqDbigQRCU8W57S3JSF+7cffusMSFEISM3gFRkw0TifCN0RzZHFHThYW9V -v4hRAAO35kIG7KLGptIZMmS7+Uc+VM9WJ0W2XsAg8U0DGph+H9H7JioplCNHrF8KWSjCLmghyekd -1Q/FhGdemJaAijs8oiSx8I0AH+b4CO1LVX6ufRnNyabOKJNub1f/AYeEoUKGVVtdOLR5ZsV/6WpI -jFq8IjF/AG0VraHx52y0IS6iCRl2NjRBUftvB1R0JQpvYC55euPzTaMrTTlccB6Vx+5phh+00yUe -3QfM5gkG0MXFgiTPf0PqPMVAhMQBwJa+KLe6bS5Rc9PaTN9bLNfmuo+HZfUO/3k0KUC8l9WG4kPl -Sosk+hzND67xj744mMaGRoN2qtbp31uGZ8vf0eCzCzjoZJAgKXJVqSgkgHFmh2xfXVyAfqW6F7jI -Bqur364aUOvs/cjQju9H4uMLZXZwJhYa9ab/9ZkMrvtjyPFpaxyA1XbpoNYms/BY7APs1z+I6+6/ -AtS9gT+qe+LAvLaXTdM7cdtIz5vPZVmO1qNBp/ZIORLonK7BWdRW91MOoSMtmG3YGudbKzEaTEq8 -yQcIRp36QQkwSonxJgV6hlBSbNfa6kUkH0so2aeH/ZY6wmUL0+80xq3ggpHQqUki1XPr7jxLrBWz -QUGajA7PJVujSre3zRxv+5fx306MTtXjcQ63+nqX9y5/m7bPDj4TLBdthpfP49h4kLEqO7n8TLei -423diTupIrI/xr7Mhv+sADM/q1xbm5jE1O61yzVNJMp2RToAR4B1u5CuHStRCQaZERPfhKAa9AuE -l4pVfWcmm6SPyK43KBufK6fcTlnhg7ucIJegUpZTqxVLxVlTywaPDC4b/zr/71HFqnYJH5Qju87b -d/yUnJz0cB0dozWzfzGARHesR1L8IsPqlLvpCfPKWETpWgWOM6bpXl6ezy8wbftnpffRmXo3H2Tg -V3pac+IrnD7UHNVacnra3RCDasdfRjpcZuENTQScQVor80hkmUMvQ62QCDMVuhf+GVVQsvDCTVuG -1wDkVcKLlSrkqSOBHac4DBauTIWZMPIMvJBPCANGQiNLkt/0lo8Fu8zD1EOIoyZF302rU+JL4Zwb -FofVpKV8wcEBaW2B9O/QXsCi/u3zRz6qUWuTellmXHVHkoHgtWLAOz2dvjo60P2gZpQXysFNqIAz -xgemNg+oQqtK9xLP9Uh98XMZ/fKFf5rsRsYulByL9eeJo3UiGuRKw9Av5sRH8T7srzqroVGnH2oZ -2RitKNpBvbCoLTg68FqMXAciJdJ4cInahddrixxi91b610PErGe5BfVDg8MdNqn3aNsYH/xArGXI -9j3KTj0/0bmxU2CHNYdqnySNCOAkKajn3/dBz5lcot5/RVRoFH5f5tmkDlgWxao679GMszXgewhL -zWCLCreCWsdcb666DCPUf17d2QBsGHEFnL7Q864vqPTPN4BduobRuP6xUN8mMoECglVMkPRFu33X -28wuLFGPUpEMKocgXrxwhLQBfX+7vPDpEAjsrmnYuXPofNzVWmhAQdPzelV9/+n0HN5u5u5uEDvY -jFzBivsyrgUJNZYD5cPdpdmovq4NSRyaKx3ZEsALVhvoDYu0DCuDQD0QHE99ymAbQ56I2RFpysG3 -qhXyteZDQy1JndR3uLzwVkO7nyW3wUBYd0e8q5HQ9eooae5qEmPJvYngE6IgGiABkfW4erYUdLXU -iD3Zw/Sdx6Ro4gr93RXE9+3wf77DHkZQrQa2Ocp0BbkcmqlplWBSulRUSs5dlw57LuyOxMVUSbnQ -hoGzA4oVUnc+fKaHRtamm+0ve+z7UXsScrj4t7byGP44DzHPWoNZ15/uKUiefyrtgoFPSvAvrl2B -tFUYiTLnP6krA+h6xlW88xYLPzbLgaNjfvHF44H1jYQM88hbRK5OMmrkqtbTdjV9TZ2dXl4hrIRH -G4wqVfj/Oe1/GaTlv6g20lFy4OD+Y83U+Bwm1MV5uYqeFzMUG7Lts1zCxzYz2/77SHHJS3XRWbnL -mTlImqU/N2lEbt/hlsXCGYyCO2tR2060Dxf9z6toCig/v5cEMeoDvHnU2r7yIj54mvmy6jJ6CBT+ -gB1ZIZqY/DJwAFiJoM6x8CDJqjrPcdJDbbSleGf8zMQUkb6erpwgjtJl3O7fQxAOAvnKdhEsH4Ok -0qDBpuef1+/6x+cwkYSG67ZixynrmyEzl2/J2eD51pIFisSu4OL6yZxYB0KPpGnuolV9uRNQxp0N -baQgeDS9WfFivFUJ665ut2e+JGxXQJMuUOmKN0KYz0W6wAgw9RZ+RIiLtXr0TNDutPg1rPRzTVPZ -u7AQFDhSsu4KHU6eI6DsQwbNNNQlyFxM20MCH1KTHDRVBKBOzY7QmOkjYrKMzZW8eNFutVh5/ERw -73IHutPfIPPJl3RX/x5qqKbIn0askHKNybfN1D7/SAaGfrO/XNmFX7XHUh0pexoIR3FkQByOqetE -xml+Wsx/F/7T7JNn8TURGS2XNzy7Wyav7Qza0la8uFFYkipiE7oMcC45QpvMtH/oBuygRbuQB4HC -rlM08AH5D9viBN0/US9UG6ROnJVzIJmiIBVBC5H/xFzsZ1oc3Dc10wJNY3iok0EOYT4aFpgVFjH9 -y0d79jLfytbs/fNbBsKioJmtRt9JTDC+O0UOpycSvOV1XKtp+i6212BRlPNRe5KGDTTUfFn7MfNV -cKHmBmZnpXMhH1v3cqCur/rW3WsdcFqgSmJ6Xi6k+L7ODYbSraFNLHWTe1RD95sffqpBC/R4mQ3y -0IEd9dwpNiDgMcQpo9qER8Ha1OUD++nv89aWkW8Goq8zCRwmUmSDD3EJ0LFOrwmIYojOMDozFA8T -Sbym5jdYvoIvXHaQV/82OeOUrMY32U9TvOMLIrYy9OQNdoshAjydj1KGq3OmnU3OIB3fUu/dFBkb -BP2O+H+M1z/yEBnUWVImQHkUmgBJ/rI6DS4l+/+inqmtPTnHKAi/PcQ85l+WFp8Aiym0echTWK3A -bgP+RO0IdhSyqs/JMp01+DYS/XFNKmXsS7Khs3QKb6Tih8/mxUmnZ4e1TvrI6jDHLa4Z6UTx7b0Y -gzaKJM/mc7+bXw9gPbzim4po59yEYf1YCvLwtDWvaVsa2iV7ARN6OMiRZZwG4RnxX8CMgpLIzvc0 -g2hyX2Tu/yCO9VrxgpEusTNboEmx2oSdLmceNnDK87MfO23mAUQ7KpA7Rc/HFoH9OM4W+E6UtkJ8 -wcTwg2U/rGlyVmR7x3qs2ubccSOTFCDj8+B3lnwa4aM9Ptoat7hoConyvRj6CTnyC2pjYIYVtFBx -gPEcDD2piRTX9KiAgQiwBQy/TVMluy7fphbo6lcPO4z1oF8+wfTZHgJv3nHwWMNzxMsFqj38X3w7 -qub/9bKI8T7zDq6upwufLdbShTp9liGu7H0zhHWVkNns09DboI4wlcgvugfZSj42+zEtIKIpIP7t -k9JVyQymENiF6DgRP7PhDAWJyOmPEjxwRA7ARMvRO7FTBzq2ytLZEASV5QvQQhYVvk0v6gNejSXe -fuwweUouq9ByWeMVaTdBOuEJLS7MlrXYsCDg1cu9CngoQWf6CUei+FHROoWikJUuluR36FyZEyU4 -i0LsGrsI7zTL9864SS64++4223kporTlCb80rayFZmFzGd2hEswzZeQ/d4CyKUHNUnVZu/PxYdls -QTV3TGdzfr5dW6OefGYYOnf497pjNQubcnqfQ6O7vyi45isB1ibvFV8phaSRskhWDWX9ie6jAVPw -Mh6l8NIq0SzV8fs+7viDwrx5K9bPJHPRCU5Raq3dkhxp6yKFcb5yqnzLkimTp2coghdYk9cicXF6 -kG1G8L1WLhxUX3FFbEx2aE0A1YXoLp0kAhHM9/mNI0ZUz+2CD+t9we9ntuL/CNdGfiwGl0qVMyC+ -M8PcOd856BO9tIvWMdusoPPKQkLnguLn34fHh18ievk2VtU7YUSAQceF91VMZFjJB9SDseK+sHDU -r4y6VXFMjvHUUR0QXdauaSIF0MQo6LQ8fPzx0jsPASceQXCeHSCAA2z3PBE/2rJ9dU2Dx6G7cmMF -E94HZcOlPLq5RoNNP9JpFRXJt2/hG0/fED/13ItnMucZMu7TTyCgHTtRtEY2DCgZaKjxOKSsejwH -iCASKzW5TifQmB/uPjdqRBQLhIg9/BqGTnf/28LOHSnDoDtSb48QUSvBPKR9Uo87++h1iOYuulGq -WlL/rb59UbK2OQPd+1GWv449YhaZywCB3CLvDHdTNST3nmbFBdvs8Zv9gCFulVNCzHiSuH1d6MgN -nmudRYbQ3OuzZxaxg6saHrpOFkt6lgbQsjfIlL0fjaixSQhy4olFJrVWL+n7dsivGQdzmiPH5HgL -J9pEB57Zoveumx85abtavO/V3gqLmiZ0y24GKwOU2IuFPhpJIc4PM86mFXkmkMDtxrPJ5ssNxt1i -mE6Qt2FzDhc3zTh7ZNSQGU3AX1mh9SMqQaobOe1Ea/2cPDKZk3rGW68AxX+tC4v+qkBegukB46SF -1ETapWdyBI4oDZeR0iXSrWoX0uhIn/sXXmyeqlq69MvimMPrb+MCa+ic0a9xkzhL4BDD1Std6lN3 -uGwFrqtEq5sbVNFMl8M7KvXvyrzE5E1XJg3V3o6UO2DTWTQ/fv5n/bBJcLnx0Votm4J+t9scbkaO -BwC8rbrHnygfnn8xpk9rl2jHLr5D4unBHfNPzvRK0wmopnOisz7Ea9YBnsjlcChkF47VbLxyBVE4 -h1ofOV4thNMd6hyIkXY+t9yLDT763juos7Vz6fDMHQ3HwTwmDQCKusiG87jX5lZtWG0i2oytNoLS -c31BZIwDHRVl/HtTj+/pMElpejQVebeBotxZWCwnMjwvP5T+isx7HxsJ5gCPhT0lBrKE5YWL2SM4 -/CcqcJIdOidmbs6uNpTC19fbWLy0Eimg02yXaJ0RwB/BDiGDcqOtKZy8wZ64LSQabfkPPDfo83bT -44uTyn4IRc9Xg+UNY0cvGbiOFror8gF/phWX3/JWTansGfx2qN9gSs9zR8nXkv+dB4InHg9y6JLh -RuXpVn9AXr3ZbHxIJbj1zwIh1+RNrfE5Sqb0OfivU5dDmX9zcwZK6EtLFd7u31CO+zn+YnfNVZ6+ -lkxhaJ01bEsVK9fg3oXmGJ/eko9phOd73KhaWC5tknzyOikLD1UkprofLfPWZtqVeAIhn91MedET -XQpC3JBjK7R9IWqxU47/6KHzUB8Hz6+u8Fr64OF6/dq9AppgS7c0ui1AvdgTHr0+mNp64ap2Ys2V -BxMd387MKvdus9RG9JT1xRuMhDipowken8pmWmD4QSXU4zPsazvoRJOZ3EO39mKgHwXY521nV5pT -0p0ztCVcZWr+Mog0YD6y0vbRWv+01Hy08QiX2x1NRIGQCNz4BqT+7qFfzUHvnY41idDJjRYGMWO6 -S+ROuLEGYTvG9TKoWPfirMznpMGGzI/bBhQ64Myc9+S5Y3uQzofQJIfv+nDeNoxgrs77qUJmToYH -6PFuhiaU5BtIugiMRT3FPrtn3NW8a6f3GSdDuTiwv61r2vNUXp8FPJhoOFkMi8J64r2f+DCzzrfh -6iYrlQQPz0h6JDaTHTTDmB7xIddbck5B44wW6MFVjz6f+vuN0mTFfobDu/7zWPBR+Hg9efyykURm -TZGBw2DN5vPyd7lN7+D9zCM8mZHfk4x2lzrO4NqDBG/OfJj5MkJscgg4dmqh7Ni5T8lLo63mEh55 -KQ2dWG7w6XGL2cad8ni+NYtvIVg7+rbxbh5I7B28XrJrSxpq50G13PMz+BciBQrB8/2A126g5Hmx -cu0OWAvqMzYhqwGPNMO26H3SWLay3QE7zA1xNTGdoSYl/j0HpCp/nmdW4FSNerLb8JaylkE8J/gJ -MfXl1VAVQmOAumKGZ3UONN+o/p0gFR4feVGSO4Ycbr2QhYkfwz7mayIERAnnfILNfYCVlBkwSVTv -+k/H7OOkFh/DkiQUMe+1NNyK83WFtsF3vLjPraBIDMVZXA4Ipx9VNr+Pz9/hGkVt82d5Si6lqXXU -GRHk25RntfU78AvX1mm8T3ACIjrlGOwKJC1T2OLbRQIsGN9KSHJeX7d44kqrXHpZQXiEFyvNgolm -9xYcPNg6SdQtUk+g6RP2E3vv/Ya/lRjPVOSESrc7aCEaa3ayxQSidTDzMctoPx8294w8WmDZy1vH -5XvL14+uuua0rNFiL7mAIUrcjv7hiWUyYoA59BoVD9Lh/DDdiwODIUona5aWojnnuIFvDHVzinDX -d1WqcPD3UY4aTb7m+Mr8GY3c+kTdO3GMt2ladu0IuIQM/j/Sc9PJw1wGHt9wImYYZg/WqFJkEw+0 -rFQazIBSAXb2oIDGoyrnrU6HO9Yxa6CZtkWO4q7lW+CdB1ahcc1ZheuATH61eaiaKY9XM3axFiwG -7r9eSvFDKHBe3tQ+X1QEHnLXVfH5S9oKVkhHjPXI5HxZFb61sW80L+4e5jsjjICuVaLxGP9un1Rr -sA2TQd474KPcZVDfRFzR+0JD/z0ffpJkyfn1SEav9USviEblkcgZigu79cFVSDebu8w4XJ8pk5Kn -AUd5AFef2HCmd06bFXXjsywdI9gsfb2KkJf2wT279fw9+bX7DCzCTMGsGz6XgWiCbmSxZ60Ue7mG -VyPTCKuhRh+pJgh04YlZj1K+M62OLxbDaCVv3L8yMAdZOY/nGpDEiZFuvA7D3xAVB5lXxu2yQdf1 -H7UvMvp23h6xh2QCjjwtXkg6Oxeuztnk3FCoDDPOs1MfvGN1F7ozQymJCjvslCdCKSMGlP6jrvEo -N6su2mWecJMUkE6nOlhida4WMvkbHSbIAwn7q6JWxCacMDZHWSv6F9wlRp/jM3/f7G67yP8mYAA6 -FKV5IDSPzK4RtTmo1qTXrwiQ1W28lVHN1aUDfDxAhekul7tH0EfohZJMXaAC2o3bl/bCqid7h8eO -jGHegxyz2BmmSJgFRAFEKJ6szEwBnYR3znpyFJDWQLrimbK1fEq8xD0Mt0Apz9C15TUiKucrxf9T -LC1uu/0Fof8hQl/uzhY3+t5rXntzUws4KLTGSEQ/ulTILWMFvaLsjZaWK/+I77Qa4dyV7WqM+zht -ymT9//aq0qetUv4uYzZACBieMUPZ4nq7YPs3MBDZFpdKjqPUHGQBynq7/+xpsQ3RBPAnPrVfHuRE -dh5SGhyhusDs+/HJxqcz7DJ0X82Io9qEhgUC3ReFxaEwuXJlqgMHlgPSM2z8IYX8TEISzvBxii2v -Rra9SPJD5obxLPDl+hRkLOc7KDTUy2houNds4rzNzXCrdrrrZQ7wlBRhW/qhfWj+OPEX0qx5P+bi -/Te+El3+TKBn0BPZL1lB0Vq1V0YvT16dyFWOzBgrKnjid104a2mi7dVpY9q22BZUyERyI8cx5ZtU -KB8b8K7oufroGn/r0GLbAaOv15tql0U6rzfiRTycn0475zErd2ZXzGdIv25w/GZBi+vFUDdsyctI -La7B3RcWiHRZRIxqUYSulrgcI69WPLvHdUD27vWf4thMQi5uDDj2v2x5EKKt/afR/EZz8URokurc -J0UP+7b/uTZmlF3CwM1X8cU/EzSUXrOwzpKESk0vvWew2I51aFjIUcvPLSFvvzDg2/fzyje3LCnp -41bCDtomR2XVele2Nqvk5hnopILnV+Te6t2Fo9lvss1cqktIvPdRrNKsiWtgBL3QH1U5yR02j+sy -VbNpXmnUM3fhOMml3Tj/sjAzP/N+XNIS2Pi16/OnUCZAQuHWVI+VtKjTJqa4ztSHsPl096mlxhdj -oUoXgJv7DH9aWMf0IVSLoZSXgoW3UEL4jF7dsvrHOYyi2pAn+LB0jxyDxDRCIcHI5LwtJfnKVXkv -bLmfUgRLb0EF889PLHkoflTjWMu1cvl3P6KZQSfgRJveAnUeioxd/+GB18LdxImceuatnx9OaONp -MTQW9Iy0qWRwyaml0gmqjFXRDh7omIMtZgeUrNDnRrKx10WYdrQbgzk4pm6jWvc6qDCE4Zx6q+3l -br4z98XawUH0E4ouvm1qtv3ar9pgzV7k/sJ3VfIVuEXHVgZIPTi8ll0dSTmeUzCSiarG2FSTRTOK -G4OdUaCDmcF4DRrP827Tax6mMdF4I6rBJUg5RZvHcOWFDbLXd6MyRhopEjosLFKq4SrtYO3wpHLL -ponlnqYA6YxgNgkbjjj8uBL6LMriMja2rXpgMXqg6mvVgV/9m3tS6nocAYMePsu/+X39UsMQvKKs -0JxtRyxkUagpHmNsvm6cKJi5uAuKCWZH6a8CS5VEKEoUSuaJh9D9C/4vaE2dcD1ncEGqeA46cOVY -vSUuMYePMEy6v8Xr1FMoPDa9QqQ7CLUbBO5umqjm8iNinJ2q8F1V1hPRwNqzKBhogVtK4KoQE9lE -m5GnGJIG/RdnGkpmFBQXoY6WdVrqvvGJAhEwb5wKumMg34ILn46RwMIEs6P1Gnab6zmw5DbtyMC1 -QPcHHzqUhOlyQ9RzjEaINo50vHJ20Oj4zshF76+mlitxbkw5OQ8vTIxCeSJOUeuqmzsBGKLpoHUu -3OD9FOOeaMHZnMBNrwonY4DjxDuCvyJ61qW/K7uNDZitvFP4sV1GoHeBvmUHVfw5si30ECYBM9ZE -d9By2C23n8jvO4zsj0AXiHsVVe7aLWgPCwAF2c3nd3SYccA1ZZbmvwakUcHY9wIzKBEmAhrWlTQN -5OA5SYyxFO0hbyTy/L6ht9f469uzynIYXHXhNK9JAfErfJr9zdvrtU0YwM2Q+eOjlmxrhW8eEHmq -LxgTF8TXb0BXYCn5OyW9WEXU8BZ5OhMBTXgXUewHyNNytOT0kh+ZvDx7MP4njnqqtXsoBe6Vns1Q -ZdogUtlul3DxtFl8Ch+kJ3wctHCpTlRdW/iEycr1xKtjKZvq+OvbaVazBQZN7m56Rf/DYO4eLNAJ -9MtKGMas9EWllpAjq20GIHwAlHlbk1Q/PPRtHJK5Q9m04Im5dW23usbUle5LiEnDCiDIg48x1Bap -NmFb62VdU7DRezKbmcTJ56VRmJ+iw2mQBxieKx83Z+xCa2HUFGGXrFUrlTetep46qE+ckteDbJ4E -D1IFjPMfAA2kvaD0D2KBiXF7iV7GoIAxeh+T2hzUBVknhcOuV11DydUv3nOVETAa8W9ew304MNL0 -CyAP/sYjkv+P4su58CO8teQo4ypG0RJ/hpo7A3Nxn9ojQ9JO5tzt1O14JJU1eA1nfRBkFR23xp/1 -sQdhrR63j75AyEf4cU7fAXU6aDg48P0omc1IrjvSHKVBADvrO0xKl4vpk5obqwPnYRjHwyx9ZdIy -5Ja1Cuf1Q0tlo93LmNiMSi+SSJEQE3zJwJ6ddTbORtIKc98cbtnU7eEzher70fR6cRt1iAQ18fFt -lBw80qxpP3nslxjuLXHbvI2CtsOnmjmOdpCALS3pqljpK2CN9gcGE1e7DoNN/PhpDR1oM9EzCqjs -+/djx061NgWlPP4ldfbN5qckQLtCW1K5oOS7Cvyyh5ONmuYobPmyaIL1X/CRN9XgoGVi6UWbeEc8 -0rZY0419AFDSWAHuCxl0xWyiDgRt51P78BqH4K7wkVLbzKdnJM1H0YgxfaW2YZJR09PjVeuIsalU -RBRKUcbtU/Qd/GBJGM6UHnumsRSxCepRhGN9bUg7JubCcpjZxjSNgdLu+dgYkAUV9D3iD63XEh6P -6VfhWoatrR1RA/2t3Y3KrmcdR+/ajGqIQwQYnXslYgv7y/o+oZ6Y7IR8GdbzWV1813KJJieuwSmF -gRmdnN7pwVwct7NHHtQI3uQitH8vYoSEN/xZRBXE7MX5BJlOw1Jebmm95uA1MRcPjQNZt/DhzkY0 -h+2fEJyYfp95FoAKNIjszAFE0UauwTBr8ObTDz46DhJwGX10kSQH5pnoFYg/eh6863iZSttlMX1k -Qhcv7WFfCR6vi8ZKSUqIEX81jIhl1EunRVEsahjszzy5/+4hi0kiwcaBhUhO9gyQZVRJbCRlD4A3 -gjGfpQ6g9puwvIBMmHhszsxbQEU3DyYeLw65BSlbSJjIuQcaXbtqDxAxyV1Nu14dO1ScPw+Rwm3b -JxBJfZHtjugQlkrAabqvwektqVD1AggVveV3ZPTi82avZDrvfcuzQTBsw1TPDdb+iBlu6+WCAiWV -cl4opWmqjcpwsct1DoIiR6qZyQ07vs097ISz7temJFClC2+KOOAWq+amhcAHjVfMoKhBgUNua4AX -SkxaR7HHazKTDtRt8E+p6T33n7a61AMz2u27jmWaSxfDeu0PNOvg+BRORG95xm1iG9BbDVVaSxBh -3GQAcYZJUe9w5InbX4iYBEM730SMhMM4KKX6e/UtByjbdP8iTdQsZ7WSh/g4XRgGVSCLfoxAXdPG -qymOc4lk2vpsddVkBQhwZY+T83Rxh33M7LmK3GXgPEHdtNrCV4VttAJEDOVskiusXHR1CnrCsK7S -F4ptqw4sPrDfD/lKZqjwcuhoxGFB2V+bBO/uBZK+O21R6WcbgH/cxgJFjbm201rZLqP6QUFAP/9c -JKzn3glwH7KDW0LRZau2cal/71xHKV0igUJIFvQCBhs0/ZS1/t1x4FUXnOhZArjbj12Q0kOaPSRp -jBdEcrjKfwuOZEsOJQ4r7n5WmhWIDGbg/kgPI8A+BkH8Y5EzcfZkO2TCdaGUQ7SgrI279ykw/9pq -3ZiE0VkXdcqbzQ6xDfBp0KfzhdclEHxfCBKdoRsi14fYKEoP51mMyHi9bMqcxS6Fk74pnl9ehrA7 -pw1zpU/pp9hOz7X1s/VCzA1zvpXIKt8tq7TbrKbvby8NEvv4E/B4VVRr0KKOyO/kfdHFfp/ISUhL -sSvMBPv2/AzuDS+U/zUXlaEiGjRQPOM574VA95AWPmdtsF74ySOzFtVWDJxS+74VEt5da+wg7Hn1 -q4AmceK1jh5sq5uycEFFSNObVqZX0QRIVemmFCzAOirhOeOjC0JMM3o32USkHp16YcUdtyr/j/rF -Rz2UDqdiIbfbrhuKoZEwzpx7pJA4L314qTXBEXNbxQM6knJsRzNGCxtiksNanselxIPXP8sLycfO -5zmVo3NSF8axy1VCve0SYY02jGgq4rvP0T/w51/15D5ClYrFirpHr+I0l7dOexC1tdWDbTCVT2m1 -qSJvZu/5Ps8BHxOPi7L1jUjyngGwNomF2eZ9xyA8JyV23QrjoI9wNhTrl8oZ6us+I/i+DB2V5T1n -skihPkBaZYkcr1BeYXza/WxfJdN8MSt7R+McFssiFIjI14kT+KpcGW1A0EnOwIygfx/CLUy2+vCF -Eyn2ntsFuS5x6ppz6UDJ7lso3al3AGXMjeM3V27DiwIzWgfxYr4BU2bBoBrteErz6VZWWV0f/OuR -6opiZ5b/LWI3OSvboz8aYO3tyYW63A92UkQtYktIbAak8RDTcSjmQNEfDUnJkfAqM6s+n03SpZz/ -imUXuqYysYOhZjtfguOkUMicgrMHR2qOhsBmt/NODNOFvGyIgK88r/ppJeD2FUZm0iRrLHei3maS -q1C0JPkyNE13V5WSIIkMp2cO4pobcFle8B0ww9s3nnnUuUgRUIKijgylys3Eo1HpPm5AsVpvrpp5 -HjJRQpW6CY2sqq8h117VbCzngVc6egoFx8Ku+WiMRcVbHwikYjQELNZQ+ocfaIUG1dYwM6LqXM2C -Z+O/xXo27nu7NU+yl/EdOW1nVvSOemCQm7ztcvsVmvk65e5pr5A5TwTukRaw0lb1iXh+MuMyIN1J -t+256DrWPeU8o/V5QLXNPFO2hqSLlN5yiCyykBrTiPTVZx9j9q5Z3FuSQ6Ie0REzxTOK2/idtmMT -upzgnErAeeT7FfedQf/NW7H5wQzeSKR8KzPHfqwFOClSkuFkxozNxXNc4+6pxXA4ZZeJ4wxoCKnq -HQrBvO9k0SZsomRpNuqA8VCr5jH9vg7xMy2odJ/1ple0Xe8ttE/fCeizriU2xJwx63kGfpcoQMad -P124o8a5Vz5m43FXZUkyqduA1s37fgs12+JXPUQZ2RpL+LuLCkR80ap9jP3uako3904N5WuCH5Jw -miHNryWQri0ebNZIezcWVOPR7kgEavSR126iVr7SlC8Rg0/Ce4sVhliuXnRK7Jj8DL6jWLmgYEp2 -BgnjWgQ69Lk3pr4cxp3DAtC7kwfVWTzLsmOVtH22pZ699pf1uBJI1ZqWP0ufgfyy0TWt5VIzTmGe -tWZCVL1vNp7SswwGQr0sOYkk8hdomfwhKMa8b2o6Mrw9tm/bnjlWSBssHIojkVxnHBK++55h3ftV -EIDhbxwgDMUUO9TDqHVUHu1yBG/PvqArAslEXRcA8etouJabef6s7ijwmmB6XhZgSgCDioLc+HO7 -shkdsBbMws7rzdwc3XIolG0MzyHuVzBgRn9oAoP+iLGMuokUKcf36A+ocuW0XmN0InGxTZlHq33X -aanMtZYdXb0Hx0HENvOqB03YxstYiqFpWTx7ApI+I5UEuWKYLkzBLVBwBSct2NcIWmobUks/fgJ+ -lm/bNtzvfwdOxSbQE3cUXT32fZZ0EwV6Fi3aOf6NmeT0ns1lXDqZfztuPLYvqK7s2fZLO4H8CXj3 -MtOg4RUtcJyPrRlhAjKdjCoRup7dsjtlaLubojEx1wb8ystWAn2Jm+7qryrhbkMAmbXpc8KTA1yF -b/9kx3xdxbNnG2sJJkB6RaVFMHCZGto96OQDVWM3ze4/rIIPVRW8c8YaFhYsXwsq7RWht82EhrNa -ElNN7BoK/sxPFbgUzYic2gofVxpdEr861oV2jJU+uG8ywJ3qRyUzG3wuudjXnP1WLHcJOdGfS9P9 -dXqfzapwDv5cgzKHm0AqCksu7mhoe6TopMYj57FOa6YQEQZ31wgEuY9xsitpwYAoQVARW891mcDn -4NF+gUPY7Cp/8abM2P+hkPfb1b9fdCfebLhcdE5lgi6hYXA2fcxxg6Z6pHcVYzaMA5tD9T/EBtXT -q23xOl1Buq01qCzot6RZn0P12YfSyRl9JPql6wc9iMxxmC3qeDMTfJYoMpLOPxidepNq6HWDZSsi -kDLt3zU4ISlY39TghgWwFlYm7BQjvGAzYmYVP2SFnztLsXgUXGlxLNRMoAhdRFRICTan4LqpnjVf -LqUfdc3ivPKBXhr1/T+X0ckLnrCf+Q1zLwFW4dE1zQzplzPLxSAFojxMHyU3SrTceKOBGjoADvu6 -eZq9lBjbu1Wu5vO+BUQx7uMAK+Qc+LQjQ+osgY8fj2IunggCnQ48it+Z2NJ5kBfEd8hg3cnpWCK6 -lE/mXR8JLdXVdQ4X25sljjXEGw+K+qhmVPdO2L0ZqMETEIFeb0Xc5H8cQCPuZqARA/fCp8+dvpsG -ziBd+X+uGP1+JVNddbNMmNTc2ExaSStlLkwMQGRjL+xAxQC2MAWge17sh9L5EeT7J5LWTdl65WJ/ -CVBoA/5wjkGGU/t/cn6RYKa8zsMstgqS0RqUFOPfUK5frt6pttjh/8f25EKVZ3GB6QxPd5HFBAr2 -YDkO9LdBqCVDE9l4J+iak8+hIifRE+gzJsdeUN0yeCQX0m9mhC0whHSynsooIr0k2VNJRAUQ6AOn -r7NE1rwvc6uDuv4RQoIeVDjPOCVqJwVvRLTFR+gjB+PrIyVhluCta4axskfpBcQDr/1O0JRf5FXg -Vp0s1YgUR5ebhGLIUQW2RdKbvhNG3GY0mPVvXzbXT6DGMAtR9QsQ3YuHDTV7IziWbKnJmk5EXUVb -B9P1ZkHirPVJznTljf8LSRcO8cYP1zwzznGF8i9bTrNQ+7T8GJ8uWjRi6bcxIziXzL2oaI4F+7uZ -K6VuWTpTkkChUZkrHu5dedOcAFKGJ3EMeIvbWTSV0ROwCQE8Ayj3Fk2C6sArklO7Fiq+4Alv90gO -5kPtOvotkDVIxN2UVAP1DAxu2Ri5Bq8wWCILfTq73lPZWVWlTgi2BsqOt2XWPDprfbEILn9OdDYp -Qe57/tRzPqKklwkPSo0PQtO3bbRWVEpuX5C4CFGx2TpAUJ5GVIiCNdgcKv7fFDDOWPefXszEhm+H -s0LR3vCxtFNn3L4tzqjYtRLKupwQ9r4ncLswab2hi6WgTMoknhGdICRglbYgm9DVRo6x/A2A4jKM -Xl5TGxBYAcPdWVl8IPDJyiRLyA4z8wgwsNk7fy01T8feDSz6ZSY8VTu6I8CqI2V6/RAi95UhbIAG -Rqrf+/H8K905TwG+7b6pY19uS3o6X+Gz9O2G/bpwBadkrpxFgUm1u4DbFgoGaBA6GsiGUDWFnF5f -k6z1AJFAy2uKYy3tgmfsfajL8P7g+qn6XSaxLnFQnKG28H993U2AJRD6uVslMGWN4ouILmPMX/Nm -pq3hfpw8joRJ1mIPMKk8pc+Nhe5tkZZNhO0pBbbOCxRINyDr6Hh8wSEthqfyH5AzyLLmanGy1S5o -7RSMIKMYR80ou6VKbzjxerDYPlLil5o9D/vXTfiE+DAvbOA6o/p+bKSl1TF9BzFbcqBBYSSXSUUe -nDVLOx/ywZKdpEoshytQPowXUIXvonSj0bkM3JL2Vj+iIKVbqdMJr1TlQYcr4MmG+srK5LwDzjdo -jqZK5XyqNF8cNF6wIprzhKH0wKnHcRSRqvmUpZLJcJrCo7S+z5efYum2pBvShb7nUix6eWpyzZ/M -h/469uIfdYuYzvOZl4S/a8v+PsJaiym+9MiJwGZKLnzwpJwH8MUZDZsWdYp2UaCgRqY02rKwQDta -mHe74/w6r/IaBCw/wDVCXIfBfvfZuNZ1TCqzVEvs5JjykZTs9YymLeusrPiYhroGJyalNLWOZvOW -7qkNJlS5yvci0e/wpcK25PtfNMQJWYTGcbngRDgzeSo9EJDSt33p1nZksa+TQm/GCrMlP3t3bH21 -LY30xZ+Q33bf++Vfz9vrlBOJLVnGIlWQikIigLh010EVAOyNNDq0/Z4V953s0UHBF/Xh6aU8z0Y+ -YQFgirVqgxOpy4bp/Q7TQD9/b3CxMWphjSH/lzWXtTl8z4isCD7B7iMbaidZxMQ4/IELJXYC7bhc -hSv3fPhr5VQtW4ASZ3Zc6fNEO0zcOOCl5rKxq8gXSQkDZusbEu9TAilO0pju7XfQtV3e/8ej/5l5 -smeZ+tZkCDkVfAD7FcDTAHNvAVR/fR1qqrIzPLWcP8IUC6KK+wEz85VqUw8Nqbut+Clh9c1HpKLj -NCPca5xSiel2121+0V4neHJZpcJ6SQvh2clikd0zWG9rabelmUoySNc5L6FBJTULBzvbXM7JvVnK -U6iL1VhXuVCM2vAkvdSHIqFLteJBC+3gH2dEZkpvctUG+agXmSoDX2wD1rWDQ1Gg7RlbSsf3fqkL -++bs1m/yc7Qb6FUkaaOFOXwZnsP1TybpWWyJ5nRBB0hb6HEWUrNxXACEdXlSIGmw05VTmkbcA46q -XKvfUdxdxoxbeBtIJEyfBLBvJsIKIJxEb8RGcnDnORn+OWxoj4tgAgvVQGqq1Tm1hA5+arY0VTqf -8sP6nvQOL3gj8xs04i4m2ZNvlJWBWBuDPCXkAZRd4ho+Dju3TrixSXQ8VJiM/ITZnl+g0OOlq2WM -6ZwkJUr7tvAM+JoFQCvgA4ftevOMb/5ZS2slGYXEH7PmQk7ePm7v1/TU4vrPuaMGX5g5LWPK0UY2 -DMjM5YcGK3qZLrl7ay5REZm7BjYHEdIZHP1fCrc/1Bj6dlImK2ZFgRwcUCbg2fZ5ziCwb2aT3UCE -sgwY2mGNEhTyMnTXQWNV1gOQETo/LDjtkrKEQN8wHmXKrJqN9TeswxkJhegVwyTDQoDWyk7QLoyo -1Fo8nd/PMcSadssPEWL0xozqHW9oWqavg8yFaoNS/AhTvdFdOxohL9RMadyqLRvnFyiR3g5CvpOX -Rzp+J9qTARBS1RFdeNskOP+WbUi7m0ZI2ZK1Jp8FcD9VEAjcyqGWxU6KNql7qjaZdzluCK5K5d3y -Yomy4p+HctV0AS6L5bvYCzJM8n+EYgH4W4kfDxdJcNTCGNurag7tXu3js7exzQlr/r3eSZPVkQGI -xDVMSUdBXC1b6tK/yfEu4ithnE0ZdTI/m0ryWx8UE4Mk2x1Zm4In3ghP/WZQPOXr6AD5njmmap89 -ZV2mOWzfk8TFBakoVwzIj2tVkryKjMHwPAFXM91qNJ7pBHwi+V/VYPw4F2UAuoi4b3JZZ07MHKiy -YJzQBueEe8YB4Ws6WNU+oB3V1cJk0M5CNnsNIuLco/IkzTtATImXdKA/s+/rzoOLU5+5DvD39je/ -Ssm0xU+TljR1o7DPesWKbYjzvHqxcJbsH0p3HrSch6xlHhw7VtK5cfx1jjUPowxhuvrH/zKACflN -cknwWM631DgebeczuFurR76ADCPkcCV6IOzxL1jqVGFpxPebFn+jOR3wCr1mLXhP1J1Q8DKZv55P -tkzVTW5lVfa/RAYG4+8vYDQ5+QGxTQ7u736t+KlIxRIY1VjKVe13C0lL7ho586+yClksCorZ4WJj -lPCZSDwO6lnqiOBXI48Pf3uqtqf1rCJSFAz16nKpFNknppqUc0vDoNkjDXi2aeXiG15BfQpPbSBG -bfEbs4N43GNgNKgVsZWi6nXj3v/L7wY2mpPcXbGh0aoTurvBKbibru4TKPedp3yLm2KVpMjo+tc1 -6d0YETEvWhka51/f6XgQQhSQ4hbHHdK1wWSx+1E6URjniesJY+Who37X54MEmjk7cmEy1U/kzeeB -7/LONxwB53nu6p4GFgzQ97CYizo22p8whsere8JsLC7VH3R46CyrWJHcnR7KS+LpjDS0h0r+CaQ+ -sdrun9sbfnHsTaDSevLxS6CIE7oBnPvjbP16mpW2iBfK+6zaSQ/wnh5ECYjs9IMr0xL19WoCGftC -85NSkgxL6eQj8f6Dg5CmKrxAPOEta/EcfxrPehmywtSQKV48mQxGLClKQbCZ2GjvzmxaaemffXVV -B0BrC4mNg8aRCWJmwJtXTWXrmUc8M74sAotGGmTF5lZdYc7S05tB9ssPZ7IrvNTQKTFQf7LPNQha -vJvPGK8EVC6U8jAQfPUH/vhlweyM66++5oIP8K6oRwCQWGJTOelJptWWFcNo/5eUL1z7kPUdwSiu -yXrUjVWu+IZQdxiHEX6i8oLDvKchoRlkcd8SxmX9q6YWiNK+GZoLSry3lpXxZZM6QMYUmknPqtAn -aELId/yRD2kGzgN9QFPM7J9mcsO58IxvqDrVc6uvhmisIpVRVkcBYtrbEAguH539v8X2ACxLOjhv -qOZ1OqLcvZBFlv5ezNNx/wj9OPl6yF8zTVoZ5F/FD2Ke0AyEaD6iXRcqjdXNRqrjDyENxONO12tw -rYczdjjYrbSY9qjlRgocKkeiNVtNM8j18h2UYJUAyg1L1c9oRDmd8lnWJa0i86ax0MRDavcoOovy -JX8fZfhB5Zk+OqSEpElb5Quc0QCn9d3bqZg2zfiYXTDb5j9yd0UWi6sXU/iQfIMhcuNVimwYbzkC -Xsvh6mp396F9F6P5IHj2U8G9ILC5L77FJnw8HsgMoJw0yBt3u2LRY0QizK6i73/bZCywouxV+P4h -mA69EyeoOKVgwhyBfk8sndytvuNfiH2BI/UMjd3xLfwA415lplWQGWp2+7h16CiYbqr0Yoz+s9xZ -BNdVIACx8jVUCzfe+aDcWBbMvURY/KzIAQ6FcnOC0QzHyQy1+n78YkCKM6U4rx0YQZio1676wm0Q -iiAVC76ArDFyJs3/cRUJlIMf/+kXk1HdeIFQ+fxq/gJmr+X+DsTtMYlRIb/1U0MQANL842VqJQh+ -Pd34PzlHXx1Eefi0xejRKhVxhuGzgj52pFn4IoryhE1lbdbBmgqDbaIB1gQQr3TjH19d2VOSaJh/ -1A0UPNkasnHyzglkIomJ9CNzET9Y2g4CEl4J2IA84Cw9DdAQhN2XiHHVJwjea6PgyEMrKN917gwj -0uXiceu+/edDgnX4T0x1V1AyvZV6bgiwH0YTC0O2lLSbuj0yltcsvICzhA+ggBnQxO9dEmxdZhf3 -GRiQAfmVLiRiLSDhbJn/eyYhaw4AlVBESGdz9YbB1KS6aC1aJ1ZEb0/svwKVquL5Mjnwa3I8Qg+S -+y+kBeYSTuqhxFHyxopbUTTYpe/3lkrhHCqZrvCJyKaGekRJlWktIn7b9hGOV8Zt9gg1AnIIsuiY -Rn2D0fmXuizmxeD5TCOhFBpAWr0iSlcz6OmPwi9wD++ieLogJzS+PUlxEZry2rq/1YS7+1W21XIv -fn67fxbwi0CGdzW2H2ctm9FG7wtfsblp8oJx23nWjfrHZBbahZ/Ng1bXjBPPzNssQKPwAwpBECib -eTPbwRh7w/yaQE1PUZ2WyGZeiJgnBNysBjRHXQb3+Vd3xmAz5BjUplU7dQ8l3t60umiKZSqH/Emr -9m3T8QR3tor/ZeQVNWDdDKlcQjP9tWGhV0Eo2g0yh2vcSNQzvbaLQXm92cZjek/hFL7gLLJ89j0z -pPlyuZONU6zaQT910jgCoxZpkMI5BNVaUxRH0ZT/6sRvvq1wtgbvhxRAX0EdXMO43mMWx56sz2cj -9KOmEIL9D6Uz6UdyOkYu9THOL4QssS2D1eiCRcqzCVZELyItWyp/lMCFgtGT8VrALsBQWcv6gnCl -2zq7HtHi+n7HLdQ3B8kF8akDqRDf2ecaNGna/6rNlTHd5Zv/W4DsXkfMHxG71HDZ6zL7nuMH3vMm -gSXwC1m8OI1ZSx6nHE1Kt60xxo3hc08hQG5JVifHxTpbQa0U68QFxNepZBxNmu3EJO133AzNYL+D -AQA+t7k5xlxGInbNxQJJB20bL7e0elRbJtT24KQL/wQZkk8QtBhRoR40SqppZryl+BtUh/RA3XKU -koTaUUIgtLo3YrCKLq8/vvkWkaOjcKPEuwqF6SYJychgY+XBrkjVSP2kZZxuF8LiKFbOKNV/lIsf -rT9u94OArVPqYrxB6oGYbjqKlD+O3pj6lTJUmfHiKoZ7PU5YBt+0oJaAT5PDkvDgJizKgiRhTmdl -AAPaVG1ymO8DyYQ7usRiufQn9XFcPJcEFzdHlbF6nOkgVdJh5EpoTIKZ0sGYf26/qKwBraTDsdFq -7JkokbZIWOWBZgatFNiryXn3yA3OgkJn5AKWkDmXMzLwA1Ho3l8mjmnfQPyBvgM061BrcOLFb40C -5UR4L2C+kOC39+YgSBfrvwoU1VOw+tD2s4cRSkGh0lA8bdFj4/dI0PmnpTl4iF6Z9Zug/hStvsMH -hmpRShtoXThpIl14ZDJzxolmSGUjq5D90oyoGxmcqK6GAilu7TgryPcQbI7B68KPnZFA9ghiFclr -rn5UDbp3naf5Uv1dNiWu+UE9YDRNrBmS7SQx7G5HSxK04ujae1U6smCvMGSdPIfp+Lnk50IBwSA5 -MS7g0jwP9WyR/A/s4KElPI70IuYQHykSrixpQNGrBQWJElXyVBIdDWLcftqcZWhusHp8cmPZhlWO -z+6pz3C7DofF7FSLx3JMDThk61MoatXRnYEn0B0sgVs/q/CC3nqjbXlwvLRSWq14fQVmdH52fyUC -fydBvcOmpv0Pv3RugKmL1BaPvdUlQsG6hVrOzVfCIIyLfieLl26yh83K6fFJjfQ7a8t21ZtBEZfC -uriL1WKX3rCM68W8TeGzqKoGgWm9aNqz1IJbj8lEKsf2vjbKNd+UCGCr3Ro+Mbfg1keZjaP4i3zB -vfY3TgFmzz9kQuzaa6SGAsf/hQaMlIiw7EZjRlg9WA43HM3cKKXDP6kjdLR0YD7t0hek8el7/GTJ -ENLnuuvigq56WjRTXoCYV93UCKhJnbbFh2DOKCh8swiJjDYj2wwtFUnD4rKWZnviIDbbJlai0fdg -NTnNL1SWsINnYLVv6sxPtHA+TUsu4QGKe8042Btr1IC4idGr0aUjLq/1eL/o2LGvIK3MfD6hpabm -Uwumkf8E0fD30WHfmZjxtDOazOns7J5nO3xtaVzIQhzxAMEKLTbLov7JvY3A6afLfviY3EsbVbZt -SHXGNpn83o9qo6qg+noLOTFBzwA7H8HAx8C7+GpN3oewXkEPMjt60lmArqCu3zHnLqe2W+bjZ1iN -3fyvnr2+wCIoluhaFavezF1GQOd4H9eqbsl7khNzdJr4Z03EWtt+2LuFD6EhYarIsnqQXCnCAUWZ -k0FCTdFqhWQ0sidOQ3TeOk4EN1YP13CVBkjYWHWlsgVjfAsgFfeSRNJyuBpDCKlOJedmaiaxcK5k -NxC6t2yeJFF3Xudk3SYviSKh5IIE/4KEiKCZT35KESQE8/Ph/8iNO5ypX5aHAXFbBeaL89amBlVT -qi+zHiJcb1AGaTLYyToDZqQk7f6ezjjWgU6ZsBdWbrX8/t9WsmlezisGJE2EGN9kbqUVZ4su6Xal -yLD1RNDkUaa/ZDjV8Jwcqfag1nLF4JUcCg1QUzbBDvwTYz8aHQSjCiRid270FLip/5GFpePQLI+c -5QS0VkgvOtC8xU8oEXmeOJQdQDyDROUxvGntLK1zHLcSpN4Q0DsHZE+BSgd1cH/S9LkgCMrGWJ9l -qapkgU+w22Ag09gSA2mqcaDtquQBz5S++Z9TiVCR1cw5KGEyadDi1BnPVMoHY+X5v9xQMieddIm4 -EfE1so+HVgI3v0HnRwIdwtAjg7/zOBYiJw8arO7bkfU3FmbiP1Mk6Q8cK/09wvQsb0pM2SQpMGBq -P8Gkw9nk1/8gJv+xkdRD8MH5BnICfFysdSnVbdHndZCp48FDc7JdlW+T4Q5ku517engfiLmPZll+ -bMtd8m7l/xQ4e+1hYUwoREht5sfpUNDIWFg1Tp+WUZ1CosXoF8MljwYX+lvyOBFBwXbWx66lWSbX -JNah/TOiLzYNWdZWxqXrpNvk+k7z13YgV1NX+k42fR84XUQLcey6cYH/gvwMJ22if6HzNz9jaWBf -f3QEd6f72X7N77X7TxXjgKL3gDLzLZWR9goZnUJU+pNbdANfHcW/rt2x07r1Qqw4fbqStypxThsy -HpyL33uG3KFSUiZXjwdWGqKMYyPAFCpab+LmsaKeA8IBJyxnnHKmAoBD5fLPC9xvkcm51KRj69qi -qH123TFYT73NV+m6PKCPW5Hq0e2HXRWcn+wfPF5EZSSRaG5cDdD9emGuTT9tppF5fya1HE10CEx0 -C54TA5n+MUYJc9DHxI1TC2E3wyBAQ7LP7MgAUaHw70ey4juRRyqL+v4n4FIjT6Agq57lwNmD2fRQ -s9LSH5S00crWln7GnUT4JfZ74JzFPKEIBEbb+vTsWbfRzIUzlO+EyDUTz0TzCMWvFqljp+MBH1/d -QjGjNXSNLDuKUqTp+akg9H+JNKsU43it1is3xb5IW6UaobyOpNgSHuQGj7y3fyeRYARjkbnn/Djp -fXfoOulTuHLYwyMe74K8T0khLyUvFTjp+seWec2nWUKVLS+Xt4QeOcuWUTva2it0bojsvvYr+Z3U -Cj6QNHmJKySb1GD/WK3jyxtYHTQan/lZLsRIpFgNnQN4TeHRHnYukjPuN9MV5JfJD1gxinQ5ycKq -mzMVdVjZG5O/Dyz/1gAkZKFU+BtnfUwYIHIylZ0FGeThKsKCN9Rnfe2FwJjD5fQXvljXA86LFIOC -AuHcxQzI5eOjj6nfqH6nVgWEEcrBbYOwT5jj8vmY/52vgcoyPpkhZem/2/ohLDjJ8DSiqnUNnDap -hB7v8dtm/8MPD4i4I0Zcz0EBgo9BQzOA9ILJ9RIHkhTDEzeNR0oNLd6kNnfRKQU8RPe0XhP1VM/U -kB4tBr+C8dcPfcUqY7d22hWBE7tL4tkMOt+lg5+eT/r8AzZLQUEknFlIUqiJlRhOT/am0Hc9ndN7 -TYelqD21wduxtRz4P+gUSdLFS8AkaxTyrMvkMGJ2IjEbz6Jc74elncAUcxvBo5Gea19vCVGZEFLM -KLnNmRXWJhlZuw5A7am+CGhn/eG7HHPbOvtiqLK1/KOv7w1T+t+8PtyR5TNTgdQJGC2Q/7Nt2Sbc -XCWKxPtOVAuenIcwgH8lk1uPMAL98fYDwa+VezfddYmQ/0tB9ED8oPbmUBl/xN2sCeowePIq3YQU -aTN0L+v1sXk5ZI9E6VW7KzjHNU0ByLfVXbM6XYIzZcyXsRMxOfyMtXbEzfSRpaMRJm8JKHyiM7n8 -Ij+1VZoyc3Wre3kM4lb2jD07WobqvZfoAladJ1d/Ht0chpl8Ak3QI2Enr+uBiVkWUQFYKaV6F5Kt -tK/ywDoKyLIyiQNVafbgV0VT7qkD29QirbUZysGgDYDHpybu6goLzDwmMPMRdU+pK6SSi4BMk2hk -Rllca8ilWQ3tNjdWiXlfdzRJnRuJOHmP5u75zIR3VvEAo9842yy7kuBAOIQVFxbItjsHVG2jxVxe -W/2FWroElrO45gIALyyHHRbUyfxVkBG7r7HX0vJZldOwaPZ5IIR5ZlfPiSvJ9MO98cn18EVFtFYq -zYKRYzmbhAoZi28MdAfWmJaoUXOqL0IEAP+FxKLgipm951vJKRQbAwd1Laz4KLgzRf+wwlgj3Rlw -R0hHzmGo8voRcmJDrZC0IgQtxraFrFKt7m0qgwg4l98Izcl8p/LdgS2izRgRHWnN86m8sgUr9k9/ -Q5uQQkJh3qaCu5xT2AyqbsIzO/UzukGf5lmRyUPrHD2WrWq10bruLjhWM0rWzPCo3hnBGEcvX9WW -4h8WwGoTvv8Vcf62bK4ts9F7s9zT+/2koPRPBa/ONtqJ5oHO0SqSkhjUKPLouqliLIKuNAiAi+Qi -OKK1CG1Vm9DsDCNY+iajD+lVCStivDkzPrRnemav4DVUNOEujj/4TjSkC/NRPWU5P8kVSChiaLj7 -khzPaQgHdiyrIJJ4i8SA7CjPbOgni/Sdp2VOUtqUjOg0CkpQ4YzfKZYOa6s57+pO0ONAN2UCwZio -HSoe3sFKvduzWeZL6BRB5jll5yFVpye/qzN4e1tIjQdXx7mbNLEoJa9OAPWganmMICDSRPAXLZiF -0V+o5EYJNdJl2/pXyI0W2cMdxvTE4JTM05E/utJYE/ipybDKzr+zbJlDi3LhQRFYIwhPCas0mPi/ -Eip0XB/Ab5GWRzqsLoMwIxGionQwirD4yh4Ggu1uawAb5c6GpsvIR66ihO9dg5ttxypNZMKgU4Vo -dyYktSV6YyGbIB33Cre6njS2/4eMlfU3qLtoCNc8sQmenvrTvG/qHl21oqOn/bXli4ZfmV7o5NxS -S7iv5AJ60GpkkH92DjbLo3jiX39+mhiIL5lb9UBvnHyNHUAfEPw6U9z6G6Ha8TyRVIyeFlYTXXlf -iBtupVbybDmAU5UCRmrCbnATCC8skf2h4zbrmOatIzSAqu27S8hmZFXt0GgOOfwdnIzt8wmPuS40 -Ktt7m2JI9PHwJNZvVj1XBBy37bCVk7fgiymPmHJy6Aro2sOgs3eKAAqEp+BgiS8c1kxHlhg5er9k -JnwgB24kJ5iQ35olothLwZaXEpPcAvOEkdDPJLA5FT6DS52Lckq1V8rMSbtu+a3dFsrzMu66iAJJ -5rrv0OhmItFty3eJDUFXoq4CmiHqa6hgh9GcpoldSLij/ysc8MYX80+Zu1WV0hLAckneeKz7s4VY -Ajaf+H6Gxg3Obxlg0SZKwXWqzVPeEjKu/ApqQZvH+iwSKsbgs/uR6fUnQaD+Zb1HaMwLBdSJhgRo -zquvw8gdfseUwIdJ2jSYRsdPYmiG/QO/FNXOBYCRjBgnYapd3ff/570ccclpgfba2EqpDoUivYZh -37Tdy7IupQsvOYMeLYyX3iEJuUTyEAeGS2o1AzVu6+upCEpc14bV5pfSt+dDLxVYisdRVmiy/o8q -eU1p/KwbFaFGcL5lP1Yaa0tS43OKsFBjEMoYTiJjiH9LNRBF7BckpvW3fdHhJisfdWMoDk8r4AH6 -vYFfrsD1qUwvA8jY+FpmtmXxIV9dRh8cPxsoL8Aqij4ZPrUfVMzd49KsaJeLaIYSmlEzpdKNktR+ -xoHDmejaKQ58WCC0rO+SG8ZLdE7vLjtSf6hhl4LY6R3Vc5hjcPeYpqYdZtz1E7iYAxBQ0c5y5o4A -yzn0ZEqFsz40GvegJN/bs45+Q/MPuOb8EHtIIlGsqoghF3/FxrEQ90YB7n4Sc+Q/niosyh7p846a -VT0fTVenutg36Bh8mxNSieNbTgCOEZP1CHs2qzjPPY0/+Kdm84hn17xMl/47SkW/b4xTEb8FnjtY -bT+0wX690f65FzPVC34h7H7wGXebgnRx7H7Qi1z+0UwBI4hxdWaHyJ4KxMX27vxMDQ1BAYSJ/eM/ -wXYpK3HrO1WUQpI5YN1ehvfLeZqQ+DVAwi7XNuxExHo4u5Dh35Nixc1LxHV2tLmFi+I/KU4LWuNs -vuGHGuNBkK3F3owP+f1MW6X6AmwZzaSqnnQdmmZLAtqKLNv5zM/cIbI5EugCleC1ZOC24o325w5f -QFkRuivQs18JL25+DpzFbMI5uB+z6H4OsjhbgIbostJNjUwOAvpJQf65nshGCwdc6FE1rwtKD4R7 -izi05IBBH/NMEZ3RuUor/q2t3+3BJh/lgLpMAUjMVdOUehrs7gP7k8LO3TCTsIpZCC6H+epr59hX -BszhwJkUOO8Rim0xdRGOn5Wk0kK/M0ecv9VXATidoCcKkEPR+4ynq27u8wu9qRS50BnqZLNbDob5 -RnVn9ltngUgMba9WgiKC7TKANlqaReR3WAJr9wy53Mjks5i1mkQ9M0mh830rCaVJlLyz56GRithW -O5e0Fdz0x7z7p03Sp3T7Qtt0kWTUYIt2WJvZKJxbHiWIvKXnzPeYcHOj64n6ikx0FRRAQ+x189P4 -sKGPg+/ZI+uO/az8pMxvnWzgIpDc6EzBJ+WHKLFUGcg4RbEZSqyWxS7sTibzoebSsz2+iLC+hNW/ -NvNtAha2qEZzzuP71cWnAvJWTzYqDhA2odrLjyVinZV1X5Yc6VAfLTQgVNNBK2waoTHSdtd7GIkV -l3i953VtHcMiliYTkm3YMDkKdQpbYWBX1PJF9TMmIf61WNqm6ZtJhNLhw186ha483DffqCqvcxlT -28OM1geZE6uO8dTt47o5IyjeIeaNUnKy1ta11zKt8ZT/YAr29TMqb71kmqvkTC/dOZODHcunS2Dj -ycJhIaLzLewawdl5XEwQX9jTuLz20EJiWe24h8g9C+HhrXYYb+JfH7nMZJQm+B/oQa10ftll48qy -pySFcJ6Hfen3DeL1HTVFM6dWUUj2ThvERw+2yQnkY8FMc3HfUgQQUvc18DPyYoJjfu25pqmNKkBH -6DE5sdQSWpqdb1Uh2WrbvtoIuJW1lDopgBJQ4rewOISejbLegncFrPD/W1CKSAXzf1/7avi9GKCp -7thItraDGT6qvNvKcHYsEgtEoF6nsQdHyEj/qxpSJJ6ON4uY1oJbtURWvw7nyvz+m+jcAKEtWhqs -0dePj7BKfDWvDs/hgh474jHVMOKCtQoI496pW56XZJItwXGUmYb6Nbv4i1zharLHVtdeRLODtpZg -mz0HX42RuPIrUCXmmZCCNxvpM4T5OynRA48T7wv2peQWeCCwjnNX4aHq1T+ywLtozbtvaBfOMYIe -+/QNNISKEQN/ixlYUflCsNT3ZRXb0HJwgsUg44tWmfvqzFDDDiBABzsLlL9+cpzI5S+83usokB8r -qbBY194sLOPCFDk8hjst3buWe2aB+imDYqms0qLiFnSW09C46KQDR+EYdEH9+E4WBsLnOhDB2kHr -m+xKNydZ10WxaIZFXTZfxGpfIC3n10Icd/aGW745H8SnQWtpN/I5AwdaFbsl9LIDJSRybHgE1+Gj -ARWMNXtxo3CSQMypgLBwquPMov3jp4nL92qf37HP0jZRF7SyjpJz+lPtYToVkwYJpaqhGyMKoE5P -04+F6+kKUUP+NiHtG2fMLKJWuKeuWVrapuM8qtnjo3NSSqsxTAPBnVUZnEJblHmICYl1NwnM8Do2 -wobjDy0T712XfbUVgt4Ah5m/P2MgwZukTrMDs7mxT2dtqOH/NW7z67gCge2m6SZylV0UGUCE66Pi -RR0sDMTOFGjJYtrjalNR7mIb8CK0A5nHTMY36Yr3PHkZMZcWUVb7TqXpvlKV1Q3uAbBhz+bGUUpH -z/bdonS3cqwxWoBPXFMaSO/qmWRdlvMF5+QXWRlJcd5rL4iwVblzIq8AiT+21B3jkiO4nkDuy3Z0 -sGJDyVThrGY1OyrEEsI8X3qgXU6oJfWpfL5jCa8KjUc8Tn4Qdw0mUc+rXVajUz16RPi5dVpSr7Tu -zSWcrkz5K+DurokyZ4q2SpulYVdtO1Wbeltf7bKoTGHuRvaqIBFUHrhbVnNT2hfNUJSskmDnPG8I -5mn7ZL/t60hUkEiypMW2aL5EsDv2qPFjaQGg3q+tM21itTTe0aPFzbZi9a1yg+Dgb2bIQ3TIn2CF -7Mmloez4DV/SN18kDfT4xrEXLP0sgtSJrDJeEI+YRfy6fyeoIlaq/ue/D6iRtHniAVG7zMEyPEQO -xFxv8DyzQbyc592+oH613GtucM6hdb5JTYHl3Fc8kMJAD/rrLUgzdG2YDm4pOksj45DJQF6sBNEe -lKUT2toP8LRsj9somBq5d8Bl0EWtsNoXIHczuyUVzf9KGQ9sNiUejTXe28DzB+VFTA02wSfw09WT -VbK4br92AdWdtGhhbHZL7BShYiNT15iXXVk6AVmQ/E8jhcme1LxfhIPK/jQTrlXz8kncuevoxaub -AE8oJojSYulY7zlqpjx1MdwOn8Jdt/GEraBhkdVuNPnegbFkhsvZIgttZFsneN8GPlutTZmno2NU -5egnakS+dqFrsVSJueKswFGoouPYC40R0Q2GGFRYkIZP/NlwLvOxi4LmlHiNDBuS6tAoCSoZ+VWw -r4pUP2Ssu32A86cv24Vvtld3JDj76pCwWHzPjw3T80nVF97JEmt7DfoElBFvb0HyyORRUrJv7ouA -gSdPKYIS+eIiDZ4w+h9tMfCchvDU+U2MaNXqDuvUc4SyBdOQmELSBjJT1q0KJzyKuyYecL/vt/+o -Zm/o2R/wDJBtFhUeasOGzjbA040Hb1AuCxrdq6dWsJR5muUp3wawXRh3qeBQbw+9WHq4isIvHp5N -SxeeuCqXkTiaGc5X4Z/wONbO21344HEj92Fczi/Zzp3RuTTy9Z6MbSthF/Ebo/4mbg+3R6xaFGQG -Hp1KaE/WyzrH7aMAgwAoapN87KnJwgbw1Gzshcw+j29xOXp1WxPmnxzbGdCMp4PebtEyh1PESmzK -behkqddm+HHQoGTsoiz6M8ISJK+4EcOr7j5qqbhEHU+il6QuIlR5VKRI1dpzG2KN55Tsu7Xq/eK0 -o56bJamf62+x5RowKf/Iy3znkZQngqn+PnaSvmhS4wp3Uy30xVKH1WhKVQ296sMjY/e+mFChnKNo -upe5bgAJcrBnyql4usKOS09NJFvaJhYa/4P7r5N/2IgT6KaP//GgbyQHxTnjRCX5ubY/pP09FnQZ -bGyl0pCYHSbnth56XkaEijzB2ZcBj0XDQ8H23xdE1S23KZndrzkgvT+V2JMmoJ9Ww21lhi8ONJee -AzRRY+89JkzXhrVYNFJ48v0KZxHKRu6PPQzjM03Q0+aJZLmOPo4Y4fawQHXsXQAd74IYEgBCiZ/4 -T3ijlOrHmnyEH+zMfamz3ZGumpa+OVNo3bL4RlDInD5gyZM5U8iA+Hw5njkUFWYBuh4SEETGeoVj -lfD97O6A/5q5kJvKAh102tqzH1YKtu32KopGlH3uw1Kg5w+U2oDKmxwcavacDxVCTfa90gsSx32H -diLHw81kzkw9W+RSJhQGtgwvkv3HYSJXkwx9MaqLyHFsvOr4eqRSVzHI+5nGf8IrPX4NW8G+CTY1 -+blo0QGot7cakinj9wrSKVkealZhk9KQ2H+epkL5rUrssTr+hcZQDCXErNyIENirYnfWsl6p3NCF -SDH5wt+NhRa8CaD8uquY+gVy32UFvG+gDQMjCLn3y8wy9A59D1y7xqnnSZtWIfC9sX8xl4XSEDYo -/MT4JM6cI232AlvfD9OdqcFyGcQyVV11WlQQ5g7Xe7QEmrmE1JWqF7kIxrT4uqv3BVQhn8mxlnvq -6rCPh/knljR7kQSOndaT6WfjWCSVM1NEcABN+3sJfgAKqz8OPYNtsgTxogGH6CzjKqv/bAyAH8QI -twIZ2cghu1W/ZMJ9l+tmS2ntdfEsK8s/fsSCHF2gciEBOPWajkNPzKYVZlTWtJ/gzeoD7cXa8666 -MsUj4go7qndfWBOGObIU8FnaOEYWaQSJ+uH5WgPGuQztlgbTx8TOiSNQYOSnXYPVWvT3yZzNN5gF -oNSZcZzn8jd6Cj35K3JtENBvJbpzm5pWDwQ0rRFOo1FzNd+zCtAKn9lb5lKqCvsnbdfXhX84bNCw -Jjwn4/Ha1kU85CTXsYwwg7D7EIqdcQzVUIqOQRzMtBa50Hj/ILhZWkFnhrIKwtMxoFtCqBNuBAXm -R6g9SSzpNFBxhHG2QEaABve7W8OAD9j2VuwfS3HVwHYYu3oLTng5pTkZwm25tEahmJpt2bYQvoBG -VPg6I+TGmQRrgNz1ehKudxXZscX42NPYNiJmEO/I07upkJ6ubmjgpaFrislQPeszREACyhlnYTUK -sTmra2Se0fqvMwyKYncJIyRlsinvrSHGqkZyTjdZN8YMm1sZBmpZu/IY+vtiUxnLoFXdhB+i+EEH -P0JJ6BX6RKfGqo93GYHfpt0Qo6iHsQPgL8nOmCWsfJZC791XhxQMhGVKyDqX+0DuTwQX2C7k7jr8 -C3OCvCe1/3LblzjlfG9hAH/vvw3FO/oiTV0rRMQpFDs3yrpfkKgZDW4FaoLHjE13Du6OL2bkw2Qn -fj5aLXl4enawL6QSx3LPERjpfQnkzE0RjVd/vQ2Yhw8n5fZvHuwMCT5QJsbSD2TN8G2Vx4DEIhR5 -FMGCnEkJyGg/UIYld7AyjyJxk9//8S84tzCRM6TGLHqTNBsJ7vwG67cZsQ62n9IdU6MHv/iZfdB6 -KCZIWckN1Sk72vmLeSi03OhtRbYGqNw1Oy7pcfekecytQb9Jmh9vU3IoAe7mDDj1CechOvCGxwQa -IESzwLZsFXal0cdW65U8DvzOijQuy0NY9fIEZowUkXsemjSgylUlJruQ/sENLSZAJoiY8G0yq274 -oAVABRSGkoGwYWtMyH9XgYuou+/cvI65j9h3x4HHEMAPBeocQVybDDoSndNq5tsXAW3bBEKkQMCt -cZnMR/R9mj9Ma0A8A0+2FYENtbBra2QiTawtzlV8ngpblbyLBjXHe9woggvx0RQgsISKxTBAsy6i -GQ+/KAzBHFeRuqcVSL4gx2FTz4NFZRYKU4IPcq/gsrfJj9bPkGP7HGoMMidEhKq+oGBGu8DO3C3v -ad6sEUeDS5vL/AafKcEVgAN8TgVQKEZQOv8chqNCtcMnQLP10mq26+90TCai5ffEccgfs2FM8hsQ -rYtU9RWcAaJ0Wmp7vUJ48jvU1IfRaw21lk4bZ1wp0w5Ge0byqvMATBwONbllUDvNkCSvA0PCZ3fl -2E9Jnrgehk8031w8s7UZduemnS0WmcaGezjtrFLi/mK++SrhIMPRvpZMQxEoniCbMnog1CTTe0qH -tTxxrLDW6ek57VwJ3d/fpA6kRqIimVZkv3UVHTNN20DKIed+B4kkzolLX/0D7pPSOndMjo9DpMmo -epMUuOoREaw8IA4hC2HPpIIDVJDczA037OAxdrs/kuhJuYBtPemM126qecwCmmJhcnHLhsGI9Zs8 -0WlsbmutDI5XCYklkTMgwK7HW7xKUeIINT49H/eUD4ld45H5EaNFtEp1H/4GOidtdqoQT8OP/Yvg -NQBDVeD8nyr5/xazknlUxCR5Q6GDIwQxBFxl0/XcEy6oHYCwNeVYMLyCoNQ6dctNhhB0Hrspb919 -pp1gmmK+yA6/EfUxUhDVIylBMkeFPK35H2V7N6ig9IhlZ9HLLVB2pI6ynERMbDd4sIf4AEkC+HZl -cO6LWr4/r1JnClX6TDOlQdCdccdiaK9ipWssWIpy3bhYphPsZ+mw6eRKtvA081sRj5llUnl9v7ge -TDpT3kAOd9kG16XYjtP41IaMRX+Xp+ACI2Jbd7fxc1eEfJ50n+wjwCGC69ZbEHFPiqTuncsF83Et -7nchnEXJ/LAKIJmrqrZSN3XyrZ+egQKj79we+oFyyeCUzW0rqeI+eea8uXQE8M8WVLMWr8T3vTql -o1eS3RhAB63zRy58Sy4t02Ykk28F07pkPtbYRUiqxd1e4p/QxOYYUHf5BYd+kImAgKZO3c3SOsFy -LFAAQC5On8onEQ7flpy2uxxZfSyHQTNXzP2Beg3rbgzbfZWSD8oCATHMWl/zXUkGgtIwMwQ0TNbf -MsyqrE7nXiIEXn8ShXnJCmAtsUdn4x6VPRQLztphFHxrXn3ktYI96Q8Vp8cE3uI3EclF6xAU3X26 -Td4OL7jLlUU450SbXS1uR9AmbkDhKfjqWZaH8d6UCFP6Htif8OIzx672pnIsAPjL9gLFmVGSpaFV -1oG73LnR4+0JrcDZnS0/CUOwOhbu/lRy5FDiFizcuV3giKifLh7pU1FjZmxAvSZwH/OSt0C3jD4v -vinvn6V7TMeV+2JRMFc1U5JUdSA3uNzN00uVrMMKFv3YNlyqBVxSgGWr9NNoEihD++lWVBj31OE8 -FfD6qndr25h5L4XcrtLErPO2I5OoXAq3q/dMv1zIsph7vZTrlZqG8X7et557h4c9k6421sZ95vLN -/QN/UIFmgPlb1lqYrxPKa0mVvj0ZDSxaDDQD6aHwztEwoGjFB1seYM2Eaw5BuBqfx0McgtCzWJPs -vP67HDeeUrjKwj1dZImfJHx1taknJS/lchttWAJUDBWhn5CyyDogabPU0VVTBtp73dkcwv79exWH -QlcGFj/RPQ/S7H+4f3v1teSzv0pHLI5VwVmX224ixmyAYqtfGa69AjsAkTf+/VcsLd77hoLMNwEO -3PlYb0dcezpK6ABwlEka5C01vQCPQ6YSc4dx5kJwhcckD809+utPcpuJ5NBy9p5ldFQEwHHz49/l -eBO3vJ0Zq2n6hlkuWTi2svXM7QCCR7F7fQKXQAjzgvlpvhv+4ZbFUbnmjo6pHfpkgfKJq7uHBkAA -NjjxAsYtYx6wuI5IB8h3M2GYiLwbAqW6q1/8AUeEC+HnXkt2gjpCfc14aYiOoJVfNGGTCo1T6uNA -AM0gYt/fNyLjETnxqJfJPKC0aRY57JY8yK/PHOAVIojqfLyn3hLN2hzoVCE0/4sJCp4Dr0d8MQt+ -2SEB1G1a5d/vPBr5qlycA5W6/v2+do84sHWCGKQ0qMeMRP7xB+2c/ONIEsOTA24QkX9kJOHtJPeB -CbgT1FvX7Fehol9WA5kPW9b2UxkFKz4w77eiBkLYz5D2vxu9cj1gMk49TyhZMhocGzJ9B3pl4ekJ -BO1RjRSm6zxDUzAcfF6gSRmj3j7fiiXQM9gYgc0qXB/voFWGSIIaza5hACEv2+pYpoMPuK1fvddc -ZinmUIBn7hUseTA95d1gFZCgW93qNutanBi3uo0tdGpctFLGH4+xLz+k96afMSqMabUjoPubfgzp -TiZQXhPmCwGz0GK6TC+Tjb3L2Zu0KlQ4ZgAsVXx2u+JZ0Eb5eaOROGBfK6Rpeu74leXFRoodIvL3 -fkSj9WvoZrKTnncWDugFoN7uqXdriP2J+X6jxH5DHF4Wovi4J7PHlrd88oLcsXwwNq7MwuRwhYxQ -If+WDznWEYd+nqUpkJtPG8dHFEXsjjioA2+78HkirjebySRlmRyj+V65yZIX8FpAEHuxkK3B/qun -U1wpe5vj0NWEpGOYAATXTRpY3p0LREBD6nXfAsAlhUZ0cEvRXkP7gF0jStYT2FL2whRWng2r/uAB -P2ZPK/+/U8eEj+9h1tBBixp1XZzkiUsEkXn5huOvePdzrbGGRnZ/MLu+kGpF0kA6JOywGy8pWvl2 -B9ViNp/mBU1sZwnTm221wa+6GJxUxXeGS58CKOc0pV2/hKo1IkQD31V0l4HGjei86RBFpnOUE8vJ -ajExRqN2HBn+bZddos9usaiXYzDIlQoDg9pMK1j098XdGAU69NZZmRm1TrR8bP2Xa4C1CIgOp3zi -hV1PPBhV+uC/DTi/56/wMIf9sVinYa8H1Vniylep75CbLnj7y+yhR4EqeGsymGZdllSg7WnRLXdU -cB0/eeWhJSQTkrwX9o6C12XdVTqY8w+PjmBgXdzN0B4Iqc8joz1cSuUA+AgEV54Hp9NFM8OnLen7 -U3xvqibjqhjMkVvVZ9mM5abSwkY4rjdsIJcjSMr7X5RLWSj4a7RbxjK1TDKhyDuH37TzuQuZDDC5 -MLDPwD1Kdvn0qm+pOXY1PYN3Mhj0Hk1Yc33FIPCNd9dVJgXJ5syTIsyydCKHp2osnAEaIZmQQWnE -2sUFButh2LtgIDsZwpNC97H50jVk986mcI4yrp+AFiXxDQTSftLSNmy3m0s5T1gYJYwzi8akORsA -Ba0JQwPXc4kEDl1EvubKTJ+WV/Obg//trKGRdIwjerZcZrHouPnaY3BXY6aggjunrjlJvo0FrkbF -JBt5tRkTs9DV8LjsBrjlBcOkHBm9KR5baiGqHzUFDpk4foVwx66TLLo20BmMkrQcHkRC2qAQIPCW -MZ9KuZ4Thm+j8CzMgDsFD09cJDRTNaMoUTo6/9/z7sq5/XFlblynlMZhSe6dX08RaSfWC0UNBK34 -y59PefRDaPbpnvsjo9w1MMpHZoh/Z6k5lUh2fNvGqostPcEA6Ut0PGYxHAHg3/GQu8NZutNtVdrK -VN9wnumJUBEjU15LarEfqz1VEBFSqt/MunJaLlqNqILT4f/fl5BkdBReklNogtjdocZ5rvNpQgO1 -kCT1d77PlXMVk9CuURGWmJux/2j3Lp+I22qDTBfksMHuD9jb9MzpGakgo144Xcicn/F7drHaYgdk -FPELiP2HPpF7fEidmYXDUBjYPqO+m1an3bLzBEAHnlNY+qQ1pS8iFgx40bqXO8sHXd1GPytxQaQ4 -TOUYMyy3LqPqYxY7q4ayQzq9Ug06tTtEjdwSTeEKgkMndqf+r6BIEBIjUUdRP8IFTPup4JxXfQeP -ObAQAZH0Mo6SpZIPYpMHeMRGhlMJymMRaQjT9Mf1OhcHRfsTupurd60zh/RgWEJjn8wEIEzkqyYu -vhoMBn+8lBz1RIwf8iaHq4JYRf5tf/45uCW6rZzQCkoVWnK+b3XYZq+/UooXBVhB2h21dYBw2Ljw -Wg464oKBj1zUafUN4f2MZV7pU8Mz7+pZx9lkk3YleeP/W6oOaNkfeCFFdSorqkiK8R+kmSfE43OA -abUlp5SDAJMjxjxdxG6ULinEO3BtRQMgL4SKMPPz9pto4WqXxhlVgBYkXq4Kp2ydO0imKB7ulevA -j3iiergklR3rYQNDDHAezgn8aFpKnGgJCEqLiF1cQ4QfQyzu3hogmRp3gWTL7QH8hnR2N4nN3jFP -gcXiViUJqx1WCT3aSfu0+sMHuB9kBm5KZm4M4+IQs/ogN5O+AosoLQoOrhSqln25cJ7iT8f9fSLQ -fD1GPot+TmiwhLIg1zWG/5FTXv8LS7xy+ul0G4yJcFn4M3jNWYvJWO0olK2lP1TBFEiIbEnpOWpy -QGgMxPlBf5EjL1UmI5oia1EB9mL8nBiCv/r70/zZFGFC9kaTSG1fD1fRGcr9vrdOwDllHJASw4Az -fgKXzX7G6DNvTM1emgWt6tQPJ1z9RfmBuwHoScgFbIpC0QNfxxPYoothlNFRCJghKQvfl8Xe4fRn -MpRoqGDhHlYQfypi6SB/B6z/+Bc5AwS45QJKk6XtOWbHyGkvbnQF5cWebgQeRlArcc/1yM+6wd20 -zCSGFq87gkUNSVfGzdnVnNV+HflQdart1E0X6ut8kJgNfLL2DFwcJsjNvvlZhAXZ016MhknYws3w -GnhsoTcwVIknzhdQZ3Rzng8UPEO0+r+SI2ihQCjz8owJnEYGtg6+G6B6GXtStyuMcO1kZIY/RW6y -I/1eRXmSxsL8KTpezNC6bmNF4A6aEoHxaM28kjL+EIYPpDmHkPeALI0L+1ub+90XRq/7yGU2wGto -NhvtEpmZVIT0X99MlbMty2eqHIGYPNnbY0VJgdgZPK4XOTtevYaBCvE0ZTBKCK3Ufpc0egoIIGLr -WLhwIW3FwpiZXerVsuNasR6x1eKwNPlC83tX6fsOu0MDG9hRiz16pZmsmyc+05M/Ts1sgMC2XEWZ -4iYQdUfc7zXQqNwukdXVxHRhjdW5wNj/+WzErtWLIYYNmnYyTSp2ZlEunu0Q0p0rPrle592RXfsU -ErRLjqUHlx0DPABAk8SH/zuYibD8wD75YHnWZ/YYbP6ysAtLEb77rVWcVvsk0b4OveskB2r/Lzf6 -VOIkpoYi/iJRKXPTtPlHkM5MJadt5Scf3j057vGYaTzt+cuSWQTcUPnK67qL84SeabzpZPYRxUPF -dnGYefsIEEwtYiaLCyuJjNHrZg0+IxzCh8RUnAs2fNs/aqJZwPEsmkty8HUpJebZd92T9XV4/HvN -K4pg5eyzCOseOId7C7aC+RKL+wIMzu1Sq9B/gUAyn06C/bFX9dSn7b8RyGu2Z5OvJK8lzirTo7iF -DSDk6oYdoAjc1wGvkUP998yZYYR4EPnjnJnvXKspGAvsAHXN2+CccZ4vhgejVSRC0d6g4+Nfqluj -fjGxhVQ0Cybat220Abcg3S1qvpT6bSq2XOMIzmB+RAfvDwu8GiGbGQjdcj+vc3qa7rRNlTU74Nvd -KnQvNgkb/WEsAlb2qFj6pdRlfI7MIrTlNoMkW+TZhjWDDLdIbBCu/IRBfFJqywtHm/p7Vu2eEHgt -O1g3ON7ls1Ixhj1bqpeNnMErnyz7CuGYv266ivKnsJpX8yqMgk7Z9cvf7jen+gnVJU9NJSb8tUfu -X96fgWTMG0RImGWmfBIvnmqEooUcO6VpcWd+D6i9unzIMiGC2451CTfj9NELcqMmteWHxUCPlYt8 -VeG6ZeC6nVFLao4mONW6Y/MOlDHtDi9CGKb/DwF5yBEgZ9K77VV4+jYD1YIs3WRjYMDH+CrooJz7 -eb6S9eEEXY+3mzohr5+N7JSCaiKvJ7YizOTSHd7kutfMov2+Ha00MYcrf0wEcWJzXwMEAMT1GJOB -3Z52LWSbtzverwbcn3dpSI01mTTV/qAVELJFS86LOubz5HHYzYOJnEWNPKF+EeJEN1Ok+lQLPy1B -eqfvovxZlWr7Kb7ZfytA+5EB5QWOOUxNrH3MBmodAYPkYJZGtdcTe5Ftrp6mAECUJEIlrrY5sO8D -HhKrFBbXiokW8Cwcxr1IG22+aX7EX4DKzUi1vkV99N0ar78ECGi5XtZTiUJvlER7K3aciP0MCPtr -2fb++c64dWh0CEmevsjk6Vy1EluCzVUDa+n5LbXYE9BV+N/BHVB5W198Vz0+EQu7QvyeSIo9INWu -2eLu1Wc4BZ2ukbwolsuUftpb+NuqX7TnXxocCNGwfYquf22u9xAb+fbtroNEFZq1iKsLc/rLCO06 -VoNAsx/qeGibozPSqLo0YILVB5hB87CH11yn69os/RzlzU0Z8BO3hZRiTggoYYsgboKypP6R18A/ -rMnZXKIr1crUE7pH6kTkzfYGZ5RhD/PS2jfLDS0XKnkfzR+pmAx5PlstgLSNTGpihWYF01cxT9Rb -sIDYnge85eeGrcsgdC0pwMAt3cuRyR+h1XI27vQGTeR1Ib0tdNnOwB+js24GFGZHcDvZ7yp396W2 -qVNFLBxtYJJ0ZJ6j51nWxjRSyeZPGnuiIievFWHjOi22V2svaIgPw53GbeZwQKDSRgcMAoosHZmU -epnlTdW3MDgOrIYTXqg8cb3OPG3A23DEndDTdWfCqBQM+Gsku7NKDcjvC5CUElGGtbeHXs3+rTq5 -j2tcyKDFnJ0U6a5wcvm6FId11+re7qunr86s/W5nlJHXglT4UBmWLF6XoBRdNp1lvWMVczS4ZB9B -9u42QTGA+s8+TB7ECMe/lppyMtwn7HUxr9wAf8dINrSEDy8b5HBqo+XYdnH0jYwuEmdi3yzQZdq3 -t/qrlvojzfxL5KMDxu45BhxgXaueZDO9diH/O6P5vbjX0LEaLpWq4ExJVSa8xvYxe4viuziLfSXg -SRpoR9wsDfKjwkeqUnPc2Nx1mqPFuANug2ND8y5RsPwCn++F2n+L0M+WTsJb4AsPXA6cp+fyEElG -3RdQ83ikqTbvkxjyfbHI+aGrdGvvcc2d0M0AL3i8O2imbE34FELLMagle8FTOXZEYLsZnKUCDeqb -Pki4rYW8FPjWWXUcZFvwCirUJuDBTXMCxDq8JeC2QzNQXV8PoSzZTTkr4UlzUXfdc4zxtXNO60zW -CASvstEKkfJz7GQFYVBd7TG+eE/EyK8+dk9ix+ExZhvKzOmG8Wh9xw7aRSst4qozFa06Mkg7pOxj -uhP7mTIW+So6V2vIW55zBrv7VbYcO7bvwjEhm+TJVCsvENZD4tPMwP2WLe3kx4fAl+ecy9lbAel5 -7PERA1PLgtG1+aehhG1doCIYzVniRHfviMkBdtG9lHbM5MWNgsQ/o0CS5xvczY3j53zFSSnv40KZ -db8ValLwNubRY7eRoP7LTjSgIVFCFWjQDYGkCFTDMWVWFKLGROfQx9NxyO8tZKjxk9KfbVQhPAv/ -oN/Sm3ql2U1kx4F/Mfp253QL43UIl+5D8wuaiWjFnpouL00921GAPtxHAG6dDT1S2XRbouIGQBMS -SCNQ4rVJooRgMvBLCIC96scTw/gTWrkB+QEMYRdN6oQzxuYfZ4st7cuyHYdGcka7gnveyNakq5UV -l+4v6UfinJdoVmnrWSlf21Y0Q1zwO4F7MSYhqgZOgynRqBWAE8Uz32ZD+zeXS7k5ZgI31F5OB2Xr -C6Waq9Lmk1erTNJN+iMKI8jEaC8cv/PDL2GjtQVq41r8cWZJK7BnfWSgg8x9kh+3QrNBf2SyySoe -vsn0PGRoa4oB0x5Zu+u8UcZY+sGKRNQBQTJRQOoBXg5PYbOdqRnR4e5mQfnHCJtf0+Uwx5nuHLme -L1e6OZm5mgkj904Pzap0ScojSnC25MAuaReZ1hAG9RaqGH8NX6XVq0XH1Bdt3/aKAvPuge/PqIQo -6bszKf8bomtxDtdEaA5L3IigTcIqC7OpaNJE/LPDEwvcjLqQrfDc7YH9OlE33cilXZ/8Nqmhmqiq -vks22oUCOgDz8zMkYcPF9E2fGINv/6NszFEnSaKg24m+ZxZja5Q5hLussDB9RLkaZUOxrZvrylzv -G+0zR73449HOxlhpwEhdkeTu/nkdjBV033GYZXk9E320uROwYQpx6A1BgmQDPhiylLq79gchPGzT -wmfp9ZybrLTNQoiyl9tBFjxuM83m81vklVnX4sJ3H/afSYEdEHEl5ZeOUJ9eWpAGDLa/LiQgr8+o -uk3wPLBkkSF9cY6Ga2dAKeWdo2gkuqT9n3HbOiENR+6wJzCplwoe6K8kD7Hn8oeRVKiUn6tMwB08 -hwY1qU0SXXzybfDK87aM5i0ATdcziz7JCUR3yHFy1fMP4ox4Xrs8qBBuSYq+Ng1eAElhFq8dPSv6 -FzFsG0+/c/dVQjiB03+3dzv/e+O2pwXF1TWEBXboQfuvomO3O0YKfmVWUSGKhvlUdVVcZXitFDa1 -ampINIYN023ljHg4On3KfFT93lsLR2aIzSYMqyKTkIQLcDkSM3bCnVYEDk3x3ng3sMvvthgYSKyq -YVFTek7ASPpgVWwAsn9WQmtsQllHD80JNR5Y/jpUBA1jw+BLE6KhcA2Za3160sfWNBHYBeY4UywQ -Qjj5shbiv4XMW/Y9fv5T63frfIy/0e8CxHqqFYDQyZewlfTYG1/G4OahN91mqN4TVE5aDwvGLjy+ -CodmKhw24VzjECfqZMgy3aUvmzDDYAFITbpnjW0vVZSzoxmDT2ltt6ukPBjTqW/tT2z+I8RR9rlU -K1RRO01gsi5mOFnIiCIyFfdiweK+lmEqYHDzVtDCmlPO/DBn1Xcm01GMySv0SwCBmhS7QtPTOb/6 -phQHZEySnIvbsTgagt08EcM8BWZAGAcNSnne8gbrVsSMFE32ZjdN/o8mQnCBTpggAD1rs+x4p6Ty -y0vMiqanaj5y9JqIIJDJqdEk8pUK4iUNsTT5B3FRVmr9ThVlJWKmdmLY+mLpl7G3NDYsIzJO+sPw -PVal+JLXjZvmMiuIScOI9dKGc/hQigh2atHksdYh4mm328pgAMYP7wo3FMpjpdbBQwD5n363Feys -F/S3ToSI8O5ADfVXmh4mKH7vDspqDhhgi75XsmdSFMKZdDy3vh4iVNVukVInhq7ZtUjtt4X4h6y/ -ZjdNPxoDvfQuQfAPnJeoWgOF9RBVvQmKmYOQnd6cFb+ntZ2zm22nVvgRH3H18Gs71oyUe9rwqTjP -WoHiDEtdx9PtwhQY0dtEQzQ3fL0+mzeqYq1N+1wOQn+n0LMxXfOl2WGiM271p3CAEP9hD7UZzm8L -l70rOytC7eaX7L+H1Do9vGLNiAtoO76JgCWy7iNPIAiQl3WJYPXmuyj91bii6RbjUKP1eN/l9VjW -/kI3HyWBuWWecXic77ZSFjx8R4TOtImCtoXUDIy0DniuJp7BbQ0DYvYZo+nl9ZfvIiajivA2I0SJ -PibunxeJyZEJFqqEQPYrfX9kl83iiDrj0G+oXFLOZ7Ml3hVxu30lb7X+IEIV2ZQQd0zeJ3SYjFWu -VM2sZDBo342DJtv816MCeu0QZ2YWs0plDOQER8/D5+JX2Qe+b5LCpFS+uk9sHZIwpoJ0SZCBTwOk -vBqjlo6SPs/s7xIyPh4+ZW1Bz6nBqiNf9E8IsKTmC9TKrVniz5sb+bO2Xv7Hk1pScsA/d/FxmVq2 -rl+VbRICvFkqVMPAZp9fgDjnFJHIyZ+aNv8PbR/8X43+tSasL1azp5Qqrme0xeh0vzCGTGKgCxw9 -BwTDQ++XON305/iYmdIe1ZD0JXxd517Vra4OSFH+W2jybra7rBQxE4YbfELevZ8FFM/DIOUftYoK -GBlmRoPZnDB0jJEd3IBLqW2xp8Fbe4dY2NW30YBiaIfLz6Kagp4b3eJWmurQbrCkI4QQh4tdfcTF -KYY+wHf2fT1yjUAXUI681z+aLB9vnTSrOWk0GoldDwv9oz66zaQUQRH6vfNAtq8rSm08/1wQQZW+ -Be2XzkypVBRrgtIQLYlanziCeqREdO3WVieQPd3paYjcgKyT96FdGL2p8Rgke4gN7afUWbk0bOQn -/aY2vK3rIv2ks2UPKluep+7UaiuaSBl2Qp2RsQloj3bHTT3r4ve2VUZJ/Pt9+FKTCFWS+ceJNBJe -+jluQPQseHjmHwRYaR/SlcWvlYU9tZfgVCRoY+AM7BSfAh8ODCPSzZW6FyEFE3QnJDybDrYm2VYw -zR8wWYaw/fn3FzwEwWXmcA5PKFnzYTsTuOxoB4w41oq4r+nZmE0/dinel/PvJzoVTJ1RFA2kRrCK -A3WxcnLPSZN1EDiC6n6Gh5mjf0en+7s7OcIm4zVsgCV/nnKOaLe41X9eE8HKytaZ0jcQJ8BlA2CS -TyWkrHtcDJIuBaOdIEOHzOB8UnZ9pcpz+OuZpfwVTPk6WYLmPsEWKEnpbwwp4jPQt1Z30yzhXBIy -qm+P6CKEO5oW4II2F5/k+bmW2gKvfQtDI6gZQBQyssGVXVPfO+21uNk5zYbXQsk+3a+n7HPZGQ+H -cirASrOHSBLn549Fr/tBEwzRXJjAuMLLd9wkW3iz/orA0AvsI2dhUiy9xS5y4oDftZYK9LxMfirJ -JGqz27WESIQmq8VVcaRvEd4MhQaboOyJbSrjOaSSx+PD1+AR35V1FJA9wG7nB4DytIXMFYlA278G -EM+MfuJPA+qm8LaqV5UJ1qe45xm3oklKabcCbwGGLpTrO/EnTYaV4pGTAIjGxuocUDmTzYCznzLZ -/aSD7gi+fHHcQaryBc93dtPLJMT2moPDv6qHm30YWNKTx5BfIyDph616jHDwWybZKsHCYFYErSrW -vl3XY050GOr7dR7aFD8KoABABbAp1gMZItRZsoGVAYTdOXe5bXjXry9mz3DxTI4f70qgBXUinYkf -d8LVKRcPyF1wWnERVoxl8aFbq8Iml8Dn3DUoeXqVns/hyyF78KgOzyODEIoYnuYxzbWzxIiPnl7g -IL+usDYfvx+gUFuRwm5ZA8lxXgqWJ4B703ZBPZuG27pWr6HF4FBJkCrwZGUQMSMeR4Hy0A0hJZyn -CiakKgPPFIFl8uWgLEwR+g1Oikd3mMSqzKRSe3U1BDO7c7ddF7PAZTbquBv72On/7K4N8UXmZi5j -KKFOTrhl4VLIl+9qcdWF/62+LKK/rfSbdiBiyHDc7430rZeVyn7Klhcdqlg5KrczF7Ezw2EyflO/ -+44sQoi6mOGmcqoS5KBiD1mPwfbfvWewFhh8tYX+gU6tbNjg42OTXfkVVNOoh1OIrNEvfWvEty6U -rHAvPRLh6q9upLfEI03TBXMTZHAyjHxjo1JboqN8zFlZMXkc7JB+kB0b44664VbKz2NqZeE3l9dn -wurc+wz4eRGGchFrQ1api2qxBDqbM1BdA8zddKSCo0quMtRfRimKSCY50s6t0QkMa8xa/+k+IE7t -TI3C3z2VDfYeIl2/1mHmK7YiHgjwUqc5K5bm71f/QJRQPj//N7F+Fz2iFMgYWsde2C0RE0QNnJXP -cfWK9Fb5+Wgt9IJb2AuBhhmr/WE0PVDOT704yhN5I1QDH3lWptZ5hdp+OYfHDFJ5UEjLALTv90UZ -eVo1pA2/XkKvKN0swe61G9T6J9Eg2smie58rYex34zNhSMoxN4ZArpopatsyDUbcp39pkvJqzEXU -jVqr2w8YXCEs+mSMd4slAgmIABFN33tR6f4sZyytwcs7NGtywuluIzRpo044bGMgN9PhksQ/mYZw -U5CxRUXA +jzCLNL97XuJ7MriWXNsampF4CWXIFin/J+1ZjneNypi7rrK9X/XBRbHHOAkrFtbl7yo6Wk4r6rqD +bcZZuBq/js1hojFftS1eVe77qXwHbSz9P2uN8+JgzdJRfRV8RbcWRaTG41eKsBQR/buIsZkfFqsA +Jk6+pXdmFY0hJnaZqOTABtDtleNQPpsVyOo1O4XOPDz2s93oyTynF5DLvg0oLmMRZ6vgz3pH7KmA +Ta1jQj0Y31Bkjkgq+2OtVVmerYW1dQCs+R+66r70gI3dS3n1GEL2znffEficv9lU1jdwPOatHxaj +ge4LC8hVzmr7OLiJduF6X72+hDvTQkrJgS6NSexeBxE3DBzUnNXCOuDn01ZaHwyRpcsLa8nRwm4U +1g1XGIXiaFeHWdKMh5BtpCoJIzqkIZbzZSPXIQQrKOS+RGjuoebOYh5OXsjX2fbJAcZaRBkpTMcW +vJTcLuACI9FcKsKCa2i1t4AzbN4rUozOIsVIlgJMr830Zy0p+0Wl9sMNAHnd5Bb5V4vvS3gnDbVq +9I9cjqEwtKbJ9tvB+kDUrKg/JEIjL6hNmUkTUh4KA2/+G2S8MkDeW1b8RFjJ1XT+g6a1OH/WzyDm +oON01ehskG0lkmDfXtEOgtR5XsLU4UVq8yl9F2uS1WbFsPa1xhEg2TJK9dKGsVaXPZwSJlm3bHeh +x73AnRxUXKrsFDN4IcF19wpvISYjnEWgCrYEoC6uEWet1QSIs/yScU2K2gLvZ8frLs2U7RbT+CTS +7iW+K3Y4zNqUxVX48CxIc2Fg2RXQDQUkz2Ba6URVU/k9MfczW2zcnO1f7Xr2ReaFc/Jz++gFTrPE +xcabz1+7e4JWkDuqOhgaOMoqegGjiq6xv7Jkl4faJAHwHnMmPUJVeSPZERlQ+we6MKqgvNSogD62 +9e6Lmo7GjYqw9wr6Wek+9IGFnIw5ksoi7fBY7wFhYMPdzfih+v0EKV22XX0xN8rFAMR+i+CRb2TR +0zrG9wbLvmvGyJVNQIeNfFq6fvFF2u14huD3z/zkmKOE54iBlqErTEOSU19ZriD+Q3Djq1UHVAxs +d/KpksfgrIOSM8oyCl0tDPdk9eQrL0ZLaaqjs4fv/1+Iaz46bc8UZ2ZXqkeKI8y0pAnqF9Us8y8f +McisPcAfIT/MFXAUCaPjC4TE/2Uncww6O+ZBqQDPXEfPz++CZIpNOeJqviNZwXRhdLCHZNS2Mnod +LTVfqToc3kADDBxWhYzydMHYwZazYir4+Yvp3zluEBV0C2FzdzjXSboD1X2xmU5TOGi8J1XqZ/Hp +NrxyWlgqffeMRzvXylEhsAQB2NQf0OgsOqmTLYOzrLKpoaz1KRHJPwpgi8K/nFUIN+cMtuJ6BwKy +d1hiDiOGues4/+bWhTYC5Mo9SLA47vRbz7ElkAwMQlYR0WIBgLokUrEPItdlyom17laEZnHYWLL/ +Zhx+Ng/h4+OCR+biDH8jOhvZqVBFgjJsNVryhlEqXW/iZcbs0TZYEGPPrBMS5IJIazwr1PljISvS +rONPNGK85E30PJC4G/dXC+cnxmbawub+Downvf7knpMCd2G691rJTiiL25P+J4VVXZlp9B3RYz8Q +8jwmjJYCY8PWucXLeh4I92TTyKPiRtKYIow+bkymAVZ6gM3jcO0VCdxxD6EqpsHUcVFh9SL//a3z +eZh9kEw9BGOXNWwvgdKlWZA7OwF69RjAVND77keKARHDfMWIzqizHeFe1Tz09YHk8OzLUbl35lSH +XVQILMPFU7HsnRHOYAZXEFl95qYpgA+9jfGPZt6FIgx4BeU1DLUYN0zDfqrZjzPPjuxCr4xqwmK1 +Ct30jA2CeJv260Jq+ULh+qhoNLDuq8TyqVnalC33wJnzIQIkb6TCCCoPslil9fHV/ddWllgSUe6B +n87bzS37aMO1dGaDD7mTFKD9e9WU40k1BWVXO+7GyvHn5ykdIIi36TRmv9c8Hg5vcOct37t0euMn +9bEiFgIbiY0jH7ZezMdNHJXOxrcMPMren14XD9K5sGu9CV0Nz76KfIElWb5FAgxbkB11PH062xq4 +6qJHtibh2+3TZ0Ty0rCOrWgflx1gBTrd4utAGRygqgOVMpSRLnp6leI0rdRCHshIUZnen6NLZQYh +9WqhYhWhN+boLcU6a8RR3BPWBf1KE1Q6KgvfLqJ/M2G80v6Y5la5bOyuAUVargpdEB6Gdm/B0hbN +oUMkCMOlsaPlfbu1p7ApHViSheWJ4rhN4FdZ9Yp9aVKSq037kVt2yVTaJADb0qNNkiQV2Hy4wBH0 +w7BFDcJTJmGd8+edUc1U8wuy2yrcS04MRDcTb8+TKcrU8hHHJkuJ0MFM/NDVsqzASFQ3PcMWgjx4 +CoPnRLq2lt6ZVm45IrHuZhQA3vM/OQaxWCQ8iyDGwkGazAZ+yPCepwOzSrpE12/4yp9dcEKX7b8w +S1UgD5Q3zGEZ51bQPbJSFErr5WdEjSL67KlcaIVj5TEKvVNd5GV6QucxDHsc7WErwJ6RWycyO+hy +ZRwPamJJtA+MykVmSFLRnOnLpAQ3s7A7lPItbgjQbvSFGraDULQQwZ+ZkbXa5/DTkam+hRIDub3L +kmhNoZFQb6z9wCEiv2J/s+zW7Sx9PedJNUrJDfj9lvll+UIHd9I7qaCaqqQ06DumubekdvhPYB+D +qwnP5malN/h0ORfzSJh3JFJlaQdGciydOLqibfE/JF7oVFJpy2jJSdNgbII9AOq9dliSFtezOqtu +XuOQ2fEsJI6XDhcm54G+C3NJmEB6ir5xu9res4zZWt2CFlbCjUr7lXq02rxo64lS7XT+2bpYTqkq +ecWZ8YDDJMGLJcd3tNBRrmXW8h0LDA152GufKrG9yTEqFDgT6qyQjmJkKjkpdaw10FbXE+903KLN +uWsEVfR7/FTvIFE2HH9Xryzenhplsr2XGisPYZNcEfHRKb3ylxS2taRsPrSxhOCEImQJjfTW1Y29 +J6RBXk5p+rxSiehwToM30SDmSUqJmCjzjcBuM0T+v75XofaXpMjFrVtH8McaP+12QxvqEIyIk50e +NEXK0mZIH5Go5R1f0ye3TiXo0UDC7NlDqzU4ZrIXFiVzd8cdaDywNeDOioZl7arFgroizZvsVglJ +tjGUaVpLKWzXYUZWIDzGwfzBjwgAxFWPWKirut4GYfbdhaWkpJ3yvydPyW5MP7dQuoarcLwuFxLI +oo97Y6JLYKxWiIYpl6yyo/8DDR5cpQf/NVG5NqHM3aTe4GoxRmWaUNH2YEQmGeyn8JGUjFGHTlWM +fqoJlYcfX3FPG6ms7+CLJ/uvbfbOJ/FV9yLBtVUVC92WZl+l18bHBDX7KUVY0KtbjQfJSMSzf29L ++LBciyNTX65DMLX0P3tWHYXbYbvbIaPuKxSfBbGEntXN5yNwxVJpfTSfEdlJvV8zycf7WHmIqHqD +BZbod9xZhm3kQa6vCJIJRFHmm3DU17AnY+N5jfgjOQ2EYbr/+CIWYWISFQTFX1BfsM1tmyVUFOVs +djgx94IE7I2G2P8JwxSJaF+Q7kx8BlARfAcPKNlkYxM1aSjChDbWxgj6lDSZcEdyZq5y506sC1LY +OVI0tixPoXLmxUfDKzQH9SMJOMTXO7VTl2PjU/sn3Bx5bioiD9HObnAnXkla/+UbwZaqogO8WvId +sYy6yN2K/uMMK8850W5RPu/RYD3gSlatfwclkQ5YbRTcjc07HCxLIdudiTLMnAE5v2vvFEqMMo6E +Gzv46Y76Q5S/ptIjMFa3UVeTqDQYEVlzr++a0Euhzex6UiFNUMaf8kN/q4USbZAhLE+hti+T35fz +npBX1DS+9V+PamPi0oqBUqff0zSWllWfPy0QYGN/1Uxg7/suv+KxTE7NUgord6/0pc75bg7M4YM9 +csRRcINB9zlXHkmezBQWeECE+rPCIOGd+XqcbvENjEE3QsGmBkL2YDcWMEVaoCsakWTGukLSynob +6gMz6qUX1oZ96p9VQGwyDxYfHCfRZeoy/bLg+a+yY8OqFVS3JLpt4oVTy4MsMOGlqq8b5phYZ3Ui +DmaOs9FgqqZseTCkfBQpJgpJiWBNh9c74HXnn/6N+3Jm56u5DBIqT3AXeclPKA8rZASO1FouN8xb +MEFqrJhkteYY7o2OQOyO4OqWsClUwq0rsPoTBNQknvYQvm3cweGxrHql1cbWcbVPXmeM+URHXyWX +BY3xz7O2CyOTsHKLj98f6eXb4RV8KEt/Jp5bOv5MZMV+uX2X8yiC3RhpwKsW/FCljdug4bufW1je +/Uk6e5z+5HgjgrNnP4uBpb+Cl6mJM5QqWaUrl59C67uX24BC+qSWR8//3KU0s4xkR/AkvDGGJfp1 +TzSdl9rg/JEqKWGYm0WQ/+0xAf/3f1vnGb0S1tx+LGHW2eaGpC5NmoQQKwfW/sqwyH9STPagcuH5 +lVbeVjUWsdIkoYRdYRShiTb77mJ1CPDzeKCloJwJ76sG1JubN4m56OfSfcVpxhGIYqkEqlHNxUkt +dfk1Xov5jovPQZJL7WgXSvvX/tn+Rr/IUNfV8Vxz36fIKCXZkZqDkGctxTmrJvdmRERxDZkOcf3X +kBW8bDSME2rDd4CdHkkqSNvEmsnuK4nU8hVMdy1p0WmAGlGXWzIYNKNQRH8gPC7WbpXuKUUPMZ8H +/GoZWf4Z28C4H5XRDJQUFDKfG5veywDAKVZn1wi/RJvqHvM/XqlIAxMPn/rDFNhlG5hyWvXTXC0p +hYR/MkHciRsrjfsYU53ZsBgWD+2AtbR9eeAZITpU7uM7zJ9lfHzn6iKntW1BbC+hb/z8sX1yuSHq +YpI0V0v1TxC2NWA08RzoCRURlJ5W3hjaanY7vpwIro5nMdulu67N8wf6szvcdVUxPleY4CqxGxYp +Gda9OrBfejcWyjLJuwZprtkawcqkcfJa+DaChpNZHvbzESi2sSqWYC431l6D6PUYZOzq2d9FxsLM +wOuSoZJ1+RQjT+qAvjpoT6IAUmAF40IUZRp3u99U5Z4d18Pq7j6/sCxn3sDQQl8ptMtQ1O+ICZdX +nkLoVNaN0GnYBeyvrt1us6oosPZvVIwBugGdpdI7v21SK+o1748nchJX7JrQG7FndFh/aQq+0Eaa +uZNYlWs5PM5ZgXUGSDG6zr1Wx27yo6ai91G4bh/u1rloTnARJIyg3Xzf/JT3+6v60+9A1t1JCDmb +VzSioh0DKJ/sQ87Y6LPQuhuc12e2m7ws5kZp6R1OthswTIe1IHoEUnt743v+VZ3vFvaTvcSTLxu1 +Ss9v0ibbxo1CRQ7A/aXhJDN+/u6n1fMYkFIbhcbXkD9ti8wLBnKBZ+j9CZGT0E092iANY0Z0dK7N +pPmMwJ2IZKZBi+OYs8tfIfulU3pS4VR5Dhh+W4uhYn7GWfXJ1nTXYBr7eTQ12DDZ418XhwUD0mgG +2AlRlh3x2gbXq5xRIcC0SikVe/C2+sIpZbUf1S78xCy7J2OMcs34FJOMdq4FOvGmrqAE7yY/FbYG ++LPq1ii+BoS0qWr5zg1vMEux24fJzRmGjCrRhr+wmP6HFRikZo3w4F56txu7aMU2s4vAai2wiFxN +vkZov4GKpfHJgTXIP7k7jBU/QVvNkx6+WPwPc44S6HznDfV1tzm1sR7zoqd56+hiVPddWpXg09Kz +SMmmCBk6LLrYScefO6dhiljNWwbcBGTSsR7yNZlytCWeTMPFF8ooU8XnPt+HCjlNBg6Op2Qt956V +PtOirF89GhhMksNaAdjz1u+yoEvtGIkQSdUJ3TTrUXNLtvoR/SdFaQdFmSzTQmv3BzECwwxViDvT +CCn3fx13OPv1nUMPxms5fMHz458PHLiCtC0U4lyiQ7lrkV7J4ScS7h5ei35wQr4ZSnCJMkvoEXYN +dlSpMjejKDIqNg5UUwO/lplHY3dejlFW/BCiobWlyjoK6u+IFbohqwnvLKYSGP0zige+1qTkqMiB +zDIBbG/e8beEGyo91pnsf4vNWZ3uvpL7OBVwl+26IBg9exkZUZfxnRviCKTNXfXLq2Z2gn71CcG3 +lU68pzGJHWy0TZwYjon8lKkmYGPaeC5wdjwkiHPVUm/4bJb/9K539cpTHpMg01t18H4F3VOsLj0f +fAWwRVmR+8AgDPlhZYyGsawXn4cuUYgk9YSaMY5CXTebjr+7iPPvvg5Ina/QP1KOWlyfBrSzzStN +2ryokcYkiQpL8BTTIEDNLs/9CkbjJ2/ahbDGnx54KNNIJSmrTzyJf53yvr9zislRNtne6BIX9f/F +k1fVv8oXiK2UE683hPEj2wXWvKySWkBbJjb0wUsVYORDD4x/QGjS7h8XYMSLbbjfBxVQgpcwMQwc +CGU1/BHTcBDMFhChkS7GNExFXiG56HAt2x4Wjh3o54brMZFPLbnSLBtSAIxACu6da1wdHv9JxCEN +iTJQzR2+0tTFeRXNvQApdljsne5wMxd+fu6bZIlXbSMYsBN4K9OSeBUp5AaVubsljHJlel8xMJQT +pH71+s3zqoPyfUImtPZNCRuT0uX8xG4FPmXTxlrNwqyy1pe89hdwwXU0waU0NpdUS5u5M1OkFhaB +ckAbMoJoaCmk10DdmkskvlKKzgCh7u75NOsAdd6VBk4S4i6H3i88WXUVDAiaUBcQyHFwIGQGw9Od +9wIUXAXcn6nED/l0tEg6WqMw48aorvCgAE+8kyxdPmn1AbZSA7Y2w3yF0+eBTgFFhtc3fyjxDAmp +79ZGU8RgvATHsKVhXAZ4df7huyOmcY2SgiZ+TPrNOLuXSqRMSz8Yt7RTkBLKp10zLF1W2zhrLgtE +mYPWi4k7mZJkuNLV3BwUZ+QzR3Lajo36QBiKEf03zj2/x3/jkpWDS8DU9Q5uRiyAoqdL7yQY7u8+ +zwfTMo9NNzFrK43ZprEi5RNW/E9DV8AgK8sPmu0JRl3Qj9O02Hpy776+mC3uysLcKhSp/9VPv+fL +A5sbg2YkvpzyTdX3yOMOtowFj0wwncQoFLK4WwTlSaUmBhiUeFbc9BvQyynQEu/Qu0Q34XLMg/Rs +WB+ZpLSpwS3VSQdo9lqdpB63FVRH5CaR0a/x/w8nMJ05emsUwbonUFzsvn1hRjev+aQyurYxDVci +gRHKZad1q0pUADxJ09mabNTGucDCBpnGsXDPQO/byb+m0W7GhF9Y/5dIESXPQriCOpvT+VHDA1/C +8MlVlPLTNDn5LlGObUhT+7g6BmO6MfbOP98+48zQmhSZFNCvf2HeBZjVr1QWTrOv1nqjyifIUXcs +aYjz5FS4BpFrfb3quAKsQoMVmL+My34ECBvbqXr8AYXypWcK6Xk0n8/fkJpvZPDMuOqyewNB9JmE +6QEou2ol7Lk9qXsJuQX+WstSsT0t4MbWX+5WLO1HoX6zqgqtk+IQP8kLixo3ZrEVPrefGUIOy7Va +QnDdj9maGvArHSqUGDd3iaJCDa6GDICdMAB+vNlKuH2LUT1pBsUSpNhbio0xX76JdqwSWnq9DtNK +rCagInf4o2BXSjwLNCGFZXuuVRorQPD3XcOEhbHnQ32HSmeGr6mRyliJmYX+fDIkH+siP8EczOeK +E5laL6EXZDVGB5JMAb7oZdR6aPQRgKU7t9XiP1y89tD/ELF5CNWTHcNMbxRi59ypp88VC7HRMzbq +vSLDN+v62nwEpm/x4K5FyTL40jk8mE7ynbb117NCKnnOBqMOwewH+V+6Ayrrp2oLN33d8rJYy47V +WnfjZdU9zkLT4bdY8HW4ec6/DrBwhmX1t6RruQhjTNy05IFnKtu6ZRAV99XpOecL7UKuGt6yqiHE +PXYu7euVPYS+QrRjqve9Wor57NfW+EvfX2TUCL7MGv3Mu+pj43QPlOqHgcn4it31+yzQaOKG5BQI +tJFZQYlqsRLKXDR3y/DEQ5zmOgYYvGAn2+0Dd671vTqJdaYs0xR143ze7WNDtsTK+JCX32lh1coJ +i+8AwZ/U4+n1XxZZipvvj6AGgV8EnJOniFEle1RkuJ44ZJ0+s4Xbf7mwyMULpjYuVPtcvUp2P9Om +0S7Au1Dg9Du/PF+b8aKB6tQlXtg54g5i+wK0RydRa2rRXaAzxjThdXtztQt3yC/EmbUXG3LaC8/x +DB+DOmmJiqA8nnr/CjezhQMu3+0TqdQ4VDp1nw9WL3FWOrzqZZnGkvjQs8I/nELLRDR2d7jVrJZq +hmXiF58EbOs7OypI7yrirFsWwjF5zYTS8zjIJ78MBGUSMtbVKaDsENYev1TUtt0BGCM6Pvq/2gn5 +5drkBnsS+MBnjfofaTSHpICoG8k3HO7gYu6xcJZyQy4pDSUXV6BJ4jSbMTK/DMmq6fFgInpOK6KC +cQ5P7/nsVkRNKGynveW9LfrO0l7CGrgw8XXNElSvvZgxjbH3Z0zX5xIik4lGpev2Ari+Pn5RsRTu +AiNDrj72h5QOzJz9PTxswjq9FOsRlyehstqm6ELBmX++jNUdacoDSRtWwRWbZEFQrU/i7CWIyKzE +SQ7A8Rpv8OhIR7wXMmhvfQrI+I9E4KowmxQNDeZvL0T3EtgPhSA86ZFhq5v1nTlzFJ7oJM8LTMJL +JH+m5THXZsMyx4iAbJ0A/CNVjD/PPvVsZ5ajr43nn0oA+vSEOt7rQHb9nvbYNN5I1SebZ5AmeMQo +hQUgA6x0Y3D4h1Jfx7ZCxFmvKsINmLFr62TiEdveb1QDBbItp3qShTDawZQYUA6Nru1NADCuiBUN +1i9ZMtVaNc/DdXa75zc2AVCHBd1M3k8pVw97yArofHGISb6TTEk/sGju1/DkwxDis3z0ih4Zco0D +O+ghsy+A3YUBv3UHFGiEdgULUCz+tgvm6FUkHG2eE1dmyilrdREwT8s9YSFccUlhvBI9lOZ4ZgkA +1o8DP9XwuygwVoqGmmoB8srZ4gdHQG9PADbReOvrWm9+rsvJzzTMOQz/UpzfptbqBfb+1NTc6pVR +tH7d8QIMpoQw/wY4xQA2J0IwV54bGJ72M3ivQ7ll7XxFU9Bcd93vER1bgvtXC98Ob+gOkgT/3CyD +fSN4akTx7U3byYwCl7EQ4azfdAw6oK7GG5aV7MY7XaBjeMsjJIUlvOjEkh9F6SMQ/IsutkIcHQkJ +f+BditDFSCIGqRz8b3V7440C4hfPUFlkJpQvjTuB0QjB0V2tm2hfQ1VNUlb7qzs9wcCgV9CJkQjq +xCGh6yPceAplztZ5st0xKKIaTsnUtXoX2rN9kGS21FQCIdagEeOnh28wV3NWKXHGi2OwlTdAVt2N +pX4yRpVIHVMml1KKn66oQxIJ8TI8wkFTR4P8+dFas521gKpn+bopw81jLR2J5QlNzJzznphcZb38 +ETYy8AAbg6u911rUoRL1qwPIqGiNN9V42S8jVvXwKCPtszxg5S05vlzwH0/BZJsMqMmEqxKSL7mk +ei2/BA/ZEBSKrlbiSfR/x2YEl9XLKq/6qsXneHGpGXb9zMGTKsYOWdk6p9y/zzKDf2WWKheaiRfQ +mfyXE1smofEUVLqe8h/ybx5brCkAHpQT1GMSbwZWAh1kkukaEBSZTTalW0oEjH80Lfbzzz7rtiXE +rmNIcc50lR0LRFqJ4T+HMQ+9AXk2N+4He2YmruW9OrB6ji5GK0k+UORQwWJQGcp4FzHYvzCwNgZA +DZaxejHN8+rKwN1n5Krfk31o7nk6i3P8x/XvdBaZQvmXsTMGP/BF0L1wWTKS2g6R3FTBr/Ysm+Mu +Th88Y6gGsK7N4AEJzIpEkwiPv+V1q8FQ5WdXv/VFbL4JsnZ2xmZU7bWS6Oo/KhFwNEqQ+W7bWdCN +4XCIs5Mw/oQX4JYurTNtLbQgktiHfqwxONPBznII0qDv5mAhAqkMheuSMe20j9VPFPxQ72ESRrgB +Y47lxaEMut7MILk3RsP/R+yZAMvglfysdZXCfuFjz6C6rNvtxbQj2BRBrbk17ZFWUSfuxOuGqDgT +tvoAZT3lFTVk/OwrAeCHHnZNoL0Uju3m77ryOMRjz2gYpaP9t6xMIajVmVXNLIKzhGpBX5a3EKS1 +x4jz2svIa4LoC7SoDOloF2kID3sxHdH/IDitbXlPCrkmERpjLJxKqjEGcgYI6aSrsyQ5KCg9TIXC +KXg08dM2TdSUow0TDJK67ynaRpeHqHVF7EbYvi03jHW/g/E1O5t01npGRy9h0lHDJbMxxfremYjZ +ReTFbpxGZhApXCVJOJcEbziplCyTK2rZwWbb/BZnJOc9MrLLvIF6Mzp9M8w/cmtYgdmmK6CLi8OW +KqP10TH03pR+rIwpVOZoZxM+v1EPZNDJg1Lg0R+RvjOGPQst3IIwDJWFzIHnxTINBOl4Bu0VUQPR +z2HoSFOYVHWJ+pl/uOhT2lpi2bsYJbJC3wRsukR4eRx+H9aSYKuoWrOUjpUAVyGgyDGclMW5WQVD +b10rbeADfSnPfuyOElFUfhjyXv/KNN8SmWCmrmuC+AgMLIZERSNfZrViCpdZ1C2Z4YLwzWswp6wB ++LJAfIJlqYJvS90JHbISD/qoRvTZ0sQlc6u5mWVHhBecM8gVXsvQBkNEMFmKFAcpZN+FGte/SZ1m +eX8kf/m5Och4RDH64UifP1ZzZXGbTpdrMpFRi8ur9AfAC81KImiAxV+LlMoDrkVZ4hKt5urRwTE2 +TLCVOasdDrxL9SVr+qnoV8VkJcaSNyQ4KOz0EwPhN0xY+GMOdHPUEvYjPDdZh6wVwTIR1yHPXKEv +bO3Cm5mZ2HOMIKng5+a/Lls4WA+F82gxNk6LPM4xTcERbNeS1tzUN79byFlrnqhgVuBsOtN7etGM +OHRzQ1sN7X0x4VP0wMj01buc5SJi4mM3vj/PilSd1rlv/zQGQigxF0EaM8NReERRoBstVeMp5NQe +VTowdOykTfQYqS2P2ME3pWmmADcKERk22IXF6xokFKt8pISZuS9z9/wlBBppbbEH+2PE0tcrPYtv +qwwZGvA9YjV0txmSk7QWhVxzTlaWPmK+zipiyKLs8QNgCRWg8Sio7Zvdkd8bc1ZJyUY1Y9zWaiNc +c93RDCFMTkvB9NtgvM/yP0VAinAFoa4+U7CjU80gAxxQRc8o2v9dc96/sSyDEqAOOP8e64d/KKJZ +KkBuJPg0RWozyHcXzGd5rQuvCeoqqDuF0JclYrLNIRqXW2Aisi+WzWux5dADh1832MPnWvTFd/WZ +Po74z2a44Q1qZ3jx9JDAJXHL/Kbfe3LXofo3VOuuUcyjgmQ2Ov9giWgYJ9zPXYkvQuBwzAi5ZUuV +SxQAFLlvUG9uqY/3EAAQ00/jG3PHr17gA0k8fP/dcwD040m7rTBk1lXvuCDIQxzsEmQKlxcp17UR +T+BDiei5RtJTcYOpWbCclvR2rsYkLUEOQZN812Ri91GTgqvAZ3C0+E/DgoR7lL3XNWLPkiZvFxbV +6TSWhtBx9lLYUfAIgnmFfbZru+iOprRrTuZEAOO4lvZTcHt6kSSPSfBq+BDnlJohDpkSBwypaZT7 +lc7uGOFabFbVr19xEkGyKTv1cp76hhLXXqHYD2kRnc4tGP/CMV/3h5a357abw3EUojoG7JtwzslL +5LjmWaJgZDTOYDr1dcRHW4BEZRxtyrxP5w38EVM/wEVO6ywDw2C6w8BpytwCnHfVtrtONqL7XPd/ +++zAdhsS34xc7f0YhSTd8UqpV9ooiZilVuFxhAXS3lcUzPeNNb/m7gz0+Q0j7ziSJpCwnf47U1U0 +hx1yEBwbS3nbcZCcEdJxL/ZjIiZQchMXRey8U7Lu6XykU1jimqha2+ELI4Uk37Ylw0sj7u+AU0kc +HkxPDhM3vNiXtXeO10QhD5d9OTslUSbDvwUFEPSyuirQuSjw7yOrWSezlYBEWORgNBIBl4zmuetQ +SzRpAlgDR7hm/m9qUDcFZ0TjOO6lMlaN4tDBoqQsR1lXn0bs2QBG77ywhrxMO8V05VFLw4k/UJmM +D5A9Bn+YENafORjSOQZAMgLluIae5dBZAG4psEMMjdz2KyINXqoFTLupNNGFzLt+gj7chUTbcKwR +Z+Y6ALdsfPbyvA1meaBe14sKPUULmf8BVSEvj+aWhdHtt6lqM62YzGA/qB1aqGfg64QgwDfSjUlJ +TBagL0wjyuGRK+1ak/fZwUv2n24bV7e0NO/JJPkD8qK5KPcHbccxjv5tZExQSX0s3BZ74fvzvx9M +EwfvdDdOGL3eEGRboGpkZqeyEcGukmTOP0vwPQCXvHRgnOxGG+xr1aZK/fp88bdPlzvRaYlVgLrn +YrRjLeb+UTqSpTEAutjM50x9C28WJ2zemzb4s2B6YruhrEkXy1HHxRbEaEKefuxEbH1Vt1h+nUMo +NFNe4fjPzMTD55/KkMs/ut8ov/80kGPTLjJZw2n0T/w9Ygo6wHkQ20rw42UneAgDGSAmKPMUzYa5 +Zstz2+ITz1rNyiqz0N84AKHHHBJaVG5JO+XaJfvRSyak6OBGeVLLLPeXEcDvSkd/1fRrnK5gJdus +yxeXUMD+xfp7dSNQl6CgdlsGpNaYmW06jssYlR/tkcgvMsRJoWW5HAF5iOD37SDX7jqPITO605oE +89rElXnIaOpohecX+n275yOjJVjEgfoOtf7hZ4j/uDy9ofHKSrT7hKedrNF4LI+pXsrhzT7WIt2v +m+0Fis5y+Fu1AmQ3iqj3calF/rps3uK0Nbw4kcZb0L0YbodKKpN54cIgCuCn/ZdPcCbludLaQqh7 +++9zJkGBL3qJ13zQxUJwFgnE6io32T41hNJWpauRZsM1CF5fHisp9yBWLdb06se1NLtDgofr40Po +I9f0LjpUDPSYo9HuanWONo6QIyOPUqSKtsuZaZ8N2X2PT32pXimPBk6dznxlsBCRNJh3pHTZonGc +K0qDT8Uat0CtomI81mz8MwRs+r+Zr/Yg25IV0V/9stDjTGGtWz2xhDnRj+M0P+tP32f96G7DFT6P +85EdRla7KiGNfC6vcjRH7rUufx0NveC/INCifXVMdPCYKduUAPwZUjfGKzI4fpPQVEU8iHZ674EO +5HauyXUpz6Rdsm6P1AZHdFIhqiwOj/Nn4gNe76Ip65hKC5+x75MhxDmrQx6gFuUnaZuSuxglS4Qb +IKTkVdX/Qq6Cin3assi024Gc9KyXvRRj8bhyzKl4mBUx6Q564pHg/v4pTgVGn2GnFv1GCA96ApHZ +jfoWb7iynt1vZs6a8dOOLrATx0S3gpTIEGxgY3Nc4S4FMx7oIVeSM3vj/wjhu892EKTiQtM1bnfJ +mP66eYmeg1+aLOXiU7FWa44LmrR91WPNQLOpIbOvtNprdAYM3Vqk9DLq1DfM6qJ4VCAiUra2DpoI +WFzEzNeK1WAylTtthT8bQBviz6E2U7KpyTMya862oJKnqzQHBYGKQmFRggsz/i2lbakgh9Red5RH +JfkvlIBN4wpU10NwJNdBxeFbUQqMTjJVNIdr1piFfJTa0HeXDcBrCfwGbfFXguPKdNU2pSfvxW8m +C0Ok2iaTOpk05rwYdxqK7se+2aVgt0CjcO+pNZPFeShZXOyk+3PYTzejKfYOdLt0DUfwPCnf4Nns +tXJTpDn9CS5mTN4uROb2g0zOX6W7HcL469qmy1BGJdsC1957z/YZHnqoJxVmbVzIjo18yPXy2smH +4+Moornu5XB7z3yrnEH0uaymyyURW0UKl9bBUrCPYqgeLm3hb/GkGcsnXw2kFrzpK2oNdDBqqvQb +uetZUTNbQpIvY6s4XAerKdzkrJbE+LpKA52+Z1EFYFD17ClhTzBEzRJNOUXlCdkxRZo3e74MgDhY +/5wK9kg+ClPOMvZOQFjJg8aoQhEbkM+EFbOZBOcw1Quqs+z3DNk6HdnIiiKcmvylkEQHrglEvQP4 +AuhyncvzAYfL8ywFSaBYoRJfukXEfZ4zAFS58I6MBHdWkkPEVRyTsEtFmCq0pt0hBQXVLg7XY2S1 +yzGRGG1BuWfa2faIzraQG/NfDjQirawIjRuyArENB7B0ZYEuKIuGEJR+6JP61NT7z2MWxidqbt1c +F4JS5WLuwJ+f7DW21QaDYqNdUIlzAFt3PUksyxUS2ENN948heH9lYuMSAlFb/i263lZE/VhpSGvG +iGbU3GTVrL/50VUUZYZvDrfr0CbWU1R1ml7InYp2kxYs2GnvgT2OIQohsp10XynjDRX42UeTwP/N +N4EQZKe16w+Ea3iWNpwcwio6rMhDNBtYbspTHoostt5KZ9qEmpnpYos0l3RIhBzOKot+i1v92xvY +eZ25zH3VjVKrdj2jlSUE+HrtbCtAVLZgJqyOQ2Dd2m/n85yOVyRysAt4tNBNR/gd1xoyEWDgnpaX +ONzJ1Unyae/2s32+G2uE1VsYc0o9ZzdkUcO2iz75+GcjhoHkzCN0EePL4xfwQi+yHSx+hopDyghV +ZP/inSJz69vI+/rsxSsMl446yNxqMNNjSNLfC9cEQy8eQ56K78Pxt7uv0FOKdzY7/Ez6/PQARtNz +dhSPv0bPOrh1hH7v1BJDhXqFRhzD/ggCZ5oei1R0/B9x3FQLwn/zOSKk0SDM5uE9Kj8PP4LcOYlP +9moUhMTY9Z4aMVtaAGheIKt+8FKAPCGXeGaw85zKIQ1s+Txh9bM3YsdM4kFrLMaE70DeVoqV4zOm +WAVKOFo0pdrcUnGMgEwD5dFt5qYe+8VNkIlqByBJn++WL0YVOrQgIQ0nltRy6OJoBpEwEd/znhxD +XrE5RmG4kFh0mwlT7PzB5BVpt/2IpMq3BWO3lPF5S0iZV7PGB2PGeXuuw2tkzA7nN9Z2UsdG0iKe +qvjkF61swXkWlhpFxmitIW/t7lpbxaxMjEwu2y8IlKsoq72wn8KRuV5LkEjhfE55bZ3haI/5C20M +dRJqCrRvSJaiq5xmjw2F2V4zcE8uYUiqLE5lnScASAFEZpFBoZd2MUAUQSS3d7n2HBQdo0RgwdRN +OISe2s9LKX8PVg2s++pUqSFMVJyq0SQ5ZlbGEs5YsvdR4pJEjbGWsMuFFyMu3wiOapY2NGV2Xbch +rkTfBJpx1/AvMo7yJl0A0D5eC0ESVM70r/FpWxIAi5BiEMDUSby+2T43zh3drA135g652f+7QsFP +DhjbwLM94UHni6loUSzLc29qw0d0ylxXRZXBTsmrxfmYP9QxhkcDjd6GI7AEHimUYa+aDyWrTQk0 +kz3EK9K2dEpJ2FoKaDp3qjN0LJXjRQGS2iNBS2oL2cNy80FiNvRscOApCNeZr7HML1YI1zEwxZDh +ngSvPBY+4GVaN22PrFdXpcoyLP6/AIJ6E/7jAJHwvBY4cPHHzrmvwwFfX2m0dcEGhfFC9mYkOGZQ +UlkMUSz/MOhOmypLvG/K4c+VWPhVJONNDotSvpEEvdoYqfPAivq3r84D7C+AlfGxq9ONLAUiLTRq +6iv9dXCaXcjMcGoPZlcBq5JTwogkhcEfX7ZcrYS9RacRRjKYF4GZqS6k/tkG3/G86z17m9x9BT7x +BbAwAvqh+IQEx34FUlofruNi3v0TJ8+3UOjY22e8lycZ/f/jpLVcNSiurpsoRdZEOBCYG5S12l2D +zV5VG4yqOq6ekCEWN9lK57iQx6QDE/XcSYTNughgibnzwmdp9JfeOgE8gN7Y0ZWOaalF7nsI+/Rz +U88xqjl6V6lov1UYUFYG2cqND/c/5KD0W0VQPuh2wvUWoZWw8PIs6aPJUWqRyODw9R3dyH5flRwr +EqVYV0IhZbBUm11I5hqDdhmMwWhKTajKOSw83ES8SdYAVID9qBXzXBoHQ1dqNr2KDbVeA152GTSk +69XPv04YwsIgPz6FkKi7Ryz184vFbLfh+aJCzyaeu/eT+k75ReTsbSjSyVtKIsFbyIHIRdBBVA5u +v1iFkYE9+St83Xhkkg2brCBjAmlJkvCCID2CK5/bbhLimYSkmj2mD3c1mr1V2wdMFDmGvM+adf6+ +MZf1BnKWxU1wxnTK75tG3rmlL03juN0z7P3bmF92fBioR7CkfWjDcrj7ZU4MsPOwMU1eOVDnTIFE +EKur3tloHvsyA7SQum0azMM72nHtz6lcPJG10ziUmcWrZaULEuofC/jNCldM8SHvPV5DFtPU0kFK +1Hr1YziPvAooFQwlTSLYKJz0kYdEwUzM0iO/eorU7RpWFkpEa9PyTNz5aLoOv64JNcGc6kQ3/LO3 +xH/INn+ggPWc4b3hnUmeERN7VRsqZPJKWvzr+b8/wraK7NzG6p6Z3Nd9dgph+QKilSAO34knHkfr +z9hVYRoRTIoGgRVKNTwWayqOEx/hCE0u3Fdu244Yy6NnfrineXG7rHOHO7nhcr1rAnhYtvlO7cTJ +39l6h1Ny3zRkgkJxk0QdISO2ygSk6C2OE+XH+r9qgR84G+5vaYWT3YzUk0vlnaSBakLg5nuTzbFu +pICdt3VaICOuyKB1KRCoDmAyiOOuj6ryy9R2ByG3g62XlyrHPkZnprLwFVyyJPDsBAx3zO/1QAAi +HxcxHSYhYlJgV5qNham2pqvG1yP03biHUcIoGOQWIkKjV/w5XQXSGj3jeiaZzB3yxJuC80ABlUDz +pJxSVjgSWFlkQ37fGWT0FFO3lOQZTPbn5wp5XzT48qFAwoF2+GR9JjYjYS7AElYHtEpjA1QjQK43 +hcsa5KZ393ebMr1H3bhhtD4vN2v/0Y8vkz6/eY0ExsREi+CH8Bjh1/Yfh1lOH+gQm6FgnnUpbTl9 +Vjp/4CPK3GZmaQTecKym+YzPBc+aZzlqPeYYjZ4vJTKYMuuNtA+jHYuQvUQP/vdufUu11apSJPEp +gnd3N8efpvurH2T1yKQRqjp53RYZvtBpGx0/OyyD39csPwMwD1odDipW29i6ewBCVl3eJUoypjPL +W+Gad4OEym/1AJbP5di8WXsflb57FpIhKF5tOPv0wuem38jgTkZystEAHhiEWh+XS0Za1yEOMvLB +9MRmoBU77paEFRu1GsvIDXAZwGDpvAz7MSvhyCCPGe+CCyGc8+eA/JEO0kiOvq7yZL6gb98wz+hF +A1fxLl480Bk8dwil/PPC4U8Qm51QMw3NY8og5OH+DYdVKD5Gavi8rVDUWmuSI3GZBJONX7XBneMw +sgatf0yleIc7tPST86o3N6aQ2wGDOLYVc3Seiw0gfUS1eKQSnc0qs4BXxRRJKmGopiZJGyBTmIhM +TnOlctkbIMQ9F4NgASTYQYrHl8Xw8sijAbXz9/vRVQG7OVSCtxyaWqIgqjkKtjbh/qRVbNUHXJRU +d4SyIXYm+UsJryB+hCToW+dZ2PU6ZFQU5euRCIa/8pXxJUliVpV9uHFlyixYhQ55umb5ZKz5cu+g +/HAF+9lzyeWdtopHpHGbS0KKE6c6OgFCQ9RVVpNIipsYL7ULwd0Lmm08xY8oIMZZArbFupHbZQ8J +AOdCjAfwNIDMs80zl/kZzcNXgF2nYGPZJAXuqZvfD+X+FJAkMWkJwav3bDYpKE94xIuIv6lTiGKk +HN5JOZS906PR1svcCr6EFE9dc3PlTGcXiIfUEepPbBZNwnmgvBRlrxm7+2deCge5ng2YSr3Iiv25 +hbvwYtEzn5FuphjyzVxnt8vxGaY6HprzFDq9dMHGH5/+eSmg+LwW4ioKW7O4w5JKaHe9G3JJvVrk +sVQTwYMVijHY1ioFvVlW49HqeHRXpayun63A75XUNOzT7GDpEJNOAimtuLCcabxCU80D4CCSi5aI +B/VFtP+i56TUnR5wxFmyzjWMBx8vlLS4lUWoNyV3Kp7kmBRg09CzMPWBs/0cGkyNL4QEqZls8ApF +P8v/zAw9Xjyk474i5LyLw8843dTqxWdVk4WjdL4B98JkaFpY8urfS3Y9UHPQMhN+N7UNMdQDCGYL +mJrsbBFnznfynwQNgwDhEgEJMGanpTqc6911XjHNDMyrvDRocfEYA0jNC34PY+XV30conCtvd1QV +6XUWWFDl15PFCAD0MqnYVxSXnXChcbHYTCvSjUfBacaf+4JrornN4lju4cAndVSg8ah+pplw19dT +44Q3CaZYWGTG0QEkCX8npIUBK8SFBT8k/CMED+hkXniDyJfp0Dkf+ulRn10ZnkI3JNYN8F2PjnW8 +NybHOlVWUAh5vWQ1R+GI/6aJ90t7sJ1ILpcYMU3SC7duxbJumNrmmjOz/VOrcjzC3uI1v+RjPGTP +XLeqsAgGlMjb6ZNkshMYq7zwiVOW4PMx7iNPe2IWF8Ayn2pXekimuDV9qqKgUhGtOi6XiPZk5y/q +49hi6YVi6ny/c5Efm2LalOeUBtD7g5h3dPsj6eSw4xGwH3NvkMnATmJfIeZ7KUM1zz2Wispqb9k6 +LPhkmuETV7AwLtpDBGvfjZQBsb3BJV2mlHZjo0JAL/NkW82fuCuIBNzo4AUcHI+0Lz+zhiHyhoaH +XtpBiNggJNNsiFmvzzi+Oj3cQqynBToZY6L5yrXGbrLH6hmhueWoXnkHRIkoWqmPjNSW36swgKGL +OIU5WDrQAbcn0PJxEhmAuxOwf9Bu7F/8EL9QfJkozswma7520/jBXdwNniLPRTknQVucsIx+talz +Vn5Ff4ysN04xlLOTLysiM3TuOWGoustFGXuF24gjBLf9sWKT9qN9sWGd0Zi99HKi2UBufnrnsv34 +DCYwzUu54rq5vdxXKBgijEfptbpGkZPVAoW3bRpoobiCHmzrUCc2W0fVfskAH3ivxT52VSAz+jZv +pSgyUkldq5jbX2TbVhgNYNZWJo7hLdcocuRfJYpXCUCUD/tieSooGAurbtUA9wx8MmvK3oYCGV8+ +A2Nqs38ijGlKazoAMxIN2oSPWcuwLgTYU/8A15pHma3BsRZzGqVPcfmXFP7G568xPNXuchKq8FsN +vx5OALZ8aE9w8lmMYJaIfZR/kQ1rlvnBYpcbuxFN5TrXtmV3hWSJEFYr2dz8kA+9GRbQtWH48MGc +eHUGpuPqHxPGm/c7XFw79mXtTUZK1K4+DqaRV5l7ilE1MYMLVfGj5e6NgUDyk7et+XInRLT1Cfe2 +blxsVROlYlXncT+YfyCiclwD9FQnWkjNFnZgaLAgbd5UnMuQv9kQXV++JWdN5EXrdBurvr42W8u8 +9XozFIyemLbdso7vN+fRCw+RChrOiVkqmS1tydRoreG8DNHIwQ5L4VdlwA1im0v7qGZrW5NXOtEq +mSTcWiOQ7Eu+ACdsepJWWBAZJRQDWBHuOQqFLMGcm4Imq3kWBJ7DmT9JkYBNDem7XGQ6lkV7qF20 +cL46J7gztvtzMsIuTlEvZDPbtQuoIoUQidevRgFDNzv0ua7LPe6eDHOB834Q3mGEbC3+tegmq1ez +vwpudEAxt7noVQaC35Z4+0jQBD0dNZoY7MEfKLef23Lpd0B2rB9iHz/WGppudFmor83X1JeMgqsX +W00I0/5qOUIvsxhnHYzTz4q6O+kMRWkqHYqU7F+8NRsH9o0MF8sOFXxDjReEuxLYZQAOYUFSvjTL +2W5rSxQ7KV+efvq4Q1Gv/gBdVwg6wYcKyAS/Bt7W91gQ2o9O5+CmBhbIc+TiXlOw+x72CoRSxilb +Tt0/APgumFQbxbKcrciMu1vVrDwT1ph8hk/IUiI5pGUFclnnIcXCeucy8rO61SnGNO3xqktE2WG8 +PIt0656/+YD58isPcI2Zu3Cna3rG/kpgdR9dHGDWCAxV3uyPpxPe0WikcNjm+iw8gdirUkxrisHb +BZENCxiYPPYNaZglgXlpuRgVxlFoCEn+TaWepejjqWWUx9fjX2yvkesfKcaZwK3zeJFQ7Mqv99wS +pOsvf08CR0jdhTsG8x78SiU8i3QOfCIwgqlkMKJ2hDgcPnQ58Qr9sraPEQNNmiafABie38KgOqgE +L6aF8vVjT3f256ftCAX88qsuNd0z8wl9AyhVqlWhK48QACykZ0YQRDqcbE2Q7i1CPQjUJOaRG6LU +fRzNrG8jnLCdSXIeoljPYwRA7LcGpTPetm/H4d3TnmXajPiW3gNfCq2Tf0t9UgW836RkjdDVSv/0 +kY6LYgza+rgaTGEUVlOl2KAx0A7ELRC3Na3nAvGGzeYa0YuKSHki86t2HStjhLIJA2+Qdz1lw7Rk +P7VoSTtYDz+OzEozfHYXF3c3pntHvzqLCQQJbYQbbZHo1SmxBW4qJAAwitm7coF7PR6afdsFCyit +HxgRKaYj+PZI1y20w0ar1ntpk/dHkiYiroTy89EQUzYQKL+O3kmrfHq8KPUnQQ0liNIVVebmXkoV +Gez5XVVfSOcRskIZ6roVsD0bH9kl1i+IdxZLLve6YHSqjJYhqFWjn8eZFBPLQqIkaLrF1M6vm0ds +cLJg2SRasJY4YtvwOUGWiECO9oIN9ESLRKfRQMEQEXdEhWOzkZBU72XXJQ43fFKvCCDoDl0aeeiJ +qb0etn87LoDoW7+6cV+eVDbQ1HqTbAaK9RvG3r14ms8Et5TMJ+Xbtcyt1c4mDfk916Ad+3ihv18s +svz6gBYUghB5scNAtFG6EhBirMe04sRxlZymiw60MP0Wk1YUgmFBD9gXt7M9aSAlViqpDfzZM8wS +vTxCpHJPbbmh9iauGKbk/2YuP1vtpYj64iTDLeGGnK31r+G/JV+XkABZJ8l/loUMBrCkBy8ppaax +rBOAc61flxn7HlhpVJimjWG7GJyGFH96J+rjtsDXF2whtbaDRbcd4t3nF+gvzZeAojRy9mf2rwuM +EeW/K2k6DmXz04V+9uQbPfK4fbP5MM6FUB7LufZcJQAdaaItFjAVJdM0yjAxqiA95wJMqPO+JFfd +c+uQMFVB5DZK+/+XGMx2Gb20CUa6/r7VhkYeZeBudyYjeX1ZbWaf7vxUKb20mvCAUxCfwuIVYqII +dosxRCWiunHJIDpWwILCn9FL2zTILWLJQ+Dat1dzsZFSniAPLcRJVaDihJdPuRSyVlUVkrDv2ZcZ +7uSX+FruG3FTySOzA2DCfCghItO6UnBWi0n6EPhx/SXyKE1Cp1cdRusHzN/Xw2S6BBkE0cYup8LP +OpVooDlUhNoQDaQJIqH4+jj1Ix2t856ntUQeB/RY8s7QjSvFncSCGRt8kOdvPJhjp4i9oE99m4eb +MHs7uu0oCtP36oF+aY6GXgcPD/3FSU82NCW3yRa+hqByHedtsGcpyv4w/yeTObDmV/KiFnHpVD/Z +tpk6lQfJfGIlUtHNVdTlAlmxJja8eQtXOaFdLQRq8jPBxF+ZU8dc/Y6EwSgwG1WUfsmP2/W257rq +R0T5ugpDSD24aaV9zCzrPYdn9vb5UMAW1D4DoLRCAG+oX3UOMWmIQqsLdZfmAqmDbuUS/fvDNOLe +7zlgR/Rf9iGBakLP+OwjXGeXxn4fvYil7qD6k3g5ylFIBsWWo3t6aa+sp2ZTUspvEKPswYXV6U1i +gk2L19qupLuKj8FAUwqTchD+oo57t6f59zaCpybDL0LrnVSHXSOcYpQKvfkkDq5pRv1emBchmtAl +KNu50Fwas+HfZ0yIhvLJIwq0I0baLWCLct3MLKjYxKOd+2GOAjhxtcFQyI8FIyXUobQPcBEKwRf1 +dnyrZfO/bZONseGQ7Mk8NnUcNyqEfTUcc+8hhGJs+yANGmY1lin06Y68B7hFMmSu8ArhP3HMCeUj +PAS2l9etLoFCMQoG2TI421RqKU0Me9z4ZMM2Pw855Z4E8jUJhf9Qc+ZzZmAat7AOocH1ifcInkGX +hvaNGi4aPDa3l+u+IfAfleyK4oNRcIQdQM9EAI4g7S/mkFrTWROUP+bu07DwCuU7BP3AWVijsgxc +NPQIXjbxxbeMuET68jeD+vd+FKtyfduVIi5UiKZDobM1Me8V3fRCCRQoTNxwEDXOQj9Qd1ynaTzS +TMnBaW+a2QcDzkQpChlNWWgsxH2vKLyfG7GVD/XrxRaDHY1VoLYNjTQk1Jku1zdb/WxE6WqZUi86 +tfa4dL8ineVnZ1Uwz4G9XRhWIZ1fig8RTZi4QUs6xwvfvfCtYyBAbEk0VKCWybHxq1W+wzkOHdRa +qSp/M2eg9n33V1Q7UZYLriflBI4euwbLpe+4ZDtZfact58XX6/mFT8FWbtVpZJwgeV5TSntMFOqs +zzYxaRRduCwuY36OxR00nm5inDQDA84kZphFbouQ2jo450DfeGe3JwuyXVFa+VPcGY35t1U7ck34 +CimCVWg1G7Emc5xW/ORRQMpvOhWbaCEWJhCSyMA1FyWzMliRzki/4gPetb3H3P/qbtgV/wdDtYJr +1hkVcfihyFp2T3dMAKk2l8TwE58si9dR7ifI2m+0JO+/ppX0vWaHBeGC/Q8XXvNiNmGbWpxe4AjV +eBS3ln0ZP4Hxo2mR39T9CY69r5aE69s4zNHC11Dt2Uyg0Nz6fdxpVTaxQRdIX/yRXQ5GRtmNiON9 +QIY+kFlSNGWdQO/fubHwufl52/ho1KUiaP+TB49Pq2D63sxRBEMsunpUI4UJ/l3ZyRXWvBSUCSjb +jH1C/3a1iXkxWjnPtpN1HiG3FyWkMi5PvxB236xSvI0fwGM3QQMLeAur3c3kNoR6UfISIQSM9rWH +b0CRfW13hcHzTpZoeEyhQsZb+w5Lz7J4OGJwrr4t5eXH3eV9lzgN2A1av9/vD67CBU8POsaltVS8 +pJJoJPxDnuI16cjfob27cq5g8uW0+L5OwwnLga/MPl+bY8PcorGvtO4e9ZBLgCXyK51nkDmRNOgi +c5d6AxJTSzy0H2nXhVJvT/Uc73ZNPeI4Aoxj6QzKPU6hieBBJAt62a7lqsAnFQmiSXBc4ZTOFCyw +M4wr3e36nm8fdoMAPBp0gyHOTdD4XZG4qPfNaTYt4dXgCHB/qZ1YC3y3VAd9woJg876jtFlAn0l4 +/oLtYeOFtEeeKmDDNx14c/r91YpsdTVHXtOkNeh3D3vADoIqYo/I5l7TPDshvTR8pzkWJNNebl82 +89HXpCImU/Y/C+H5yz632pggyJuvMzfeC7SqiycONRXZd44YUTsKsClLfPa7//lVLSuOuib7G0ao +pa7seh/UX/+38pfHbsnOTe/ljA7WTYD7Ce5hsbMsFIpytDg6a42xedXnywf3vqQkIB4v6/iodN4Z +qU2XFBIbPCmLsmUgDUZ91dwr2FuubV7lugXhCuicNFJv7bzMfLDVy6kriq15Oqenj0G+s2bfSprT +edPo7ZLr0QMdd/Wnwf2B1yk/YLwrDoGh7nsd0+OXXfpRc6dd1hDiCQDGl2ofobFfrE1gmIWV7LBJ +8C6IS0H0XMKN9rIfjfTcuj3jIkEnHFPbuWaZ5scgrNLBtRgDpEF+Q9yguUuc5skTghOZT3WU2yUC +xUnpVyDZbBdL5S4yVFPh2pXjuMwFI7Lbnary8e/WbxjjV36Wv6PH0Sk8RCKgJfDdud6zSU5ofZTG +ykXB2rj/25MEwp+xzM2GM5PlZoN2m0sz9qnrEZ4e8BKXjlh+9zIE+TfGZ32lTU53rMJc50Gn3Luv +WpZ0opoRapb8YizL4ZZa6rhKSG2J+wFtJW/PIoOjQMAfo6pnI1cAKUZpVR/ItBYJvTH3smx+jDyB +7kLC8Sulj9NB8ag7kECUnvYlo75yO4oaDWLVx4xrzEcNjKvHXUrZGDlSCBS4d3Yc53ceXcIo99hy +NLCYX2fGwO+st/3x/8b5bg5qiaZYEdDQKktaNx2vWrVTg7QYACe8VQOlBPjHvwCDne9G2Cfe3AuG +9Oky+Zxm2E637qFczxuLZdUHh5ilx5G1LsWn2yXpKDrmCuvxyhSzz3vqEBH8jdIzST+s4Fcc1yJh +SOy+lrAW70DAUyO693spg+qTvocZbZ2/QRa/e3qlcRLNRvy4QQhZH7X2JQy4cUbeREhtiFV0rePQ +/fJcGfHMtW8JkIQuRwNKGLz6SQ0fYSR0BOkfUywumuWc8z89ny9cAaYdg286Qm+xFpZzi3GXnEw7 +yo23kFKBereCDRsIRmuL4QujP5srvWLawynbP3ONvDexXByrHMFOOpiVU90IueDeDbbcNAmxVOxy +kuFT6HSNFhX7IIQyH8bfNTZpHeZa+b8B6ofmxvz1/Hhs2UL8bg/vSQf6IkO1imXTkxGYIkLpIBLI +Xm5B9f53KimAkgThbqeC83qw0bCWHJn3DLoeE/BgMtCVqy3T3Ji3KV7aWE+1qVFYwV9a2dLuyBnE +z/M8JQMhmBj985wfBECFTI9UhP5rvdhkQryaJo7oDYpxmn/Czrl7JGsDECveQQLv2i5tIDYfkkyB +sVdSt8+vuGa+fm+a66ooVp21cnc0+W/ilRP4rhV+LD7ImNg0enBraFpr/6QJNMrI7UF2QdAr902w +6UkLr09SpD8e+V0YiGR4rhlYywK9HWFOIiHtoDmEi0m+7+osK+4bKiCNrygwhKDyjtPzlZ2U/MF3 +rGb0s4XvkT/CYlIixqPARvMyY9FaZ2XgOoreBX5X21yFlAABVJxLxgML7WcdajPN+X3uwwzPeg+t +5Gch7vQsLmIZzxzbniSEX0BJLySQRnqCzk0Dc42J8Ssefmd56P+gktUPcAU9KIHVAeEm3bbJE6hU +oqKhBAETt3yf10Msre2MmsJ40ppUecp7m7UT8FoiLlM9s7xVGMROn1HoAbKd8s3CWG/EyTl1SLz0 +5OTwpLNc06LwvjPyFlIcF9JLQVgeMbvJh2xeUfq+VdJ5wgV47bF/cFTlYNSM8NMCGxtKtv7xZtrh +s9a9eqCkzQVu7gYTHxoB7+vEZSk+aV2zRF1MYkYtK0Hx1Q/Tuvy/C2YqBvv2p1SCUl5ct3SIlTn5 +RtBto60fM9t59n4+AfJD5jz+SM6s1kkrna2Urav8IQhq1ZM4Q/wZqUDcSLDXTy5J9lhz+N9G8nTy +0vjDdC1SbA8E4QLidURlNyjuZ1iGNDEPdmpDu47vCqSSjA7iTrUYaEb3KHsNbuo2mJ/wT3ldNOpe +Gf2CNoBSO3Lb53BTZJEPAp/z6ltKUH/cf31t+ZIl3lPYnXufGSdEahJPVWZVDlLXi1R4QQ2NoouK +wSX78i35ZBe66PKl9bjOlKh/kyf9VW4M1YcoPXFvlCuvQxK3pVD+JYmqrzAhs0h9hCmrwIVIBf2v +Ab9qHeh+mLsboZncDkpii4Be4gFY/o6zkkY/sVVOPuLZZcyUchtWLxtE4ov7xl7XCJQBJQJZAHCb +oTFRi9phe1yhs0G5L3ypvZ7HUsmZGKfFd3gwjEB7aeulFBtB43WV8QDoS+jzc+9peXbOvTllKHIo +jUwZRpKpaDL1AHakFOZFtJngBCnigAmIo7n9Qc0B0ExVEa48bTc7SnDugVcw003evZHZzrsaNqqP +QAL+t6wj63sK790JNcmmUukym2Snj5YMcneDpqoWdPuvAY+/3/JoqdggTKZsv+XtJiSqDqphdM9Z +wgmZJYcA0r9QhVsMtf9ufdCbb/d1Nluye92yThkewUuWGgRE+dUdmmDwZp8O9pUTUEV6ltu/jbHV +BJprSbntOJYXrDt0KTPc9xk7e2M1wmn7ZmyfuAn0XReuyAv5XcD0Wpk/me9+5stAxTlpbeNDP7Mi +OzGvg9UmydnSHQ2O4WFKTXUdAyErj1lGUe5lHFEUZQUwiu7D0bF5t/eFWRCa11g8z4ogAl0conbO +VuNU5yx8Abd28Nd3e66rv+CfZ69aqWZ11fGoYjU1KjTQPXOvgbBcII8O7GOHCzW4eRLV2Z13BEIr +3wdbv/yM8Vv8PKv0w/Bef77K+UQRFNdmZMgASNbHFQXP2DphfUnoN6/aoUUzi/kqegPXxOI+MHH6 +s207FddEWB0cDM2aYMIyMDDr1lBcpHV0ECeLpmit29MzdvAUHJMv2ki45Ov7AU54RfAmRppwtM/P +Yhw9/4w0Toxv6SYdUqKyiOWc9YxvxOBebNBvvsCB6kbrloWRMW38nGcgDghnXa8FcR8ViuJvKr8p +N00XVouA7zA7oexbsX5pQR0pQv2jNk84auPs+YiLnn0x971+Qm8kRtlMeOeI1ZtV87+Mpf5soVEn +wK4xkgiV6MXFjVCbMEW4gX6lvOAOmDH0s6emfarUmVvDhXrIZMJBT/w7dJo64+uENafDI2vq8Wmg +RO1paZaldBrXuzuYd+KuU0x/FZavx6bsaDp9gxjrkhGBlHT2qttm38fj34sFT5HGEff04AF6diNY +ti18XFjuieFKtjoxu3KMZMcI85MGr4cODmOVO7aeUs+aNf4o/GpXg4t0xKrQct2wyToweQhOJKNU +UhNq3Kj2XsGcQn7I+5QF/qJcHkDu8fDCInUIIsUivGAtjPQmRNGKR/F49K4u8wRmPFj5wgJZjPwG +/JUX8LOHyvJtjnqzXi8Vq2O10Xsrnk9HXRwA35b9gLSODBn9Ju0nFDtobZeKiobTuUfRxgnyDuAy +AL/8/LzbtE8LHl9khzOzvlrPwqO8f2lC9JbHMBkQjHVKaAcrYMtMpbgyzoNWI0fj8K8fMJXzsa8Z +n1ixzSxgmy3voJ1bEpGPzG6ytM/7vESYhky58XlJKYVKEnYwrCiqZX1MVEQhw3kPPWNiEGkPjV8F +qJbt6Zf0YdFX8eaz9/kLRtHmHQkp8jwJUyFWvczOq+L6riSQJYrXOiPRFRqEAUmFKLzL/Jhjh8XL +m0rrT35rcL09QOKnBkVJYg2zC21pKuemSJirxI0DHMATDxWPGuYfdDTDtXAFFmhYbT4AOYBBMn9u +3iK79pGfFWKNSEIM1ngufI3WkJJlb4Q1nU6hzkd3TcqhFQ7apREVXnWOK0ZH1Poj/Yj5MrZwfA94 +JusGRRiYgD+zh4oLsxAEYodfEVEmnmQztP2X47WLrH78peYsDmQ8jXexxlsNuPd+pSMs6umL7Eg9 +A5CG4/XiB2icg4jC718thET7KTf0agW7q86Zx7iXe4DZVr6RxBV0/38pznQu56ArJyIKUTYy2W5R +o1XL3Huab3Sje1A81AjkYuavECsVY/WlmM41psP2zVJ0sCTUOWcr7nEWfsWguORAvaih/JSS5pxi +qrZ+Og/fmMXMgLTKwiPafAJv5mba+QBUQQUUAejon6VB7jB+4oHcYZWLXJ4Le/QvH6mdu584XyBe +wAmQX1jPPCdpDQ1xxGkWp+8kjCKloT9/rbMTmuKwZRbMJKtfxwqqkfEWHwOrX+8Lf8Bdz7TdQDti +Us5O2pl+eeMq9+BZ4i6ne9Pe/YRwbWMBAN+wzKgWlRzssiGajDqHhG23glHWi12Nqe2TWNnTldpD +ujjZlEkUxXnkWakE5+His9eGd2G3zEmVZDVAOcK7iAbxeYpayZlFl12rnW0DZJ5FJlFZty1jx4al +wDr4TUGTgg0MTRjaAsicj4up6wjffp9PP9HeJHVTif20BTcetp6Ky0AH6E3r2ZbrIUvysS3RmXok +JmOc0SECn9Nmjv8atMYyaagO6fdtYIabVutqdWTXJHdRhXDcELckzunq8/wtkaA5XoTYTxYcBh6l +wxx0Hah7tuseSSO/8uiys7b/ebxUvK6XU8mzaIHA2iLL2As8tuJ9ynslUpyRquTJCwU7UdR4G5Eo +jhf8pTtWklx6yAIt3Zw7pMfRbegcKg2wuqd8sVCAX5MNn3QRXjJGvMzbFlHoiZgbO93NHbu8R/ZV +zR7A6nai8i/Us4EVGSBVmxZhTn1vDX/Qjg7rwXElCDjPIQVU5c618qhcxWAcVbL0a7PDek6n+/4a +u+kRaDy7MKfVKpYIG4Kx22CxQuyqL8N4AW6wz8g9QPxiwxUlqn/K6KZEtHQYUDFcd5A0SCnto4T4 +s7yMy3Yy1kum1RvvA9hPz3gjOs/68YJRCMEoh8joREJ31TJ9ZGv6kMjU/XTMldTmb5A5jRlb6/Or +N+VeS2OretFqLXAIMMZ7inHkzXNC9sEpYcEpuxqFkRHG2xqtoIHNF94FfarLtyhXJNDwV6cNQQ6b +Wr3n8ZnL7R3vOJdvakD64ndgoHeCXT7nxbe2ZVMMJ7n5oJOHY6/59jtp7+vpv3eq2feKmkyUZqzO +uzdU1edEMM8JhE/LgnydNe5v8YrDRgX+yNqYX4wyZoR4XXjBPXRtCPzINbWzSF2LqgdmsbZ66w2B +30QWtskt5eD/OpHnMn+ylvXREbH8p1rPPSoWCqcTtqVpsD7I644JwX2vwB6pFNPvSYNqCr/2HBb8 +d05SjVAj2hSrFoR+XYfPBydowVXVGw7x+mSVAiTG0UKCUZUCNKbwUv5yRNvgE80kZWTXcsBHS3d5 +UzINMnGrQwfsYlUTaj5D6rlcXKUmWx+WT6o+cHLavUcekys0X0AGRS6dFNyaMGh+t7/bprqffdxd +4Jb35mK7+LHYnqosdVrur48HwF9nngB+FyqAi5Jw3IJ3/6CKORoSiZge4wNt5E7rutLZBW4pdQnK +qewFuRl4yLcowPHCGVgbIrYpRbg72+38nkic26SUmQpx2u2F89eycnAuEup+20BzmAsl0y5khzv7 +CSFnpnJptn4JPFzOEXMdRlgQlok3giCQ5BdeQXUyxtiU3wd7QfTmbNOE+hEebF/g6R3GzbI1QH2V +ICiSTj0zByKymn93v14IC2G3U61uN2iKudbPkeIqinJbG2FW9SYcDH9SlhLJCVzK7hAZOQm+Iygq +lwL4w4QKxGyNHUDtRr4t48Z/cvIBz/GG4Ws8anIUh0OEdk5xo4kDWiEC6J7/xqbn1EMVr/S58uYE +NqG5C/MViitOpUXhUZ/e6eNbShUus6fUKaarvWd1AwhTKEUkZ4wDgWBb8hyHnU+gPS6fTEvct+21 +MZ07cIWgJLlovZhYWuPB81a1x+QBqpjNLSXI/5KQHlBFyscgmVwQO+I5rVTuWIHAHefB2cBb5qDU +dFAgY62maRTZ1SmhNUTT8jiZJISWdnLFU4EXRxPcOAi2joAja1Kwpx8AUjZD46FlahpX/E6cQh3J +90wDpMDnBs8KU9Clh92eBVkTeFOfX0xMoC+ckDN74E6NRA+Sb9FT5zchIPkcz9kmK6eBc8cgUPZD ++ns9lcknIcR5gPJZHDTAtCehnEBPoxQPFsc3Cskbm/PQAADnAW0uJ4+np/ZhKkTzu+yQVM+7Jyqs +42Q2YBET1ei5GvugznFY6HNy0WTm5e8NrVGtBlqrDXUypbOK8lg+DNFab0QFDGzFhJ5PcaNZtIzd +hNM1BkA+Vi9p8B1dw4WvDBhiHhkCzN1/RceQjRhB8mSVTaoKqNY11fgritDjT3Y0F9weS/t41Ad+ +Gg4G1XLOE2XlW/iQ9HH2+WJyIsXaqtcMhxC1TflltMQ2n1/PfumPO6Dak8cO0xuT/bJ+c5ddUe1b +kaqXYm4KlqqFDwKpvVCCgjI8u5N4xw0rVE+WkyEb/fbnXzFSrPClK+lAt6vL0s17ma5g3dF9T5rx +J3UdBq/U2w3K5+PA5L6+e8x1JkH1u0ctY76dc0BA8/v4QWET11bpAaJZC7Mqq67xrDiREXSwDPPI +RG32IsL1dgaybekBEkRO5ZMaQAGcqd6AkoOsrOyOTE3NEdEbLvGnTy2YP+kfmauClnFuT647FNXb +Zpr/dWMlXNDfNT5C+OcN45Rnaq/ROH776LohwL4HFJmDcewSW/iDLsRjHORyESKY+Rbk+A6DqsFU +kg2O+GQSMcOUhipIZxtqYWnkZJwkFY1u6rPWKdP1ZQ95Xx4/ME3JzHXgWfO6UH6v7lYMVb+jG1Bp +A0kwJ75L6LHrcEQS9Ry3q5lH9n+Pnq0cpBXbrJcr+7Qh/cV6fkESKiJroXVxiFQI85ioDpEijC4c +7DwaOnxiB229T41i1WDGpI5NMsdTnd/L0Q8AI0jQrv6jaR8s7a0Y/e5H93Xpae+DUp4uxb28kaS8 +z52kBWiLY/JdQqLYDUjQczmGaVc3G1qSmLr5giBgBGWo3A3gzcjmJi/8BLuiQgexWjXOJF6FjmNC +9Sr81zczCmQH7br2RIKEQ2s8ufstaBJKRBXILIlq+RD8a6XUDrAUdZprmg6Q/sCPPz/Pwkl6pOw7 +jmL9JSnl6SjIDQr2YhTO331Wgah8cPV+Kgt0JuEZBza2MTiL0do2/KouKbcE7LTRdXWjTWXiWiLu +f5bvPBi+K4kQb5b9bxhESan26fNOy9vO2J3EPt/pIHoUUuZbymNDJfDKDOAKm7uOcaRSXKKS+Y5m +qDqpybFPId9J8l2KYHIqoyPVFOgZSaPMaYsa7RK0/Ua3W7oBnmGL4K2KiYhMA/X8QlCxWl7z2v80 +Jju+aimwM3Ncgk03EzXONCQ7xYzL52zaxe96vFRbq5Fr6hweAjT9uLdv0MqcxET5bbtaZgTibNtl +SZBTTcSJlGIU0jdAb2ZoDUh0J4HVc/4kB14klwXM3RAUSMP/LEdKeLjQ2fndPtTYcx2Jd7AiKlV9 +ziG3wxF1m7y2U/16wCxvHTHDrjek7gu0489l0xliVUtKvuhGJO8jwypHmYR5X6Ttz2U5QoZjzg2O +xOz/Z2dKmUrLZFGHq+Lky8T2YwWb0IPMEBnAQtN8GRIdSY5q2r7C9XwyJwYr4HGf49IJNVBAc4yc +piHRDuLmNucEcLfwZhwVKOK9mvoOOzEIh7BYNJ09L5rFvMUKA+nfO5CNKjCnrG/Q2NW3xDakg+YJ +qvG14e7Lf4Cp+OnbaESAGspFnGV+/zrBRyUqlUR+IWm9w+pD8mOabTGkkO2MKJJR3UAC56SWFEHz +hxlZt2xkfYo25FiKKLNwtPJI1zPqlMewqQgcPIPpDe0nHF0RjmHxWJNsfO2PG4QT5FtUPyqmYoEc +A2YBUQdA/2cPYuMH6LVL+goZqm8+o7P6MpF6IdIdnn9XomhtNSNcfxvssglgvPZai3XKX3AWHz4d +aD6FrP7I7XjG2QFYsZ3uwMkjzxI+9CtuJRZ6jaxoLz5Uqr92DldroqvUyFx1186pvt4WMgJSZzKy +yzBnk0/9pzPQ8z8nwnt5YXBX96QYo2MKaZlIekQd1tSHq8qV74I8DMpWEBswMrvHlBmXaF2hAQe0 +6GSqbni6mVWjprn8F51keEESDFnHv3nN8t8/4AS4cZ718zaDkoJoEm5VT8+bsqlEmhSH6W0T530m +OShtMUW/03wTQX7HtK4Kl3irkIxjtenH3nBKn0zv0yKlT37qGK8y47s0p56AyhnPYHDkmADGn0Gr +fFG0LEB30QfPdDjvEHQ55GkQU8VS98QyWy/IWFR/MqsBGshxh5S7T8O5Ri4dKNOYoHL3ibjiaX1v +/JuKh6qqEh0re51J+53E4Ni8bd7DALqIKD/qpLQbm3rLC1cpjSRq0Jbek6HI89mpM/DAu/5rLJSk +0DjB3LeH0VFfwBrT59ISUXQWhD3HxmaCbl+jzVzShHwwSwFXlzI0OaY3LL9gIrby57jKV39waxTy ++LOdUSCljasvZFt1N53gaL6r7s/zdNJR7RiM7MWBUyMA6jljYP02pfag94ja4oPgoYnq2qFGTkLR +io8qD8Qw21VSuVDpnVOHT+tqcXUkI6tzfBEN+MEF0lK6RigEz5PTqjCYuOqsKXvg3TglA58tWpQK +svRyQ+QIyP4Zn9AJl9LMQCJqmzYRmEIS0TYHrbbxs6+UXxplJhTxCEr6J0fzDlXhrwOasE52t6K0 +XPcO0v8TJEmk6+UVAibw4G6xEaEFVNRl0Cs5sljKnK3yhWlXen0ptMVKjfdqjmo8OHrcq4vvb94/ +LnHLTAZaj8C+sZR7xW0TtxwRBWrD8GXvXSlhM3JcdKkQwKbS3H4rHpAdvsPr1tnI1l6bNyQdafgX +aAcpoxTba7Qot2akc6SyHhSwq6STATzGO45bYx7uhRUGn8dMD+jQMbaCr0XZlZBcejcy+pImGeyc +LFKavZTQ3GqM8K84Gd63AQRWp+uyBJJ6cJSRMOEuwkFcLszA67wZ/2a3CAFyLszpCfYJGiQsOplb +QNL1+GvdCshStgOcZE1r95KS4TcZ+A33yy4l6cpij9cvQDLaIrAKJRDLDcph+cxw+8EQqEnWR4TA +P6oydchvITtfvSq74wM0nT+JTyzWrAG6+PaPwb6yol1kqe8BoEjoFEnZms/dDy7IDgepA2Dz6Kkb +JarxgxDi8VNwit/LOW95eapj7LEB68zfDyiMSvbEph6NFK4kGIySxpZp0gY/ebYZwq5ehCk+KrDx +RDNJr/1/9SgvTaPn/j4ygibGGPLf6UjMkBWWM9kdQemhKaVsRIeFXTSlLCk7ckOPyi7xTQqi/pHZ +XXg4qV0ad118oJyydU5fqSz03kWD31CZsgTq3sDJvIUahZ78BN4+/M4M0+CJkziWEc+t9ajFNO8q +9i8iBMYQV1JO+eZLkp76J+qXjOKOj680Lsc5KP6HJNXZ/KrDE+lfouoH7dsWxwXSbCIT5fBPKcoz +7ElcB/h/J9Nv3u6oiGR5qLIZjCedCJhaDflgwPLzkjZDqB+xvgm6OQyqjWuSaJgqhN8nyJhfpkaU +LX+7SJo/qen+Hiz+enVLp1yuz4s3ABCnRgbi6EGDQa71nZ2YtJtNmFB1ROtYkb+EP3hJxVA+TG5T +knLZ9BmZI4U+ZU7pDk+S3y1mDLe7252CSp4h3TqYsHTeipi0KmMKSNc2+QLA80mKnDsaT1ZSD5VE +MMQBZY1t9RzbTGU5hLSvjNx2BgzlcIQJUiUXBkUzdxu2p7LfrXrCGBhmpwm6ZoCaGfPoNcv+stKS +utA9kNwz4x9VNvNubiTi/YHaWgD1KYPSuEhTjqNbtsisQ62Y7StkIYSmwj70kzwsgRvTLx4l4kLo +iS2MpCV6iZzmPVreOcK7/XweyBk8z88RUknksWyuCUboohnluyTtyIsemJKlsffcr5JU1gKjdzv2 +KmtZtQ+fkm2M9ohrGxQh7XoGb+JMEfr0GZudOPk8lZj4NcgqVka6ByX/7N8T8tT0blwNh6aZeiBj +wnxWQ00nN7K3fIakpQgf0kwoKse+ti1v1No4FxUe/FR5wILulmpwHDmV/N3+khf53CvvuA2l/ZBl +iV1ir6dPLulUpdfbLEqxKGgYL27jbtA56H3iA+I5CttRgONgUKfYlhhFy7htTh0wSTMVquNR7zTY +wCFP0nQp07lI+oMdSFeZz1QP4NMkSyGBEEckq3GOIHdXOOTbWCr7C0KZXmnO+QfwHykiJmNbG6pb +Lm25pr9n2VrBh9kKCcWgugULhhiYRHzBsLQkFVnqpgSHZsq6176zeJZTR68NpW5JAo9Npa/GxXyu +Ahev0XDxvqmgD1KXbscrsORqbN1eUPS+JzjLJo0EdxHreGQMGczwM/1lPtsGEtgtn6NW5oufjHwS +P1j9/ioKGzvd1ev8QS86dWxKCaEiCUdwkQxpt/Qdml70DJSKf3qHrkG51XeCoVByrjC9bOhENtzf +7bs8BfsNxLdtFGKo0x1CZj+wkU2VnUnVJOwztkWjMJdBcKwISOTZu/XmPGoQiTh6y70rVuEcD+d+ +0xpNIUfYLWFvWhQp11DSsrdFdQI4OfRN0GR+bfE+P4+Ltl8RZtpmJt2JkIrQ3ciNPVGOncLC+4/E +WzRbM+KOcnR7X3BHJ7gCz7bEjBCt2Xrwsw3m6BCvHySqq7QheNuz/iiXxZT8KLCljERqjiqaWiRG +Yp0y2zKCtGYkUsKKHXX/gsFK1tIXYaXpjg9iUl7pFFClekXTBw2wMjI4xf3Z5S0EsfJDOCTKUiGg +lTn3h1u6zZD3suoOtlzJn6J+V+fJiui6ilY6Lk87mkBTuizQMGTIPpLjWf4Djiyy5AesRFt4aTXC +6vTcNhOjUDZekHIMeh0ku0mW1KaQvpFVfcpacbQDx20h1gJ/o4SK5ypnOfw4cAWPtX6qGC1vxkWh +6Ae+QPHl8/yCYyOMZ91KIwao7sUnF54fcAMNB5GAJ4uOXIuVSS6xdm5um1kEGa9Etlf+YByK9BHA +bayVgSQOqPX9tfaWDl2oxLMs1e6EQbf5GiQAFv9LBy+Hb9NEXndy3+JMSOIH5djuNcWhCLGFduBb +d9n5niWNh29/BlGsj863ouNjigA2FEv7Zx7nlfLdWOJ/rfyc2lPDI6FEQdNhTNW3bRmnnwIus0/6 +bDakOJeFs3qE7k4jvBrwlcI0oGDuUfkIoR/ToewYoO/8rDl8XLuyvR+z1GNKW82hb4oMamqBRYBf +/bVW5Eg+IcmSbIFLaYt+uVWCcqRWHpc03yDHIxKlAKWEyRKSR8ZOVp5cIu4ynzs6fi4AnobCnrng +YITBgCJS23fMrF7ZhdWeXQvhW4kcjVLX3jZcNxN4ce4EECz47eDMEhUYz6ASvOBxe7uDlOdNuokY +2Fx5PHXYSHQOlnewA7MquSiw7m6WSygv/u6RB6MTQjeCd4D4D0QEW+uI7MbmnQazaaHyEFF5mq6W +hpArL3IO50rKhppvGNZ+Xr0toJpYgdIIVRRbABpCnsbEHs7gLDEUIBfPY332eI2TKeK3RdFbRAZD +Ge+4Mw0NDVrpZOXRdzlE6FqaqcfON+nHjmyPMqkNMAb+rCfhaumtuG7v0kJxY9ATEXIoJg2L4nLx +tFA253oLLEoFRzMv7PvVucSwLnAe2Zs0Ao/5khiObbC40x3uzvccNOb+0Of4U9tbXyXd+jCa3Jfb +gC7OoegMHRq1r1S1KXw6LKokH2X+rLHgAXii5fTyvJ0j4Ilo557pZzA5G1GttGaucJEb8rWX2s2x +IyHZS16diaYm8aE8wNoxg/JglyylyvvnyjWQKbRzUW+3C+Hebz7QagvNz3i/dNOVTlU4H/3sTw0k +8DmigugwuDSVtJRzY4ITYWjPZrsP8WV8UJh4r0m4dtVhJAxXwuSMNq91EfX0FdaCiFUBah4XwC1h +9S7B47gIBGW+SNu6v0nDSxgKyhN2b97TgQyG6lTJa/f9mKIdU466ZUZOWFeCZd3k9ACaTH06n6Yr +TGasLRr5Sx6p4ED7AWHOuTHYjU0Fo8ve45+dMmNuILHEl1WLSxRmOiUY7Ww+ycUybzTKSDWYmgrb +yIqdPPOu+H94GjzYhV0Qo8o0oPQvFK7OCwHQhhxifpTaUZbH/nC2bAGodtapitNm4vVvckXq+xml ++M/tWDPCfI6ozWICyybqGNpt1Vxw3NYEN5i/1h3UhIQDCqS5GkCBKK2N57Ui2xy2y+N3JrogZoh3 +uAtg4sdQGZAW4ZPvfJvt5MKhYdBbixMZOIeFSVagjYNAEIPPpcCeqMOgAc2J8Oyu/hFx/kBaRrXZ +LWc01fHPJ42P9sG/ulWDgVQ817stNQA5fUUMTbN1KLUkpX2o5wKb3rMIxAQTvRdP0GPQ8MTjfSk2 +2N4cb0PtwhI/I5rAt1vdR8dXA9pqM7PCX0RMfeIqGeOM7gwmbopm+VrzxzfpraFiPJQXWO30WTSs +SnK5upd0houX/1IPxYwP/N1ogOAFTFNak/oH8XNwf3wsFT0zrt4VuUPDQ7nBZAHL8HoAU33EhwPs +SppjhVA9iScfbWOCPUkEYPy2zo2Jqp6G1Dq1YOLNYHi3dAJus2hFDpxh5/8GJc6n/7jFVeg8qvRI +UVIzsYjIgCFgywVn+kQZN0pR5/8SIqFuiEfWSRSipr79qvvhQQ5PIs4LPbsxJ4W3u6rPKhwof0Id +Y/8J9QOcODe9RjfMvGIWUcV+3NwAAPuZ82GmfNMRhYuDGJ7EQnnxlQcG7Ki5QmKiheWuOirOJLgI +QdvPoWylwhzCznH9AmtPBwbFK49qXF6wyKsJW5wHNp9yp3ODioF8gnyfFKqnYtr+RYcf4sZMMtap +UVyghTeaOPBnZoI1Q21SnHqfpq2RXEGgEcqcfadltTxuwClz2y9NZXX6lfj7HxkrxA4j+ijsW5m/ +y9isIF2OTetGnPCxybOt2V/e6ruEGDWZaWKNTnbxdsLbqxkX2KgHzL3wjTvxVGFJ2mVTogPCsufh +EjsfzDWFc4RN07ogqop5bgZsVzSt8red2m2ZIyNSMp2R84XgLqfM9AMdTHmWMARX/W3qWcGW7vM0 +o70dXFWhUM1EbMI8sDqElSxMnwadA8hRmLJKV4JCkIJU1PqFHZPblyUgi8FXx29loPw98z5w8mot ++BU9sa8EDw8GSsGTTWD0Jq0yxi8Fgj6eikRT4elBCXXeDtEZJkQ/Bg1Me19gxYq4NOUYOO15/G6T +XQ1NvQNqwOTPF/sKgYAwHM1BpUfe8ptR8RbClB0co/5ePVbHdgCn0MksiB7kDvt7eCCrr1GWqdd/ +3hwI4+ljDbZ66jLe6TsrAarog12jFN1G8SHTZv73SmIgAXH4KHm41xm2I576rCJtwd3U3NseLn9Y +W81wC1/wBzi7eyj+GpaAtknCvJDEZZ0vQVYn0Ual6BQG5H2CKJokKVJd962R//M/zK87EEhEBCBB +XakL9KX/tU2Lx63Ft9lneMD0c6yGkO0GmxuKuhnWV17Kqg9Y6zxv92aHHH+VGd7gPczz8ppJn3p3 +56HOuH1GGyFGeYDfRD9y/9qCWslWAyUh/KXLLP6uqhxl4hWzKkUdZg6BZ5VEkKEJk3pGH2XTiqnn +O/RO/kb6SNmEG6bxU6WOfE9pjXyzhZF423prKJ2IuFVjf1jfin6dYcWGfgHdfpV7q4wQatj/XnWi +L07pcwi00a1O8q8xy35BCIpT3lGg6eOk1B33zz7dAF78JnSx/2HONPvorCodtR77vb+pK1gRFmgD +mxN48QUk0+VBAFfiS2Db1gji0dxTULK4YACV5jMF1HvQmQLbqHE4UkNacm1FPGBWrJtfUUDTdDuF +vP7M1563NL0hNaB6B26mBn/aF/jYJhszpoLyHOOQ7D2lsxTNNo1pyxjIwQ6mTObAIUyQf9hcGGds +o98cU0SetxmK3yY5lTZDV89aFFb+wgiX6I2LXq25CuFJ9rDdjItzz5jKdzmCOZu4LFMGr5bUZ4Ti +qMVDNjW/4Me32ZnghIRq4tTdrijz1+HUqiZrTc2bA2xsqkmNTrwqtJNkhcjB1sbl3XYxhIKztO9E +e4z0vUzGBkWPAieMa5waKzb+jI023v2QMCr8zp6OlEgOsemQvbPqWAZGGlnaqXgDcrvTvke9IJ/k +PxEvbwhSD/BvAOAP3Ahx3rTKowRn+qfULYlLO1RNnBgk0+firucTT32tUNES+YqdIqTyhTk1pjcl +d7oOWaaYBRF3fHXWa6Nxfh7mCKMN8mJvixlVPu7atPGQfLpnbZyLiq44zKIyQmsIQzzFwT/J7u3l +qcGsMAIMlLMy2UB7orsaJGL3JVf3LQOAHgDPhD52CJeeNIlcY73PKuR05Gx7q8nkZiXN2d54Y94R +mK6DQ0yo0EEqQGxVTPhO3TYJMQl2i5KzCl7NOJVnP69okNlf7AbfKBQPkGIOcfLgSnnupBWiOtDJ +ljFldtNbSHQKOhikCrd9KuhP9EJOU2jJoRyz2d1XGX8JlF8+sqvyivYLo2RbWzqC4nt3F27EU79R +wFUf9af7JKYVe3czTPUQsL9OsBNfHqwsbxCoDY2NXJZBPs2cZUAHi+VYlGQJyO8teSNr666VMkf8 +XA3BIltGzvscImYM8/rbsazB0BnIR4IXBE6Q+e+VW2rpbrWNN+RkH6arNP780eLHhtfWS4+U7EO6 +9XpZ79UsunpHdn5e2NIDrl1vAFzapL5ECUFK4zBl7aKcQ4YQyMs3AJJsYMUYVtgDigozUiuEjS/K +5NqrryCejtszcvsb0lK8QkRe+yC2ZOYAQMdSpRxbYuWoZ8ze+neOxDar8nM6s1NWrZxemtFRmq3d +1lduSdcCaQyCZ41YlxSXGyoNsqMPrwcLo2E9IA3hbdar4Rgohi+HBACWOcN3PuFFBYaCU86KbEQB +fRKl1W4g3zPABPv+cwg0IdGAkvFl7e92UofAv8XgE1x713ArAntBYaM/37qrkNaD4yvEDFhks09G +s3f2yy5qIymoKvIacaQd+YrARKw/Q4DPdyFJp2syBlUnCwq79Ur+Do1sa9ssAatoz0Xd9gcM7hm8 +2eCh2SdinX+NkI/pNRCeyYH5AHPYYmo4RXjC5sAystpQXcJMNu/2NJdlj8ENElM+BsbcrKFS09Xf +DHFbBsXhfv9fa41RSsJN8yA/kJC53NEkekknZPiToX9ZW84o6ZadnnQ4fu3VvKnmOkDsHoq8pn4h +q2kRb7hxjrR4cMrKYUHm+i//WYwyViQihhEnqqizFcmVm8ozrKXrXVkbVA3d8OUc/kZlPxcoA2i+ +ppTVopWBSzbP+JjH7Fq+XOFiLCKFwEtJG7CTuA1PcNI/pTitgMMxgsCK37fWEtE8bwxuj1+PYJuL +7zBlpW6NL6k28yuS0gAFo2iNbvjWBgLRUVkvx3fLkvSOc3p2uXMvhmIUAFhjjbopSc7owJBfgamm +hNGWriubFdZGSGNfSaQG+OEVeGOHlyPu92l1abHj600+ciorV35SN+I5eh+UEVuzHpbRsDK8q4WL +9bLxQ9KN1mTFEP+G8bSiPjORCek88L/ed7uZzhYtKD+zX4WPRqddcPIhhOu385ERfk2MbA2VM4VL ++4HWhZynoe3LjHtJqDPzhGs1jEXUWtjbKbSyZ/nJqL0W0S9PQp3RR8Sf7IR/ZGaZM1CQ0CABtQ6H +DpoC35QmxhbtkcVB8cILRGI4NHQs7YYCixVzQ2JmyQIDBBlYPf69+5D9sNQ1yk12Oec+Vt5mhp2v +9rwc25g+XdH9iyQQmqhJ3C4Y3LeMLMEFq5ndg4jRoauVJ3RnesaPPdSY7z2XPBn/1RZaHWYjqS7F +bpfXYF2S+392NLzcmkqcyE4ymDyED/Qcs5N70xJphJ3qnPf5QWOKMT1UsmknhCU4W0Ve9gHFZNQ7 +Xu6wqTnxNSChP4XU/QXOSC+NrI5MmIa4c2/2iJ0+rHBc6dRYnK6ceQqs1lB0pbPr5RhW2JDnVZ/a +pvpTCgAgmNNtHcLKZ6YVS+QTTK0UyK3iFIkvbvN0jOlsiW1ZxGczSHK4oz8EcTqx50da9NLxyWNb +CdZviSVJaPndvMhtZQNF2O0RDaq28Q6AhwJIcBWBHMZ/XXRMkyBNnADIDHq8ASgRgRbVkTXtHnP1 +IfiedKlqXYLVTYxDkT2yU4l4ZI/C7FlSRo5u1xteR8XnzlttsmnDelN4NsmfWuAx5PDDsoOGjhKB +X7P0Ds29818dF1SwyE9GSa5kUx/CDsLbEUh+0vXS01RN5+W+g+ZQShlMC8FonepUvDtQt7jGMNOa +L3ks1nrs068difeS6jeWmPi2nFBd4pYnwNyDJ2gzI1uhvLeoAO3ZtPsxh6swentfes3P3QtqFhZ5 +eRv0C85FLx3cKXQuQ9L5FgIJlWA9KECe5wgCLNKXSg9AW2trgx5YS25SrB44Vb87Wj8jc7jZ2tFO +59qkT0afLbRNMTvb7aV/3g17DSofNCM05WXX776tk2B/6z5YmW3iPdXu8joYd17LkHR7hhJdJMW5 +IdJ9GpDDRyvONJX7EHoJYTr5+i/eIQjTmBsXBHXr+RiFeofmu36oontE8gguerncsRA5L5ETK/QY +IBq7HWnfhwMUNnut6ar5hN8E2P3dLB7f2fkh/398LBPedV7xnbAgiMzWa+LAvCndqYewdmQmEJrx +TXn4X4LvS2zpYNWl/xNBaZjzJ1jSM9xtgBPBENHfVHjMcYThcTL/XnfAi0yI22D/vPPgbxg0kJt+ +MGzhLFse6TTSx7IztVDP7QNmzLepwq4mjxukdr5eqb4mv5H0pTrYC+TOZigmAUPY2skg22VqcYnc +7WLgcUitU2xmzyQw3X4cpbep590g6+hqj/AwUgbgQ78s6h/ZE6flHpRYiMMHx92RrdTNi2jrv6j6 +hcwpS8OXU2WXyC0xtI3eTsDFZueNaiv0N0JF84MujMie74Xm6HAOC/7NK7EUKORfplS5wNLnJedU +wSGFuPYCo+tuND4QTl/Ai3JjLFqLvIt7YZxbjHqvd9wYX0XxtBLJycH4h+1ciZHJW7qpRF8Lug76 +XvzgDi7Z59rRvxzi7gj1b+bd1MHRe75AkG9s20pXPMPG7InAXobdYxP5om1xkzFIOToBpdPySF2L +ZAL2d415kAqIhiLQLPwZ1J0zmaHR3BNgCOMCb9YGzBD6SsbobAz21nV6Ld6PsAY0fkn8qM/BRweH +ScpGhJqdLehq+WUJkVoRq1FywJHgUPJ0fEk7Z0gfKKYJuEBWha1cCgfPe5VT9h4OSkaOrS9wPOqc +vh1GHkbbT6+qapd1CnnhV3TKvjdPJDdad6meS9ZY/Z8eWxsPPQSgg8ULQxkepbkoagobzD1JKgaH +54ERpxK+YKQLMvLO1+KAj7h1Fs4accNsW7YT0Am27D0ojDeb/L6whQZM7BmfOUYqyjtVmvEHcLIf +5Ow5n1of0fFgOrbRDLvIfC9ML2D/EnTkyrF6OUSsu2xglTowX8ptb2zT+boRtjfxtFGjnKsH7pLs +wXkI2DSgX7BZ1a/VZeXPMWM1OG4v1an/glqLcHEzDHpNHm0FIcbXbriD6yv1P2bB3VZhEBZE1am9 +QCcdxnntrqZtGMZlbxGkz751KlJAJYzIc/WI/rgVC5K791auLoJloCbMX7LKOBa+9w671ejRzJ4p +8MIkYXDv6P4X1k99uNIRbBSFRzg0hpU9rSMuJ3N0H9F4q0dQd1yJx4omfb/vnXnJiAZHeKn6Aybq +WBaTvKkAKMIjyz0mjde2cyB/0EBLGphAMyKhZpqkQ0+NcBj1ItSjuO6RDUSNwez9uD2s1r9qEWBS +0/N/vuhKbr6pM41GLHKe9BVgTm6IJELN+4xlcCEKHF1wtF7H6NTIkA7sYDAtB5Dw+ziv+yuLoEG4 +9gpN+alIB4fbhQ6IgjCaBelgKTQqoC0azvxPaopcU6YNdn4AE420a+vNGZLr5EUyxcnouSaaugxa +uftkAiNQgMSN9rnOmC7xRg0AZEi+EnPXfonuKREHUxwssIcDJEMtELJD1aOEmHgPLjt+B7vuomi0 +pviqjA6zMg1dNUFt8h7WvV/YUWX2laqF/bzOH9rJntBPjyIzlt0oKiEe41S6bPOZoa8vGutvF/Ke +UWBeowtcJV+GV/mdCZfxpo3nG0lxAbvuljVfFrCfrgEO/PUC3xHlVZT3SkzcYPsbsLFI0meJXK00 +o0gby0FoVDTNlbkVLjAW6H7fITlNkyctTcsM3nI+B/f4FZ0x7/NP+JyXNR8ATxEQMSut8ZrnMiUo +2qof86g3/pVUbQaXIvjwAFYYM2QhsuvxcYauKKAv3T2Gps8TR1Skww9gneqJEl+W0aZ+bO2h9C/U +MGHjCZEPUftx95CfvpvrQ/FMtwRivZGZIG3Jq4nro4khbvuvP4rNvAr0W37norGOGR853Wk3Tp8y +d0iZ84hJUK0Pvj/IVSAKMXE+q2ZlAIGJu962ns0bGalVmoo7FDX+cQg6zY881//hkiQA+W+UK5Qh +WCWvvEFYCXm2P3Bfh7jSlL8zt53QD5HUPpwvN/6CnZQUr6LXMwtX9qr9E8iejfdhySEbc32ME0CH +ZLsOKBDp+1il64J5/znnrTlBV9jnK45zCkPjKbWTalw9uUPiujS1ytYdo0q9GG2UNgqAeqO4jPEb +6mwCzGCK6n5YQgQkozH8SRGJ2MlT6swnp5/DDds0vexzOyfr5T47JMXXVWtwpLgUejmFXdtuek30 +jb+DTyC3fwKgNgT5mJTcpMlbbjtY8cb9IJmMRGU8YS5D3sykrewdOVz+5+EFD1MrYxLI7gIB+ejJ +GR3IAFa8Gh9Ie8hsXmHI+/ickgTyLBKG5xe5w1E7rEpceDMAJGNr92p3o+vyRHblFRrXWFM8urzR +zgCqU9k/uf9uYyslEV0d57YlAkDwr2+mD2cImoy1rRZsa4j8pXLrliKQnN1/8QLvlWcLCcopwVbj +eDUvFi1mOXJjqUM+/2aKP1DVW91GEtnPQuyaTYox3N1xAxg+lJtFyyZkXjk0c7nGWEds5TzOOfzY +gtXauIOHJuoC0SZtt5bhNu2X95FSy7MmAqhD1n0gP0T0Q6mZfRz6O2rs3F3lXNWUst+Uc1m/f+dO +vJ+ooZ3RNrZe8VanzyMTW52Rn4lIYWGBGqBHJdr3qUJ93cJBJGsqWDFr3eL9QJCFJVta4ZvJWg1N ++wYTujHswiXhhpOaYJzjG48w5Kz8rrYxy29u8+gERyQnEKHkFNLldWHu6umrws3SZEMD1GbsP5C/ +gkoGS0/ipX6pGBvMZaHI+QZLGL/WanLAJk7RO/ci8ulfIBHCY4K/Ak/oNw2rJPxhXRTxL8a3Ox0v +hCPNOf4/K7wv1VpWHZn8VtYIyFCsJc/llgbu4nNWZptPlv9LZLRRClYFzRjjSEBW0/8ol9KJRFbY +OgJBvrjf++SxDH8W4PLX6a5AVb37xmDombNlELLwKLe0ttXS9nvnwLy4RRXnHH+PuAR/sW+IRS8d +JJLOwsygm932WFzdt/Z8YHAK2jTXnk/5U16derHeKPKQ1+tUNRTZ5VqRcDegdj4NWcPf2AQv0xKY +wXeXT6tYxvfxDMS4yi67XFP/LeURsCZhEYpxI/e+UbQX9ha2LubTINB5eT71PPjTKePg8QRRwGFv +FlWSEBJ6uXg0JkaMJgMhDRqXC6woKKlfOyJlswAElmVS0VXuZnyzJ8PUX46JmuGOhdKhJSIVDip4 +ozo9E/aw6GDRDq5AeZQBACzUD4TQAYVFU/fnyjAU5AsUs1EcituQyL/y7Rjs8OO/M5U+P3z1Kkk/ +uXkix0vjlTvCOpz45ETyH/4VJVRgFFmBmaZImNBKQgnzb8wSueYVSkTYiaDilAWElbaFKTAmYPXA +JxzLdR0LdOu8D6eRrDvnUnCmXjCuH74kASINFpS/c9HnennjlJfJp3HAbeVKCdlIhFZ2LjAcJCq3 ++TynQ0ohxF9mEUukgZmf0N5msJLsfc5hxyG9RULe2SO/tgayo3gccRVluhkgnOQ+wBc/YYv2HsKk +sqJp+xLgtkqhsj4MlAcu+JHFyL6+ElgDDAUNmaJTDg2iZ8T+WPHhxsH3wSATQ8KjvPRjXEGr48Sa +qTzfFKvaCOIdMgiTmqQrpLQOHEJhC3yYjBy7TdS/GRRrvtfCjgjYQIOnAxLzCwI10fd2bYAJ/lCx +Q82k3JEuR9gr3ZuXl1sEUJ7pP/+WfIzoQhuFSDyviVJxjHpkzKSBVVcYPuN70ajHh2SR5G3gb25V +N79gzNZuzr+pT80N3Yx6ukuPm2SfQSuzks41n5/SLg2jQTehuWUfSaGBQMgMSSsYgP2fZl8E6UIw +fecbadpBjKPm0sE/nF9OazN6P+Ovrg++g1V5mjPaHyKu8SWjQg2mbxEC99wx6NoKvdUR2HtO1N92 +Zl9QRa5+kIMqds9c8Makn/T2ITlLKlwoPYWvHYYW60/f785gZUcyy1usHHtCRuU2xtGMhoJQQgan +n4YCnmOWok5EMgAqX1fgilwpQnerUCT85NZuOQpB8C1tGhYOA4SpuAfwZP19sNG1TU71i2XK/g3t +sDrff2v4oGkjdkcAc75Fl8gVxxxfjQMsbx+H3VuHlSLpoey7HLRbbqahdu4opuRbeZJ6/ymXg2A4 +Vx6FuyO3XMJC4RsKhY+xpgiyWSRoGY/90KkGx/eSuBfEreH6FuR8wRIV9SnU6LuUBpsIPrildY2w +U3nCTvGXsoaXeS059Ektxurkcn2FmyttBn4BUBsqWN+6/PE/vNtCC2bBE3K7p0BScHxbftwwE43Q +y4xrl88intcR2bxmfmxj5yTsyZCd4JuPdlbSCgBHgzOjZqj4lueZFyDryUSwzrl7XsK2IU3WAq8M +blzQ3kiWhbr7Rc7j115k0TRrdVG+lA5WfScCMxFRZSFIJL6Vyj2iNr7drXl1hmS1f4uIxL7r5Xfz +mdPJLN3MTZPzey8452R0LPh16UXHxsliWEcC7BZr1E/l4YLsjJmDEtvd95SAb2wRmJ4uU8vhWMf7 +oQWaQZbxZjFQ7t7WETAMcqqv2/kQ9Xfph8Zj/Kwx8Tj8N6vBp954cBaL0fOzllOV4IDaITUlMnlm +1B8bPRTjwziX1SHFoF0w+q86thCtY9Zd7CF2jO0aOGgY/YvkEWSWRzBjzUi3UZb0OgNWZLjVGlU9 +8lI7sAmlaJuBCf19yVHA12p03SSD9Ok/OM6n/IpwmlIieReX/8zETAP3Qp5xykwmp10q6TzkuIiC +1fVeH2JnKnfwiCJCVeEpp7N5BkUOvtxyATLDAXDhGynyxSYHEDFvDNbBE460fPrlduw2c7TlzwhG +yj17s7HT5ccAZQ6Fy7jxe0ay9fOdXyNfKqUDzSQ7itcbrYVJwiQ5FLCM6mReqNoKNAvB4zqyAzKS +0olygnpDVgTJRdd0XvjIhnqLQejSWJin+QbetRvlp6ZvDupUrZ/teh1uHGsqps9hLP3DMO3b5Cf5 +keSfxTVm/4LCLk4PZ+h5UQ4yLPOzg6OiOwEAhIXkYNDg6FFI2KGPZQ9HFjN53cCErO9+SYbeOg2j +RU9GKm8XbE1bAlC/fc4CwqtTCNy6f4vVEh6lrmo+brpzP2l3ifmVy7DAwk6ph7NVhrkpuNX+s38x +ML4zFquoOBWwJyR94v1ayRUo7UDoFththZ1hVNbdtewYFjptTIk//9VhlPOlnjGSS9pIXMgxOFDq +YzFRE+rxou0TaPY0W5K1j289iWPa9BU3rF4/5HrAty4dk49i5Ah3QxTH6SNxbLKDNi75ibWoojfQ +a2qaEePOXsVh6PvbH/QNngwuKtKqvzPUS0/dc2R1snl/IubSaqYcqiHPLOwG0j1lMK6sbVPFwbDd +Le+0cCh/MCfiJWsehdlE5hJvkvEyuwOg8nRlfGOaoRfDBoloNn3zR8e6IxVIFdylDgqOVdmlduZ9 +S6+GVgXmYtcFMrH3S+D1DNiKTIktFQsgzhWwbahO/p+DGxAVFi8W/4RjB46NG409FfHZZTIPXOY4 +mt60OATNaIwBAdfZb/fSrTC38Fmm7rdcbjrugGpiz8wkg/O3aTcR3Ez4G5ulvTOxcPG81J6PKmp3 +ZxIa0D0W7zL2gcPp9GSOah7oRQXiYnFLHmqCh3auaobpgZl2Tjhdfbk9o7RVx5ZodXAIqyap3g3b +2JdY6B/ujLsBtwiJt6jNCIIp9a4hoyw1vz/QNFeJDK4nkDfU8VZUfFAZWMPa1uX5/sPetX1Mzc2C +hhNwSolb3xBVeT4u2Ffq89YBzrWZ6MLf378Vwig5yT+8glZpyjfGzX7by2WYpDVK8zzG071UupBW +95sVDMOB6rs95+qB6XfYPPFLAo32BpRJ0JF69yvrENY/y92BOllUEBJ+k5xAoPssEea0vckUCbik +TQbaHJZ2qfNt9wGrtB47rFsI2NZJAnom5+/nRGeEry2JvVvZbgfEdouKqSXCtAPRcFvB2Wqe/MnB +B7Nz0SpKIrPXLAXjdUvcCgaAqZL1osPGvTTX0NAay3wI6rY/+jhKBglkGMr2tZO5L7zud14g4Ho1 +eUqDAoaVTurlEZNrHDvC/VDoRzwYs5hsn9HoYGh3BgtI/PRQV6d3NeEKWQCH7dLintINWfEVRuZM +t4+of3ceiJj+qe3Gx86DPG0JeT+j78ifgKMkpr8MGSJ6x/vuAVqy6Y8mY4r721+iG/PT1NBa4DF6 +EVFFQ/Wt6v8flRsVGtWBF55xRhutBKJ70wFYW0Lhw1VsW74YxaWqg8K+hd4/+XDUXHs0KDk2JCDR +JVRKBc8E0k461MSBJyCx4UmM7l8LsFXRlpHm2AFsH3Kmjr7pgW7Xljn/KX4SK1hv959aorEdlxSI +dSgkuEclnZ2YMkPGmky9RlIg6dbmD0Ev8UKOJhct0fV5qNwmIbJTBadZ1oLfV1abD/u5I/abyMlc +RkkJoS/zhLukBubFzO8JZ2dsCz8pVLGWHZ6bDqSJKikPLgU+D2vp54YMxdyHFiLV3leGp83PfhIe +hCqq5v1PcbcMOEus6upbdrC8VqCSmEOAwgFuvIhaHCn9W6zP5lf3DYiZfCm2palkMeZiF/GNi8RM +vFzYeEx5OpAPmlFN8pirty4p2MpC3sFO6KUFikui905Hf18YwtG/FlTW/5hVN6ZuEIDR6DIomHNN +yYBEsFQX8lDD0ihLlG/djRR+xGzbGlJUjRgAjSCzz8ggE3IYygdRW4HLd7FNkfc7mkeEO/FgVxTK +YVvKnPIKfFLNTy/1TBNP+J97HwsTlzNiH3ssBnEU7Zcjirfk1oWsF8KvXjlybyWupxKeH3IeYbFO +3W9+jIJz4S0pytygxL3RbQ1t6GH4X8twvZHVrNJ5KlUKXurW3JQRo8O6tP+8DaFF5hw37J58SqFj +q9j1rEckeReanxFWdP0ENc5TH73NMCgURAmf5ZhynxORltzQa+S3vT4jQ5dC73tOKsOhSGyTdJWQ +KrRHh71uTjTSGRosPzMbRN2tP9t4cGYBEFGguIbvx5/MySAg/q5CcV67eU6o4DgjTt9baipWHa/V +lDNowxvkB4eezovoNIGKmlRlFlqXNlZlMmPLYLkNeN2lPBjuykCXT9SCqnAKRMqq9vzh2I21OYjS +AxiXwoHdUHjCk+Vn4N0G/+NLyCoPSz8FtpzTlJuCVnRE7whKh4raqJ2fbWoWU/D9IDkWreDMxoVQ +GpQJxxehBBZ5kR0mrvz92lkUX2S41bS5aCMV8tbvgfPkeEDeq7Accyw0N2BsesSK8hb7Z7d+Jq1S +/Qnbuj2nTVqBKWQMGVaoZeXAWhVL7Ll4h3G784oSzvXKx3bqsm5qBGNOJInjbfXh9Tvb8Bn7yboC +yUy1WdRVcxqoSvdajAPKeRN5VxJ6/X0ZABFpbQghsFKquMABNdLRPLHfiMrf4ZgU65zh7UlnaBfK +cUjLB8AgwHzbhJSBHikz3xZzBURIIGpLgBg9H6g5TQbdToZUV4mG60SKjcQDe207JlVyYHB9DvBM +bUgC73xewbCh27K93hDAly38oJiY6sPRuax2B6G4rw/amAPovoNBIEoYE7OqKhLoWPU2mXiQjyfQ +8BaG6IV0MDTatH2GF+ZWQv1gPrWZLzdJE0xXwFDrVeoCvu6LrVIoTHCymsaj4WqQkW85s+ilmJfP +Ev+vf0bLbPJlR/9+O/QxPOlxg20vUqfcyyauhCVyfJWcLEdr3oKlgdGhdfn2fJVJxPkol/JZH7vd +6vDmJvrrxmN6e/Zrl/NkoXmD7tbMKy0l2+fmo1r1/g1GhrNWmnAsHgHLNhhYgTsZ87yYAYWqUoUx +epxHJZpVwsld8UnuJUxXYE2U8/GEeVX9kiULXN0XX/UMV7gDXKfsrra0PvhOqkNYZm5baXdL4gKO +O3dNTmn75/Dc/V5TDEXg+C4GWmA9d3lE8EljAGlqBMgbt60jksxoifPFfg+evRPPDVTiES3z8OnK +/ZsTp433+l0Bl/Dv8JNw7BvevD+0viKuEm/lir0BIq05AF9Mks719pCCGTzvS2bI/kfTS9TH35O3 +mXBNgdcTiaaSPJrrcGiTPvajDEGxECuAly7LmD66e67POH+RPzdAveC0PXd0BzpIQl3HB58v14iz +mJeV7WinRxx7DhrMzg2QjXP65OmHymGooxvj+rwYYuIH8or4slbAe+g+SYU7dPE8i4a12kmhlA7n +40gleU9jwCp46uV5o4oIbJZet7cn+PU+gGf/nxmu9PEqZHlHqo/YND3ReAAMA2y6tLyfS0+hR/rS +dAGkochE3sFVSzdoMAeV1X2HYRYjvqqygELBXgnq/UOgkmHhFUXRLgESdFzNdr7n+DA0yyGcNaWr +LbCvrnrnc8iBcecb/BS3sFjCSyp5kdU5MmFEsGYwYDl/YMoJQFp/Pcl3AZtpmmsGP/mnINmHse4S +a3Yv3gV3p9CJWck6fu8XaQowwXZ3rwYAI+4bNSl4x4UZYvFdYt+0QHu/LwqeKdj84sXfov525txk +j8x/gZZHML8rlmKrwOprN3Y+7t/yXUKW+stXBZ9hC8aI1bl5eDFx2ybWzOC0ZPJh8KIMRQPIH0WP +llTjSbUvUGCWi8Euep1b8wzOKVReV0n2XMchiRAEpaDUXnw9zYZZNpzzCo/9YRIn6a5I+YJVa9lG +RqWHddB9PZEQjJEygeaP8aZj8zqdxgm7NEX7jWEFfsCk4eGaEfRylGlGx0yWY9bztadNGxyo4WM+ +hdCij204m5oIjDi4shiKWz1YVgY9srREN4k5GkfdK8wjV06II+wQLg037HsRJTswKszBUW5Dxuvp +LYhuoWRedvBFEFKDtvs6yBPA69Y6xZYtk2AW1tgFPM+wlghuclAkHT96HUNKPNWrIpd1jtFqoC8a +2CeLnfIZtirn3T2pEXRqr/K9leVCVob4ghuBE0Q4WxBQkea/mb9aOOT47VwXOJ2KOTloNJyCZrO7 +7pi5ftHsic2LADsJMZlezIlyB+BA7jxeEt2H6svpielMyG966Lo0WCepSp6WMP0y0vCg+9zRihZh +7PN/1fWmuDgCzvoUycZWDsCdVwJX1pugE2rNfEBY9K5YzBsJ3aOVvA3zCtsOfgwHwDanatut3J63 +lu2hL3Nc37A72h/dqVsSk2TMQJ5aMA0UGc0YUHjUQxcX0KOqxUZIy16TyN1Pvxszbs6JpWRB4K0n +v02M/xyRLS9qbuYHU0cyADhH2O/99yG3TIaOqM6+MAxzopVbOBctQiWpOr9uE7qZcON/oiKPkiQ8 +NlwiihG4AW2FtW2Z8UGbqMJzXFg8L1Vavh2EgLa8I31whzA42k668XoOuaiwM3zD7QLGw0F4EeDw +lqVA1/kYJ1iIHiYTbq6inDruOxqvzshtyyCvkNrEkuLabsFTvA3Wvv5si1vRaeNlI5iomVsXZeM4 +wCimQlvFRQAAeObguge7eJ7+ZQTu2o8LKBPxYO4LLM3bdCpbhq44eP7j47vQZ8p3fELXBruF89L0 +T+bGzSyxOfG/4PFrUCAM/PZl+eZo4RbXZ/s2A4ehRt8+K9B2A7yNNR2zgliJT+QaO1MYltBY4NpU +78pHkGXIA3Futm6SuLqpu8s8y9xhZEztp0G7FaQmP9tYrOiSsYu7kTxx/60O2W8CtC1ihlzPLiIf +jt/lDGJCo+m6+RYHiPztAambWy2EmFwmcwqjmK5NIbGLZfCiC71L6LBdB99oj8eZxi3L1AmGpJvb +kVPjrbB++fAjuXAEqh5lH1MpPfbItN8Rn8jGOV2f9DHONQVkshZpXaFlYKZrg5gUI4FW2St4boNx +frKZlcq49no3QfY8ga6juWOeJ/ArcBt7R3HT9xL+N9ldMpl3BJn4Ky/N2jbqDWBlCfwR0sMscrX4 +t0QmboqFH76cnMoTStBxMIDIZcuNPfk77MDd4k/TJjBa721D3DSRuQDF19QG8//5jH01l/21eg1e +TH0qFUNvs97hjdxRZHbvn+/lPiit6QD2UeWHciJflclwIlbgUuwttuUqUv43nr/hocNQGkpZSsFF +ym1rwdtcK37+0cVMdhRbCh0qc12yvZuDSbBdFkgSjttdwm7Y3gsqKgNdQAzhW2LkDB3jVRMs5Qsw +oLLKs7GgIaycFHAO7MbytFHqZee6+AttMqf03A3C8LHWTDF6lLV4sc2e2/Erge/CHcEpzIlYQmxn +S+hgRkP8Hn1pURx6+OJrrs5GQ996uAVdjywDT/Lc7BuypF62t7EzFLasFTUFAnKX0yh3Bg3eXn0W +mHoZTPEPe786bIUuobYYm4jfStfcWHGS1z/OtcgzGysrEvJxzLg/IQQEKgzfoybI4wxgy5mjBpxE +R2xUKklw1LQwdynEfwh7SDbG4et4gm+6NKQoTsyrfHzyLlEYvZcN1DqMGhx3RokQtb+ehiPGpMxy +54OMXTEMbJpTQa3t1xyD3WV09cEQd4sUOwg4krA52mmru1j4WFl8PT8BX1Xt9ii/9pJwVBio8GwQ +qOeohFpJMSgQ80kQ8iBzCRJTnFqS4QkhKGjzDHOJwIZDwquGhhC5VJnfTGNL+VzMpVnfHYr9UI89 +4/1vYHxbQMi6joQrduLUYlJibDHgoEx+joNZluy+T1A53ZstVuaMfs77I0GJ3efRC4rxHXoh6KYZ +KI4cxGZVyMotLuzoyBpyOO/PpYErLOvQQENuEkUgnavySUYgk80vWPcz7IkESX/reL2EdzOCDatL +mePXyi/soxbxtDa4x5CNgGG7sKq4YVC/Pe191WtSo9aUxi4TiX6h+MbaFIKq3s+EJWv/VHaDLaCr +FrnMgJKx2hyzxIvAbtBe7+Q79JiVRylKzUi56RqzyiYlSEG2iox70WI/2kxK5ys6BTwijn7VHAEu +brP0OcoFitv1CSLZuqlRBE3wBcVD6gwp0ztq90QKaNM9rld34GEVx78v91uHG8HtHEXB50BGlKaB +OCh60gtnb6b8K8lyOywyqMdwVvVzrQ7MUk+AK2OZ9aUCAzdtSawIOMPbO6RC5OtrmQHH/okQ2fR+ +Y9SWOLBODGiCxNQ3KXlQx7dGEnYuWYpM/35yic0MBA3kFMJwNKjuG2dX2wNdL0UfqrdZrInkqUXD +qCeKJvfdQOle5MsB4rePADmHqiiiwwzZjNkv/0+E60iZHDIyyDOH5H7ZRIi2XwjRMngdN6jY4aQA +MQ9KpTmlX/WUv/oDGWou5PEgTpWD3RPlARLlYVQjLXCuY4778VTBKNxSEs5LE4FELyc5DGEGwhj4 +viMa7D3p1hvNrMnSrxmmZS+5QxQlN022Sqe8whHNwVRUaMXoNZl9yI8Zms7s4QbAMsSPIaGwEPkS +ji8uQ9b3W2SYYUESxyLmg/AT+LJz+1kbL6EUWpjxhMgrTBHy756pQoa7YBK8gKGOF7rOphEd6wQe +SwRPkBkOQHmBcAVfTRklE3jRzm4EyrSzUl421wO+x1Ill/Mg+5+l2AB1E8Hvf3iyZ7C9Yq6MzmkB +UXYFcqtzSGCREBGoXUvOBWcOgoMXMLoh3xTYXpXiNsXLo3lIvQqffNjKt0kJiCOGeQAJOorb8T0t +/Nmxme1MEANEwDdDzUovTFTbJtDF3+3AkB/fHSBi2mNsCVw8pvEpZyRTPF/PysBwaNqoDFrMXRxd +bp7p3AN8nJDXvGHiC9/frPDeJhCQZFZSeGXL208NNgQoIuSimA9p2mZ0vdF24iw2OPI04iWzfyyP +9IprshVY+aoraVSuMsXCxXV82v2fciW0ZXObeN+cKOwOphMi+vU69FF97Uhxv/Ojvv1/rhRKDF+e +GlCUNs0YUqO4OxgwIQFLn/s0MXU5bicX/FpCbASQ+9MUs8CIhEZXUTMneBrB0u7EzAOUpkbArvU4 +QqvVuhDBbl8q/SbrtqBg6wRVLsOwNTpn4fug3BeEna+KlmH/Y0DxG33Zhy82dC3Rx+kCzW06CCo8 +xsYrkH1E5WmTKF7fNoa03/ElDvsOm4bcLIX7RoW4UH2orNa1MqBy5/06AgbndpzeH1F8dM6+8JFa +570dLgoHP6fzMInlIiG6AnhJnOFULkjXYWvv+ggHAAYe6n3L1Mj6/zghOu/2Rw7jj314FwCv2Zfl +khyQkwZEJa1BXR3ar0tlaRwDDqzH9/bSxk1sonBDCoo0wXAzpf0LrL4LFmjV6N8yVfOE2kwA8hAs +ENBhxOh/qU5WO/yH5vlKGfzesdXLGBmT+PYFbe+4t7hjhw4dL2uBXk6U0DFNyJkIgVKX8wOgrqJo +urgDuD+gGdBLlh1MvmrdNEw4fRu8QOemN424zu7Enqx/wtAx37U9veKgDQ4GmFAFoHHMyZPxH285 +SXjpYHnibgwBYgRW60Y1FDg4MNqARGAbUbsgnXJshnhzkrHyXN8SOLxKfsILRhtj9B4CRzK5Q8o/ +AL2vSOiRcIh8qz0qhOy/2WckKP0mEOXrSrCc3vR/44zBtPAlF9eVmiQbAax5uLtunW07GG0NCtLY +vaTgmDiyd5sfqhj76/I8r7c0q80/MQ0FWbNdGTeYnwigSQTwZ1rv0U67adfKHKAEDFDsqHqQVKAT +YXzfkKmYutyAgnz2p8JKcIGbPoC22mz6bjHFXPpzPCgn/WxGLuGsgkRU94SE7TkeIHvurOT9BEMp +b3/aX+LKzB1nn4KTPwWscaJ65oZBfIFsyF3M5Inm15agcWqI+WmEyHhTIpddNBi3/2OreFM9AcLs +a2Eq7qdOj0cnN5FYiaYX3WsgHwbeJfabi678KpPJ8DMc5WWfYcL/mmpFhXJH3Tc1HfdovTN4WiLP +xogH2iel3IAcBzwa4ZAl2MkdSAJII19fGwNG7qJMpWCtwdOayu4/y0K8Na92YkZxMj1RadWfnIte +ie02LE1XzM+KwRFsc8RcefjckiT0l/kX0Iy8YYRUnMaZNN/gW3al5h5liRjGBRdgi9j3myrwI3yD +ThfKff+XfNyupsYL3OTFfdveh8fulEKvmLCs7T50kGG2PY60X3x0LuligfLeazXVYbrh2Ls4KqyY +jTOIQEAU9dHI3cZ6J5GY3BTGZ7xpzXs7ufe6kIe/Pz89y03vQ1BXuZbsAQ8wKyJofTPeRG7nHISI +qz6dbZDhC9dHei+lU/Z5Iqc7PDAOSk6bTpjDJMzATJ1vvvKQJvb+AAjGDkqcSZ+X36BemyqvxyNX +HSbvxdOOQUloF+ow4dJ0Vo3jELZvYgo7/kUefSKUhPLAjqdk7KT4H6uKc29Zw/J06Ggs6iBiE84s +l7iuoSDKmXivYxb0jK2AT6Bj+5lQPyWULDJ3MKzlYHfIQcbux4zReS6vK3dCK4Je3rxmVn1RWT6D +BLyXKCTOvb52edxF+VOGQuj8ZyGlQBbi1SagMQdhaS8o8P79BYcA7MpIgotCLAvJrUKCwix9v3MH +hiVeh04RSEUJZ45gbXpqblufU1A6y2MRUjkME+4WtU1XPUvoA7qpwo37LhLeMGY4rgHxpy7gFPyC +w43aWCB79rutZTmLTRx3h2EjCPs+I+70aRtCKm4pAq2GwZYFtobq0H2DpAgfT/zcR7ucFRN1k935 +fkdWkEyY2bbY+gKXXhc/8ul9SL2m1MT1u2JfTqftAeizwHPLiH/zoWN3YjQPV74iKeS2/JBRfWZY +05oHYFvdkiVyROQt1olDeBXIrws7qWVfh9NEm46DpybiFMa08EisHooXkDRQ/XWR2ExxxPOI0ZEm +22p2iw6s1uXaISyKdFWprdOK1q6qApZR898PNrh/QHStuSzDVOHRL45sXWEvVOvIauz2O8Wi9enZ +6Ho1oc6LTx3OF5llRc9JzjGeWS42G5guYRRHYJlSKx4XtoZ0b7Eev5v1YD/ANVrSx+6mgthMcz5y +Vwlpq/IFxJzB2OWwUkLe6zChAhDOdbgF/qvwvgx2XF9XolIedUhW01ajomc4ZT2ZEgKHpa843eYh +PwW2J/u/K0houWenb6JRkJJQwvu7JOS9AFwnb6OwNginjFhIyBhbwm+h4CYm2AtZCOry14P1inC2 +nBVWhwwSmnnVmF4uExCzbDe0T7k545HyzoMza6Zm8JjXMzlYn8cV0SGI8r+a9MjqGMpbQLW7cPOx +lbzpgm1JXVjkV3OVcrcsXFAocppXhebJWfhaQRkCVKHcX0CwZZh6NW2wiXJ7gFOUaxhc0ilfq1WI +/k5SJTrCX8jv8pVmkqYkdl8ii7qVGQn4z3QUl6fpZ8c86xZ8Kkk2+Hx6anBzP02DC0lV7/zpx4Qf +kwXv7jpScohXwlIAaVmsdQEd/lZhQf8E2+KGyjj0rQ2SfEfuBNB90GrLwDEMcBnOB2ZD+rFqk7qF ++pFtZR4VvuA7Pb8dH39BCvWmputLbceK+mAKfLf+EMD6mniuqvMAvkvFWJMu6ukBN1Jn+MdmGrtO ++BPvoPAlucT7Cg86XYyC32DZ25LodP6OJi5HTooWtv8bYQWhloVw9mMJPvqpV0WOyRFsfJrKueIK +MmTjuWu0nBitvXdOMYv5w6EfN6pWVpoICOIobL5t5JG4pGIKAMRfwRE3md3EA3oamfBGXQRS5ocK +SqKgjB8Wo7wc4hR6M5HdGGJIUjWeM+ShxS/z6UnUzYXRfwgSvDFvdcrA84Z8jvJu8V/wiCh8Lhfl +/pQHUJbqa5zNDGzAE8SKwXh7c+drCFccvrl/5D0NiJoF8b2AXGtkzk7guUFtcT7AToNanETErfRX +l/Hms03s10nusHSpeRYQzYh5mXOrfBpTDzitLx0cJYbuPsbtJYicldD6hMRge+6eRgMYd0FfTOcq +2tY4Wlz3eq0i3VSt2dP7SPTF5z6LaBeyryATLtGPtD35Jhs6+vlncX1M4MLGhgmBUa2wnSx6qgM7 +Fq3ShkcpuGmYyxFRPYoKEyMdFUAXAe+IqTrkFQzdXhLnjTZdJQczCEcJnZ5SR6ImAhWrGn+YqDNk +UHc1l4zEj/dD6yAXF/g5ZsYp8zGE2SZ6ghoKqTzBFkY0sPDmPjH+LxhT6P4HG7TlI0G3qs4u5qgL +40FkvbbZw3NHGArto/U7nV+6T6BryCjqboMtIPyCQcT+LjKti9zybG+Np05cMp+8XQkX364KNq1y +k2SKlCrhjGS27XilTU4grHawm+t9wUqH+rseSDl5XbHnifHeW8hqF8Bd1FvFuxBgBTjPkXzpqtsi +TASro3rm+ZWRX1lKDmYWD2o70X+cXiY1O1OHHSeeh1XO1m43hIe5BgQ+63Cn17iR0K2nUePLD+Of +2jlY3SLkJT4IczSVnZTA1NIeaOlLjix0IPf/AxWZNkRUWsv1qCPGXlhAActvBdvCT7Kmcy2DJ8FI +SlY4aSVYqBkuV7QvaOMLFWvhjwKYav3uyZmRmPu3EXPdQ/tT2gwd6s4IchfWz775NMyKPnyrMJKn +lmkVHembAyJy8R1oNdiSL5wXm7L5JQ9B4paxWjz/yXqE9COEF3pivkrxbGHzG1HjpHngHMi2xaup +EpFr1Ve42r9PMV9TJkTfrQ9g8jW49txEI/OoD/67+UeoHq7pdmWkISlKW3iN8y7MfnZPIlXrfJ7s +kAiSQy+Lv/CuAfa+JxiGwwevM4MACDXZFQ5dn/Q6DIapsnH5ot7zXUWsnHp0QUu7wNtfnhlrzsyK +kxdA5EJIAtzBPidW0ubVqRvQAFsFr7vBR6Hd7rDueEYvpOKRYfxRt4ByCYDZdK5dEGOoqYoluTGE +dirqnYSRVM19s9Dz7aqeHPLkYx77F2cSpqnSvxInWsBJcYr4PRVr0mO9woMI/NN4x+/01z7Qr20W +QXl+/paJ0J1IPyTEVXZsmoGXP/5CIoKekUmbMdO/rB1+b/nkYW8Gvx54JUj6dGeB9i/JFSW58YEe +48Bd8qFoXcya707Fa62PvZ+TMD8X9qnrhkVeOJFk8Z8cjigGqHG7+XfKbAunXPVnhcACYED08Kmg +UFZKojMQIQaLx6KYy0oH7wpia2WL1ceP84yBDrYrc8u4vwa32oSCcaPkPgOKx23V+NCC9zdjF0IB +edM+x6lHvRr2WNNoMsS/i+uMhUlSUZgRCIHt6AZYkUlXgIEO9BMPC59JaaTeveVNOs5nOrkoTjSL +aSwNQE9rKRiMx3oOF1ad8R/aWB11eDt+Xo3UagOCLme6vlOXwhy7Rw7KTYnMItBCIMjYt1cpExzI +0DFTvqEzjBGPRe8ueAZ5AbTB1L7vbRv88uo0aBaYeSDiSJOPvU3hZULhTYS3K0ZzV7g2WzMxpBd+ +OurICMpxswC/XvnD55/bs7OVcVA3j/FC42Z74PuIKIO7vBsZbNuU8jCzwd9YnvqofP/kzV4fSi41 +XD1VzebuySOnSP+49ZsYl6e7uY5M7SMp2BjJp8hjK5jg6vc37pBGsbzWQBm+CG+wsAsH/c9mxknQ +FNfTO8zGcgo+k2+9VnjmlJVbo8NCXAJqqCJPnpbBb+fTLySVxA/iAqfGgBl9BZ8Br4FdhWXh4BCx +DotRm4aXFD9nwtuFAuxg1RvujEfwkjNjc4Z91zzcI93ua8oV3sA6a2blfSiopoHp6ZZ/hOn+beeA +l7i0e+iPiu4TU0Ngju4gG9L+n4Fju+V+myeU7Q7P1l1hlOV1rBPgNpmds3jly/UBm3v59BgsACd2 +yf6qNQqOlcIO3hz+U+uZnFCbi5WgezQGZJ3WupFCk8DmikedZir0xJ4mo9rbTRUG0Mt/KqaONrfN +L4ePSmL+xiOIsjYrWFO9ZXCnn7nv5xtVIUiDfZKa5lAd/Xi9nlhXHY8I6axY72FJ0/pF+3GK4b87 +i3bZrlZTSOY7AQjLXwH2czWo10Nsbfg2Ysy2i7+dl+05gRdfZEYhkwY+qGfZyjthFDPluuN0Tifx +xr0eWnaGc5o+w9uiMqlxgVLKspktDTvZeob81gMKW4is/c3MFMYZb0gK+9EnVkPPRXP+JU/3cl8G +RdvJ3lKu3Qn63IkHYFwNLj182NxCGLnnA3oExO9iBnLHW+H3HhtpI5JFfH5hfj4J2SxFBcYBPmtZ +YhHrFeuwZyjQYeG9wwXXUIv3aAOLU8VYsIjzS7YM33w7MOmCNwidf7DTFdxYWMnPUbrV5ua5IBHY +tLcPTXmAQY0Lvjxxs0r8GwR2+Yfzqd7vSIQ6/O9VNR5uJi75eHXPf2GzF+Mqwy1FFrkhhCgCQEC7 +PLmjIT3qm3JxpoRvzC4zdqPAeiedMnxr5Y0gm+0bZ53BaydUygAqBt2OGLLEWDtCqZ7SujemWfb4 +3qSufWjjHNd58N3pS7XqSc7puIHwc+APf9VOoceg8LQ/WP/bG5BahopXNhD0yXrs+fb/dOqclWPg +EKs0M+W1x8vdbGLGERftdELv2PF33dldvPr2ztXJRLbdCOyFeDhZT7ZOtzhTHOxg8y1OD+ZpJjr5 +pYNIW0U01fN3Y0SV9llFMps0TOzdpBcrtbJpgxss06ZDXAHRYZZbKK95jSle3O3QfJuSV3Uz5hC4 +bIslwHrwANMnFXt1O55jPsepTsoqEyHSS2hN4QJgBg0i/Vkh8S/vyudoaW9MDEGRb+h3uWNh1lB/ +dtnCf5T5xe1scnvBaX/Vmo5I6d8gGjBxHWdyC9qUExNNCXFydV0yHOORV6Xohf0gmsZ36ksJF+UY +sa39aC4hpHzEkB6zM21a+RWSQlaNOS2VBWscrBzicU/lu8YJYevfNgg/CLZv8ZGWtF8mvacFK7w+ +30u3LCjN1Oxax9bDpJZEU9KZFKm+nUa5WlxnD5g8waT9VBbVPw71fWLn/604QXgnf4xtHOc1ZhpW +zoFhsf/9ijpgGCU8/6aqTo7CicAK5KqQiN0lS4JyJN1ke5jJRPfN81MYuFaHZacqNfZBVNt7G28h +UXK+rewhQvtouT2CPzTw4YfWcX/++9lNHFVUhd1l+oEcCkTV5G8TYowquLsA79pY4+aJzuFwTf9f +wQsICp9g6k91EV5jM1n9Ycl+jzP2BXTQy/Iwgcg+Dod0gREsNnLvxUiuqy5bs7pl7BskTylZiuL5 +5uGxZMEryfSqUTM7nL3k2K5ZbFvANbvrEW+NHRD5dO2uolEPoEyWZNH3eKKY5BAYnNiI2Ph1Hu1L +HKPCs3xyw22mnjXlKgJz7eCoNWMoZQ9messJkDlUZbU2bC8jbFFOMvia2vLBItzF+N8K5sZzSqu4 +5SL30089ItbT2esWjiqeBTBhezT79d7Ri8BV5j38napxDpnPhcm1hJ2AOKuoYXn7F5t5DvFPu3Oj +uU/zy6fRvkPVI0aGYrgZ3Ue+5mlDUafite+yx7Y9SZXSuBOQNN2w7480upbzaGZWXIgbKQn4EKYJ +T8dALnRN6LsUgKNGzNRWYwziK0O8pXx7T2oWIRhKXJeQZmC2xqTsIICuf3YdqyIEtpif7zLW5URo +orbMcgZwxonVjphx1ITmGcJnh8vntpXKJ+XyyYJtlOR8ApW3v7LPM2RuZ8+bsucM5uyj/ASoiw+N +YPCcHAS3K4AGg5U9xKEaQ1C82I7rIZnhZPMdIJ1x6C21wKzwgvRf1FmhFgNFsfCrk+SDBbBft8Mk +SJXpbwqYCTmaNaHW3cqaqdNLakg00F3TvUMdR3X6w6TiKiQLDebK9H0u74Qt65VjuYthM9Ca8Gbq +nqn164evZamNDgzuZY/jlue8lo9YOb865Sp+ipqyOYbVyYVFH5ILRmOEIPurVwxaQWhGdRpozD5I +eKbEzOAFDWAlTmPaAwCAZtU2YgPlYHXXROFdro7C2j2WtxGIZVL7D+6j26FSDjwBLvv7+bf6jdMu +K/PsvAMQiCOVcmF0InaQNnY+DWVQcxEn9UbQMt4Ip229+jup55CwDnNUCdy7mhXMMiyHNXfmabqC +XGOq+QxN7+BdtzSCa/yYKfR99vg/UEVj7wLun01LDQWRTYbjujQxbRdaWISltpCZaqObhutwQq4h +GLrQjl2bQnwFRwMCAQpTbhdHIlpDYqsH8CQlSUFvKYpjJ2hI1dnFwO5AvGWPTYyays89SeiiEuJM +8mVWOqy4hnFXUS0m59DHzDNLyZ+uOxTqHcTb8M5Nl5HL68M4/yhCKJYZWpH1qgDrcapOeui48Our +UDJGYR4LqCAATc51w4sjixJ3AAj4kGsLPGBdZnZRO7RYKQJEAHt1zeeariCu6M8giWQJZK5OfNf2 +kOeFEAWqhX0yhv/fwIOlKt0ZpZBAUzzXnGG8G/GrswaS61zyGxdssYJ+NMKDcM3JL5pmxnHDVcnX +l9CSlrUdQC7wIxIAyADRfuC21d61rtujD06TX+l0Z68CazXe6QmQN8S/AE4ITxUiTPhL2jOVwjo4 +4KiBpAShJgfOB5BhJ3RxYNPtewORQSjkibI/k2Spgm3KMAZLdghlXsORyAp8ZjG2QBqS9GAuqrRg +zhRpR45IV5YSRi+8eCQ/w0Ktmb2wrxl0yKBGSlen7QkHcho4N28yeeNfbYusZlldpDllV3TqAspj +hLYoQwlRQis6k6qI+W6ItF6o6OAOqvXdN46q88YJ2jEbccVrJA8CvvrQVXadzY+BKiOy1054N7Ou +yyQKyGnvGl23gHOKtBXZ8i67WzSfeZiZUhgyBuSwnjxx9X+rC7K172xBw89ROByn7iAXrs/BTIaW +pX7zPJKSu6yTxQuIACUBjiWlwuf/0anyuPdG8wTJNyOnzX6FKebhGSA4TyVtekpi+40wJjmgKktO +Ztuks/v62KseatbOno1L/X4w3ZbtVDgRZqnD4/B9A3zpPY+5gi4UMRUMDcGiAFbrS2Gb80y3bs89 +wxOsB1eNVJ/W7FwVvEZ4QHnQyEhwoD+8g+vssF1v8ssawR0akQw3I6/o0INg33cIkHm9FEDfDD4i +TEqalMd9Vu7pBximXWZ2dudw/dN1VnqfItPf+/fV9narX5lRHpYd4A+8pAbG6fOJXgclQO7Veqbu +uMzg5ONkA/j4PZWql4C2YyCA0EveF2VoaBNMHHYeXqNJJYAAvS6bGD2IRpWcVg0jfV0uuZAcmZ4Y +SH19b4owWnqQRC+q3f5q/Ex+ttpTpRrmR/Lc7xJEoy6QJ1+UI/VFi86vdsdBStkCiYbt1BtD0UfU +/HnP2rxGY9Xg3h4XC7gS+s/qQhoJ9daDeZX0ChakcFM/W3ZbeU04knt+/Bmq45+Sbg1WCXvQrAlg +3ekeqF+GtRyykmbmm6H4zubLAEoHvd1fh1qPzr1eUAKfsYQbBOZdirggJkqzwlmh36ESzE/9olFV +aBWk/fpkJ+ceYz35QsJgdjKZhZfeuQTzDl8YlEx9HEIXY6NShKoGT68bxA5tU9+oY1fWzhX8POyK +nmER4RtJJa4G7rNsmaR9EqWf+7TQ/GZj/sYcQnTImu1OgIcHTvbXaVsCvbkryzhVXR1gMPxoje05 +UqASCX7XOkfd6hIEvOp4Mg5gGIVEfowu74Hxfs5OCckS32khH9dy+tvd/sZXsnRTYXiFgWZ/tUit +MitbKSu5eGAZZCF8s40MOdRZxYer7pUJ2iUmM4joOMgGUXB54PKvxcxSDpokovEJn5flPO2spGIz +MrYXQ7OBctJK0GVMoOSLEgzDSg57Y0FN5ax8koOouUWPijvGxctnzHnq0vY/KS2ipWS7ZMk6xJ1E +iKSZHtzZvRQ/RKmMH63436736jz5GUXKeElVrGUY6+lH6+6dBTEj/qc8t7MfMBWnJ8IolmP9MSc5 +y3wd+KY6O+KMwy/UWYO5dZiljSJ2yFebDAuOl5SMBZwLcLBpwHOPSVJFJjmc2LBEsgX3ETLoGppX +xDdQJ0bAJifV8sq+GEgHkFZR20+mYFJBi6wWKCQekSBdEdllULZ51qcT3vqy2sEepUYf6HEQnbbb +S99gEe8QOcmf45AcA7X6IPuM+VhONnZHp+pc8vzBg0YCebJqNl8HJ0WLk1cndaDIqGFvzTsBnD0e +RLMCBTJxMswsWfUVFpGsw1ksxbnCAyf0nO4qLuXyM1SEmcIC6YWIV4mK82fyHdkLmjlk2oWjwr0K +UHK3+f0kXB/eLoK9/vsUciLla4I5KM2CWdTi/Bf43zGlEenHWNEhUyCglhXamVM6iiXW1UbMDPhR +87pIcrlrpopN+uSGENvFN/dZN4Q27CdC3ImJTwB4icxMwWXp6rJdomTrptHdV/nUmuIzGFY6tytg +9oDVUhBo6UcEVHERv3e4kd+p3z2jQcWx/jNVoLq2/5ZP9Ng9VSit+n3+rCgizuv8GnYVMgW+zOU5 +eIvnEE0ZqSjm/ytT3ws0aeAhCOaWC+V1Rz4irPeiKuDg3qRYFoZgLr0Ku5ZO9y5XuOvfo6c4dHY7 +u4Y0j9Y6mX5SrQll+qoGHfR2si3AHNaLGGuTA/5GLdfQiFfsux8esLBsi8KetDJKDaNGL35n1+xj +kGRpewLhF/cJXKCiGcqEbcg0UQIVkjfLoBTHSYV0NrPFCHxlqwMmQdqXPUodp726enljGFqBli7z +JAy+JzM+q4zawB1+9G5Hek/a19vxHrEgdFqYR+WIn7RuldkMrsHNlSKYFW/T6hZeuyqYTnN8dAVr +ECk9b/euD1KaEVuMYCJsIw2+zCQVcSfQiF8/5rzXF52LRAkwjDIM9J6FVruxuEbfwlMHEN1J2Mua +zfoYyxiojgNSdsCcB2Kqpod1wnOMNpzx9gtQZSofK1eYPcrT2NOatvTVZgqAxRuQYj3J/58BblMW +oIn5yyMMuR48cWSwfkey8AAbfxejAcjnEiNd0hB4E8MA8J3EnB5Iti7AemWY08ixD6A2HUoPwTU7 +gpw8dYlUzZN414qJOnEBVQoRy+T6eu4x8Zqc+7wvyS2uWy02DXWPFw6nqzugkH9GrQaY6Suqbk9Y +6bOa2BMxQvk6gBf9gU5bNnkv5NImOsGU7C69NhkiTEepXxQ9zQlTsJl0pf6EjHpfxJl9JroGUK0E +0wuVCc/XCDLPRrgHNrmEENGZi1WWb9EZnH11n8vlX/YW6TL4E5IZ6tBD5B+eWzCSAptDNaKGs25+ +a/dWpS77BfQIaCgJJCICfkuHN3a5B2uFX0C6OpBjxW6m7Yc+1wm6OhYr0kAVB4YBRqytN7kmiH8R +vI6Yh5u/GTCE7XuIW5QT95v6N3b7aaIEe/wIsLv8dEFz6rPTJEuK0Gt9kBZukXgXI9V71Qgx24Go ++SsfmivHs/hAF2iuE8qCRMmZ8IGyvGlT9p28RCcq2ZlCT3UHydmcAFe19wODQxBFAieg6+/7izfJ +sKRGHw4jT0y/tL+QoS5OMMNfKI2cDfx+XNYTaORFFsvDa/LWeIba6sYmpumCRuWv9Q1Py/O2q20o +MX567Cf+qbZBDF/wVHPlKSu1BzOTK8ZwUsRhqp7LCiYEidNq/z8/36gYO6Zq281+fC+t4BNuHsqj +g+lODVv5zMAd5IwudQD9Uv50os1wQBhCvvD8pmISsMvoqZ64NdwRdtHa+YFFOUqp/7tb2tP0ALae +TsT624QCehNNFGvT15rfeX1YXdSEFdGcI164/skJBCwct3tKqAgntuJ9kSNBaCp9gMS9yKha2RDA +RLyElC6cgTHGC827S1N+GCqAaYLWbMfzFfWknBrZGay0n0/yEZz5sfF6Ugl9JPr+wtL3Yb09rg/4 +kz3SXOS8rWP051x9Vwl+rIbnyDxjrA8+zUiA04p/eyjgPCQF9Fr1ze/XaU3+m9FsxCHwlnIMAPuz +0SAsK2sPYI7oqLZW5iViwZ359BexDCYHC+blMlAYivsVTLSHD1nHzei3XzuQwgBMhY7n6wxV5FSL +zCe/TnPTyMtXZfOJ15LmAYW68PTyfeaUt8SfLrsjlspzNhVt6BMv26rH9p3G/+mxzEoNg2TSiHLX +M3LvssRv6b/h29Rbe+apMKVm4KAp7kv72kRjjQCI4DjHg8dxTrhZhqlad5L0cephkzCA2woJlqkN +j9BWEeexTYIOUZilg4OTptFOc1ljKKW7Vip1WwQyhb51rxzvsq3MrMMyUeOQZihQsVlLTxgnUVnz +Iio/sScf4P1cH4SxdJagxRaySBRoF7xF1Dpfd5S137Qhq9u7m/FsfsvFVvusN72p0RQqh2BLwSgR +bFVzvX2mtUB5yl3C0Wcy3B3lvtx6qTNwOdRrERb/cm1ya7s3A6CTns+tQOkXLJHRt+379pUPTT+s +gwaZht8mM0cTR3TYZB8U4E4KlxLSyYnFZGOj5lhHRtoQEWuLsDEx6NxtPITCHSlcmE+chX9fdtpE +c67cJcORkbMJTpcfk2oG8IpySwswlK0zffBjzFbeyd5IUY6xH0olWT3IbvByByYnn0aScF5JwYIC +DroI5yjAjHV6ZdAt+ONv6JXbfM0D+xWzoZVnaUfX43NVeh2VFL4BxFeSxeyh4wZhwR4BlhlFKwFO +2Jj3WU7lrectb4/ZX4jghxAWeCQkeqFKOxEmrIwhrBAvbitV3jPg78JPldHvHMSZlPRxxXsb/SAd +M+eH9+5bq5nEOHDNS3ksBcINrlIJF9CK20R/ILgP8QpvY4r2zchuqht0uzYXx/627EucbMlcrIO2 +uZQkBPhhHdXLHyvWbZp/8MRzRUmFUgDCxRHhOKliG9OxTyf13b5IHnNdWax69JNBzY9KPQVLnBek +HaH5d7Y+FPj9xyAhc26Yh1aT5gGmVrCKD7Lp3pWtnPr/UmqgsT3naIxn8hlywgCGkt1z5KsCpjCV +wYCh35VghUqDa55uvhSoILJtBStV8bQuu6QdDJhz8W5bsNcLYsgZXwoLSx8gMUf+Z8w6YIhkIUPs +WNptl3OgVZOE2kErUC0dheHwJorhgvTzKGZmj0E5GCfJ0JSrfLnntakd8evO1kvIC/2vwW9kNg3a +DPALjZyGlIsQaigQ6ekHNgif1yY3EQ/JWmNv8CDtpVlhvqm8XmH5XEXQuizE9ZtSR8QkLWi4lQmk +e7vGzeAOsLGvzRFJtG3YSbIe61rkJgrI0x188A1PUD+RawWl9E1+D2GoTRa6lssxjJsn0ZDZbTdb +qnirMVrCX3ud0+kTi27ahXuxOpX3kJc//g1OuSt9yQMyloguUGo/fOrKCSEW2YKMwZLiTYqDmG4M +ttMIm1fLsvZYEcP1DhtTpuxdiGahqtfqaL/9G4ZvaT9IfzOOR5Z+95gRV7+PSZPQnh9EizH2xF6t +PiODRg85vW4f8wNjIr91vzHbntwtbGcsPQsxQXY9S0fGBnmgV+WNToB43IVbSq/eLPpbPNhtQ0SS +Dn2wxGUStJoW9xMXpDxtkJ8dmp9veivQMbXH4PReFuOwaYH6sZWsSnErczl7IO3xDdv7mLXvW6O1 +10dMJSXjLT4PXh2w1+X6aU/mdb8Nio2j3KHPb9onIAdV7Eh9udqEJNHIn49LmdKFGDzDg+7N/m+n +SUNc5ecjIB3fHh23LbxgzvZOFLxC2TrhdED98ngWW5VyG+4wrLA/4MjFdf2zrCTxctlyn3Rr3H7p +BQweQ5vvUj4TB5IswmcxnyMpdSHez3T36X3hkmRgLMuja23l3I3S2y9cV8Wo5Gv91H9dBsZWMbuW +KWVJgu0TiI7MEdxyTmExc66fM8Aqst8DHRFexgHVbIhmbC5y7UL90REVBa9p5/Exdh5r5XODpWQy +YZ62ICVd2AM3XrSDHjQn+fPsWaK0mDlkSWhpEkknSajyeQT5yDMQt5hRQVY+yil06GZkn4ijJ2QM +pa+96O793lGg9L+UeZiZm4zPA1/OZ5+8qi1efXpY4or9tIQGfZ/DX+C8eXoXfxEsZRCMmeZ3jT3G +2L5mEbuc4rwrAvlRB8NwIR5IdAUQ8RJQrnJVMGVXSTNUH8/IdiFfw9IXhhyk/o6bXC9PfiU1bdpd +Ob0fbk01R8fyAAZ33MdfaaiX5p97KT1PGfaIg99arpaV5hmZRmJCSOQWPzjCv6fTiKkTnNN5ak5M +MJ/uD5z9RPtcrLnmftPLDoXruVZkqdmS7cQkhSS9OmqQJMyeYN/EHeZNkFJWYrAISJLZNPP7Uo3H +OvlQlDPBMJ4AJQf1mCJSh8VNxZLilDkckGhngHtC1SxfpJdbfnjkvCVyS/kEEDPAc9X8/cwUv1op +86f5PJvRoL8+EISqTfSEP+c+EShTiFrtOW9QY8Kf/5K87if2Hf1/UuZMo114krQN1Rhx1854Cuyd +/bgXM+3VR9ravzsF58XzisnQzyvt/xzviLAwfBDvqRUnUFnM/kHVDl77t2qfeqGoLTg998K2M9LG +SCflNLhlGlel3UUoEddrox3bmINYzXJuYzVZeato3Q1sKY7CNCtj/hE8GzD7hHVjdtv3X/NEcVxE +FJFJIfKFMdSH6WHf5y4Dx5ADKDwB/jAFfQqpUmUNQlLmx6sNq07L0G2Wb+2CClwDS9FsflDsSitm +IHQDDXorMfIbJIymwo6CJ8P1eOeaUiGLyPvwJ7ZUH72SR1j6NkdoVMtqEo4LMmze3K8KusGKA/7J +lvTiguDZS+MwRtZ4rrQLM9quK/PXdaRJFTnQ8l/PWnvHFiiBEdfdZ9ZUtXCfW08n0Ax9O3hV8seQ +oCMg25bTsT1bhfyH3dlQf6TYTCsf1qFtMaoZ81pxODwZaB+y8qNIB1Uksp1wJJXAaA91/3911M1L ++BrIqglFPrHOaPfKD3DtuS/hvff/TZyiWDGEbtC4+K5moUfs6HbrJOGz96l9ULKwrlSy0YGS7OQD +nwM6H7iN45MJ3ioFuaZf6RXNzpZQq5/E2V6zeZ5hMOToqCB0Sfg2J23O0AoimnkWwYSnK8eJoyoA +RLmxdekb/ONTFgRTB8u88vExdszujsiJ7/4e3HXyTfF1GUSj4G+Mm8sL34ErtbZqH1r7N9tb4Kfy +FFq4rVzF2HvGC8Sy6UhYaJTfB5M0YpmTGVB6nXSYLncjSYxmy68Q0pHrsbHUF81kJe7F56nqRwd3 +ljYLT0J2ZbYoH1x+idW6lNCEsRtW8TDzT4khHiaqghFBwNxGQfSYQJechAR04zYieE9QujCyMNKs +u0nzX2/TABtSsj/HIP47SWv6+EoAfthy4UIwbHvGr03a60Ol1/hyVa9ArA1o/w+QYdugRs7DLbzD +mMUFL1MI1482kdaIod8up8oqwPFu3DFY+EJwDbWrtMmqs7E83vMghQtzLHRaXPn2rtllgLJaxB4Y +G1OLKwC5EZcom+roEpcm3FQdIeV+2oSJur1C93e0H0v9kJMXxxJKa6yok+ti/9lhie5rgCFiKy/C +DewyzN4eSc0WFMPEdLabTv8XE+4o1WiopKvP91IkbUF7UMZxH51jiXP+cTUdHXOEYB85Zc8y2qMi +7SS1bGUgXJENWbleXGNzb5Jq5/jgzyaTU9Ny4w15DB42utKPAm8YLtxQFu5LGBiqjENJib65sH/f +XGsjTWt4aALyVJAPPSAFNHk3SMDfPboUFQ2Lqndd04WEqO0gU7MwnO39Tjpi55wJVEMgX6hz4ykb +BbedcYOrAk306kzLXIkIL8iVZl981CRb08E+diQs0m+UDjlxdXOS4yMNK7ECouwYqO3tULGdrE3f +2mOtUmJ5Al5HrMkYJQBbgm5qpnc2weG2Bbx2hmzI/F0cjtaQWw3cR6jN/6tTcT9UVd32uWoPoBqs +MmB9YM9bzC6li16upcDXBxhu/e8WFiofwBYFTin4P2MySXuGuROVLg0TP7/cydchfM02yxBBX8QA +9xJmEMZxalVbpdBZH9hWA/HpTmrYNm0EokLmY/CNjwG/55Msnr0euXEuJl0UmN6nci/deghA8KAw +haHcDN8BKGNxu7PveADpo8QH8G4lgYnhlZyaETM92DNHnAmUuSCHngUbcWXUlqX71prCpsNTbZie +X2UhsUKgxVpuT2QobUEAY33WnHg7w0nySSjWbuQvLovbYVoWdsxRcP/7qfTPWNZ1wNWjdkPRXVD5 +ZlnrSaHR3Qg27c6AJb5sqd/nbOcouLCfoCYQujZFyqbZyoSJsvZcqnLJSJ/Sv4YuHs5FjQQy8mB/ +nHuFg26vaNFZA4mtYGDQbRmvp5SAJP+ywoj6KQEGDRe0EwUaShU94G1VXjC0IsutEoXNORTKZK89 +cNGSozX/ZIGhOGYb+s0lXMLidHBFixfK0hIQVUtkw0E8w7g2iKM0Gc2aoib47P95eXuprwmsVk7f +jsMjz/SlfrhP1eXgCxnZLYFLYBeq/SLWAARPdrp2Xv7cMgeeLsV099YjI6yZHcYJDdfSdMpchNhA +KjkPLzRT50n0/B5Ne9Pyclx9sz402doSwo/1vPC8zq4We4/hXC4VbH8IrHyw0RuUtATq+mcl1KK/ +2w5Xq71zs9FldgEkNG4D3Nokg3e47tgD4bcVt9JUyjnseaii0Pyevsm4aZMAFOEKZNsY2oi8VdJq +Sf3ZZnmE2EhgaK73rFx5vEsSlR79OyS8yoQp20HvApAanB3h6/DtEWHCOgKJoh4TXoHRA2GxUEfK +qhcwFb8czotEPOhJofhWznk/Uu7xGfsA39QWn3IyDOFWP9XUn0CQjPvyrW6wRYl9Z1dDi1JbZd8I +HC+J5IrNDoMKa1khWllUQ5m0lATR54/hzjfjMzlo7Jf4MDbjRf1QH7hh8sd6kjfPYkT+PDcMKd2N +xq27DQFKx/6O8vc3gI7482anqYhWjka3pfV5Kb+b3IQJyvIHl07miIW8Wzy42Z8EbmKo0kQ9Kz/Y +4SNmVA7ldi3fQh2b/CpOFCVNB7TXAlQTdnNNTMtt0YOh2LFABM7bEO5YXqCVM6tn2guHeaOUVZlr +4fmiQbJCKwhr0qEfikirpFBqC08FBYHWijOKv7BCnMASyxiuy73VhB5Qapq85uZtuZO+SXZxxdBK +nc2F6g+EuHdkFhlpi0SNe4GCWgYXNMsBdfxpEc7BaFUbqTORlkIgqFfhLVwm4B2wnTaa3zZyJjPU +UQyL8CEdajYogmK9fINEvwKZI+e4ZsR8OOcjX/7ZuZ3UUZXku+bdglqDz5cNEA2EpX1PQ1cfB7AQ +JGeUaC4Akr4+3r2tOTZn2aiqcpKtdUW+Z1pMnu2IjTkNWQ0vqpuh/sutqVH2b1/58klY6ql7Lteq +Pa4BKt2xuS+gtBhvJZ4ZUrJga8B93Y/j+C3vUmn7G4R6YYmU5IUWm9H5tNBcrslH09o7PgUo9DpL +nkM2AKKZNSbwYHWdkJUyaM8dEWRVVLUr2IE3YA1LkHkB5Wi0LRIBMY1gC2Zo/3KXXRiE9OTXGypQ +4QSKN02lFzdh5kbLOzIdVRGqloXYiD30HioptvdB4S5DAT65HkR70mV7Vpjwj+UwZSeivxQAXU7L +s4O+U+SHMiOFlSvJmJxoUVRfTZ8V5pKHFNxV2F6zgalnhG0PwjE/w3fCYxlfBddCMdqv3ZdtSQc/ +bcZ9z4IyQVXGsclV5dmhrVL0AlDeT1/jFUARBFgfGSHIdTtYk4qNoj8V9AUGyEhZK2N6Bjh+t7SR +1kyG+X6vReDz2LacwEGVnD9Y38iKLXPwBRQpXuoBYgBkqhG89HdHYdoJ0Wbh6eO0fILySl+7lTfK +e9GB2N6ttMBETBzZ/S/eUDaS+0UrePnVtz6lBcSnWVbM8dBRqkpLtUCsFIx7R2yyD+vez2MPzEvA +cK+ZiDUp2N8dlhdUAynp1ljidq2anTvz1uVz7PMXuelnGGPSmf10nT7lHtUH628ZySLXWqFrWwCv +c5871gBWoeRkNMH2bFpz99RwpVRqhFXywoBZi9zo3Q64wFAsnbhKgULaCNPTEBUaEX6xKVSh4oee +MuFWAJ/jB7atJt+ZP8NaRi+GLhfHr0mm24PnbnKu0eJpvf5VBy07vz/ewNbMQ/EC2fleN3lT/xID +vKyuWRr9FtuQg+hoP++biae7JHh3DwCTVSqXHvlhiZeplrs5twYa1bIiMYVl0vyBI8o/4QgKy4pi +uFSpK4HGZuaoAe6IWdTo24AVRHqxtzGX73SXix5a6SX5KswbWYit/AFanSobtvo65CxnBph2loVb +h9eCAsjoFpiYA++ZwnQo/q0W2tI0e9hkIpCCXwJiF84cXDp9U5ibYbCQ9umGVKkh0JN8WSl9JXSw +UcQUBIlyo2Lyw3NQYpcalSglGkvzfzft8kpr8wJNDyVkB0k3vcD+/9rZVGU2ZP3GnL5S9YzUoV6W +Hm5xcY8WqR9b3/oxUx4CVdHKoVOK78AjZI4gWLmNdWYGhEWmK9cjBtN/3U7yDfMeXdFMIZFXKfkX +H5YjrcME53G+Jha6fvlcQFIaBr7viYf2GFx+2hhnwlKSn1u9vVOaRp1//cugb3QaFns+9SYpVjF5 +ZsmWhoaO3l3ck+oRv8JZLRr6qSuRYbADaKQshuNvkZaJ212rMhAnNImBXjoGsSbLk6NfzSa4Tgr2 +fDctrnuuiOTOd/eCTljuQkZVOAAMlUo09zOi26HLsUdZBwqiLgLhwlph5Qrpt4gnzGmp9bMgNcea +5vleVPzs4Anp/KeulZmqg8HZdMr15oh3FvGUVNvNfMbi4Ktu4wy//IU9twK4b4zXjcAWgYJKXSIU +PyfFcaTMVV40zALSBoMXJd5SAr1OZv5xWaHjQ17Y+/b+IFu7dD6ZFlytklyGWFYOqC3QSB0Gy4Jw +XK/zHZRrocxPnbJS0i3bwvdMYAycMnVUWlixu/+kdWei3vX6Qwu90uE/u+ZrUMakAH0W4NQ+YZgz +ganEzMFiZDTHyxJQt3xI4TIjxMLY3lxpWAX+wSmBXQ7R/E6FACttdl/JUAOyjiQaxS0g8vsEhNkq +X3pYEVQ192fQjwwtr/PwyQebeo9ACziXzOp3boDWxQzZZyL1cTvfRVyRtWrDL3wp8UvB2KDscCA6 +prl6b+RwrCjrmVrCmzWGXbLB+a9lH9eHG7HNBfuY5ZTheK6VAIGHyujWJxO7RPyvhlj6AqAMTpCJ +WIQM5xldf+8A03C/Pnmko/u2u3TQsQi7zVJYOlrHCHASu7RFlOhO55TrIXNNXfi24PAfR0Ms99Az +xso7uZywnIxH9Gjrclo/XlaFzkw8+4YX7PHq1u0xO0vNmaxXlCTe4AcgP+Pm5OKuXB6YFGxGp0PC +WnysCP1iOBSFkIn4u0/n2vNibLz/48N2Cb4Xn0DTrmKu2rKVu/kr29lWP8Kt9aZLcHO4yxkS6R/L +1/f/G/5h5yiOja8zq2wIMO48ut2LNNuQrsYRLMJFe0RaFRvSDrUxWW80fRd1VmpwzWpwRHh799QB +3tKYlp/a34OUc/jazr3OKgoqws3qHgWckN4HlIe0axBMarpSqLBfI7twe4914id+TrS4slp554+C +XV8dpxq4qZDti0khUu1s6dh3IseW+rxJMDMhsZyidaAGvaOFpDvFe8/vfn58rB79RDx1bcUbvnJX +FTxMZLho8A11H0xGRHMplarL9575gfQllNUxizb3+UjKdfcxtqAeyGx1WXXo3DQLdDVYrlnibq2O +7bdKjeRljBxlcfsQf3F8hZNTXPG42QKDwKgaiyo4ZRlBFCmI48t9LYr12E8VqSdv25zPLEiwI4ZO +Ds0Bk7zPhzK8Eb+6Sr3SEs4ddNuD13KdNc0x2k1ZBoO85CkpuJIrLvjA1SNbz0qzP8lpmy0Ocew6 +hJMrIYmKMxIAjt+RXD8xbPCuhR/rjIrMsWKAtz7v1D+YnAh3Mdk22S75gTzvpkNoKCljlItXsa1d +XO4oc6Fb9eAiZvjdOR1M9rpZ0IoA5g3cXHY+1W1VWWYGnQx4ZsB3O254aLu4ereTEsFOEOK6Zf9f +xlkIMEa3JTiyCM53f41OQOfx597sp61FxZKxDzcgbOE59BXdMumOqRCHh5W9S8cJKprI3PJ9wr9/ +GtRiFux710mQpT9JBR9NM2a4fTFclKUpFic5/AohLAg/hWDwvK1H+h7lI7zoSMJmcQqJmLuZWGPC +DOGkNB3gm+LU/ywxtqH0Hld4VqaehVhbCL6iweB7CgZSMOcx3DONNjpdcnse/zYiBMvz480k6caQ +UuELUpffb+m8ChmrJ7dDbsUIvtusfBRKPH+8bi5G43pBIU6iT91D9nS9seHPYOb5S0LOE9LAG8Ax +1dkaStHi+KyolXNADns0H/HWPWqdI1McG5PZFqUbQKHXhdSQ4XY6jkcR9NF3ch3gemrcy/qrN1h1 +TzObei664P1q6iPl8AxrTrRxU6OQslw2weyJL7UYE53ofl2ZYjIxEK1LUwcdfysbG7y0rGr9Vu+/ +yCCCeZdG0R5bZrM83sntl8gyz9lDBspLHA+wm4XqFiqul+Ye7hn9xQHoyw9DMvfhgmDM5vLZYwAw +6y++lTxrZZAtuYZoXkUTxlaPs5YSsOh0cVxQ/mi5DytM9KjZRCa/My5HgCCO8PMMowCPIfU5zdwR +tFwbCMzlqCHBn90dN2RsH2GQpQn+Vnm+2WszqfcQDIP5CadsVEGwz4UEH0fkf/EOdB/ub5+pcUtw ++SsCuvjvTmZcbKzNPcWOypoeDdXO+dVUvaDKVu9Oqlg2zGkZwaNuoDVFiFAct2sf5OnTD/MhMEz/ +bPR3wUvI0oQojvNJCsQILOat+xv6mwVLhPkMmLygM9q9e+jCVTkJ5AFsfYjnIM+KKLo4QDVhWlBs +DYBQlNGAiWuOA7bYNiIUt/jk1bR9xdL44Bcz7W+ktBi97zkJJxy1TopOmfUhf+RLmINzKAJokTHv +fZQSF6hZOCYMho1tluu0z337UaTaYmtsnInsTgGKfQHQsfnXHRaEUnWvPGfWDZGPbUtQo7qvjcqE +Mqzk/FZ1Vm9Symv21uwQ1jJz3GFLCKHY5neTTQNK/7oaEvCCecW3sZj2C3kX6ZmgSpUbaNoj5oix +2boerlc3rllckVfV9Q0qw8T92LgR4yy3UFHaWGMXZdeyETupshAxOMfvFQV7ZF/yKJReYXzC9hXN +Y2RS4VpVoqChGrncLx4YzWjXS7/OP+aWZ1Eo9b1OkRG92lXeUTwyVmKrnnvm4tZQFaCdJxjmCNZD +/VcuCEhuj0W74tcVfA0kjP1x4FfRvvucYc2IK6TGjvHV2MZ1pon54LSW/MiNj8s8FdWQdGcBMJ3Q +VEqto3k3TdRiIBKr5F8KXyt/CchnmC5p/agzM6lez+B343sFREHXg38neXMeJeekvAu1ira1OmtK +Jk08P0T4Woj82+mNhEfyKzI/ZJ+kNwgzkk8Q64BZdM3RtOluXMqFs3BtGpRgAB1CQmQvy3uSQ5oo +J+siVPHi0M9KCZtC4JhZcgPoY/tfCSbAd0tHS6cJWhYJ6wA8DQIQCwI2JiteBw/Nxe5DL8mzIYF/ +LOdN9i2Eyq5YETtoOoWXazqfyauQvFvCgc/+Y/+lOkdqM6+3dt4g4YGM+cIVM8qVACW800NVnk5D +QxXzBSUYDOYJQkIA7ilwFKfOUr5C42JRZuIDz7gim06Ef63/Rk3Xyc5L1/cQYq3Mmn46n8FDqh0W +PFramzt+SiUVLu2i/eT2ZsBmMGpqN+AzifF3llSY2UFaQ8fFFqVHWxvg7EcuENHfDZnhcw8Ook0I +CcvbJoz0q5jjrX+8oyLJ6dpfehN+tfWlHUHsNKlJEw7v5P+ojOWDm/XP4rpsziCu/ZhKdIHDijVq +r0agat0eIwt4UD0q6W+7tnsP9gtjzS6s7NzKqOGPJiKw4UByFyOTAKbbTxA/FQEjJvOoWYT5Meg1 +SzAq8hk1Y/waxiHv58UBT3ymuuZVGONu8OIacP9h6TRZxj6VJfIznTdEOP0HtlRWuUipJRjtmY81 +7uTGJ7HbDflKm6bodJfhJjUEBDdW41J6AUNrAZl58WEL2w8+fED4Umbdv+J7H6/9TmrkKNRC5L9i +1Zz0osz0M8CE/oGu6V9RNOkJ6g5im4M/Emuk8RNbWtugPrJrsAr9js8YX8t0dlP5xS+8A0b4wU0f +miYHc/gQOOnZDgAUZIRnbzminP6TeWZ0hHPL5+jTuTJJuokLfvVg0CfmKkan8LATcvHYGintUl52 +VoHm4jrA2SIm5MmVTEOxwaJtXmoLncnoL+Uk9itdRQU77Ta7eAZH/tXpdEEhz7EOkzVubdk/uqdw +XP6FN54jXRYKHvcP+RjNeo59iRzf4WtL6K2689VppwC8GLjGb43vZPPbwumBKl07n/0lHLcZjmmw +NeDXHQbZ/rDgXzFp+mwtXHzux55ZCxsAAdSfRKmdhze1Lj+V2l0TwHD3GJHBA9cqWjwvlaUX3wyB +UhztrA7NH8wwJCUds2LrIKFYVYchnt9RwzJbe86ErCxHNZvzsx4sNWTY1MAA2kOEtqVs2kVDuoJL +dc/Pk7I5Q27OoyerpE+k2gSpNsY4DAUPW5i2TDiMAkR1iULNeW+vlPJIiAXlqHOgiMKKtGRotwN7 +wLibcdf4ribviUnhptTLdM55L/D5HYWKuoWB3CqwGjOtwR/+siY+aZXSbxvgDORh2VgnhWaK1ilK +Obfx5spiBhk6BfEFjvxU/c8HMQqPmd7InWt4ndbTqHQydipfjPY1zrcZCml56QNF8Lzho6lxqSNK +p2G0DAV5nXNRlACPasIDKsLK0qYcZI67RcO/jB3ZiVEC8NkLiprKuglCMwd2frgNWPfx6mEhUeH/ +zO4k4ynN7a6swf1/FaaNe4FljGoy0nXQehti1DD0Gb2F5PFtviYrQ2T7aRg505tTu43ykxT1yQlV +GAl8mQFShML8UQKc8NLc2OLlOXm0h2tCAKV5WtMZHFfVlTXCpIql1uAnWXxckUurLNX4zdwgvmbT +iAjquLvnXwiBPT5FXOeJkKwgMzh7cQ6iQWzWhMU9oqMCd/wh2ts416gmstQZT3C8I70BhEDGXXCY +9A54HUm+to8O3VUPFcNWRme4vO7CvDgup8a1DAlY6WT8ATJWzFjqzGMf57KBWJqtorO02e2M2DM5 +9lQEAMQqvgNNsZD0CYiUD/OutGnhUqA2S2tnUZBDZfTXLdF8QhX5eb1KvzfQJPB4AmWSVVrW/vGj +TU5lZWzthjyba32AhnjEFWo/3qdRmG+wF+8+aRJ8kiHfugC4oxVWflYrvRzVNuY1nvadnljISfeq +kZIsFpFDKkZpE8QfkcvD14lI2tGtIpFq558uBJ78wZJNG3zaGnMYXNVRXRIviA01KQgRvYnaj7Du +798hWDHAOU9yR+wjPzKsORjDfaimoi+hX14e48CdpWFoI+3BDDiXmzz0FIu50Z0dTjXkLyFpqkcx +PtGblUTRJx0Corg7OjffQmO9GtW2rMtbT+1ri09el89ztfsbVp02Hp2VJiy0/ZlQ0JmYrfPKyc9J +2I4w3OCtaeMI2mzuM5d2Mye1eNkOAK//p4T54MsHqZcQUnFY6MrA0CtSZ9iwu5tg25xySyfkV6c4 +pQ4Ogie2yjOUL9m97vqdWMZ5OhDSYbVzORVg518QbYYyjZB9VD/oScpreqv03IiL1dYQVzFAWS7f +qDoew68TQqi1xD7kVMy2dKN++VgkJ3n/xPgIED9GUxdis+ZSAVMnyYtQbOxjOG/thrZ1KoMS2Zh0 +GyZ2rHjo2VPdKOVuFJNgh1Uq6o17eZsK6NAScjYJBN4DmkwG3OPupt2cS5q9YKpkPKg4U4dx0vHK +d4nMT+IkaWl/Bwuf5piIBb1fsi/AR2rNWW+JZ/ORo4EIWNEba8VCoWMT/pjnU/yPWa0lWIeQt36s +VrbRpJdwKFacT/gZMpx9MG7MKBxPDE6jfzGD9kW02SpjWgFgrTEL6hDZBSP/2/ggmq9s/1/9udRC +1Q3+j82TD+tpbvqFU8CnxY8WQm2Ouq5DVlp+OsftUj02eeBX9Fz+0Rt5IizJV98DViB4yJqzj5M2 +lHWIsDkmssXswPUZTNP/VVHw8gEZ1gae2gy2SUuD+1WpwQAvTY96RdkhA+3cvrKB68LHXTuJFUuP +Wd6RPDlua4JqfpSJDhxjUyOndihtyqxz0yenjIHsvFnU+9p7prH5aHHL6Zus9QYUmghzGHDknPoF +XZ0FJVsFbqp/Xe/ZYqwXdy8C7dh6uU/rekz8WP3r55wp+J89tDhZXQZnMx9gihQngFncw/HxUdDH +rNzJP00+38Y3+UB8i4lo+1A2VCHO/3JBRKsL0RdiNo0ndZvQDXgn3dKds5TYTf0p20G28gY3HYHT +miqX/Ukycy0KrfB5RtBDbZI+ZvRBljZNYNCNECGUanh1cX80LOxASwVn8zC0SOAkwAOugshKyAU1 +zdRAMeowFprHRVtUr90WpBkOV8El/VFT97h4eow1eD5Px1bC8JOdW+O6DOv45Q22XWkjyrQMfVZe ++xhIOXjoB2dHzOsmeGv+oNlSsvPo2FoEQgr4mUUCa/ZD6KHAZk23y08ovkeuUiQZaxprP45ciz7v +AJO8ABN98NumBjiMYVapU7uPagBooKrpFlWzBBOyungugVM4NcYxL78g8gVAaSSlTNTBIMuQPVmq +UIyynwDOljcu/mM/aQANFJp1o7VzBAn6cd3X7EvAfJ7LJfJJeyDDSnvDbtD+YFwntn1fWoKuwdd1 +z/5FfhjnqXq0+fIQqNSzsfyMLX0nKTIpzQBzl5CiwUs3pG2nm81TWqzXAqc+C4xHB0p5bG07lNpj +7HT47pPlZduhHFeE+CgdjOhClSAZyB3j/4HcK9dhzKc8FJNf5bxk5xUCAo2cjvC26mNLxNfwH3RL +EtWciv/LCmSpP88qwPnc3hrIFeEcuAUtz1ngQ3WLqsIuf21A9Jju4R1w8kW/CHvaRgXfc9okHn8T +ynO2D2eu/0wG+tRsXxIjkAGkL/ksh1k6EtkkZRqViAm71oBuNtx3J8+0PGNJ4cI+gfLtQGxgZ5y7 +t/mHOgJuHqnLf6L/CnsDzSQXzGTLdgnUZw9PLMNECfGSfysBnbeCFMYQhDEoL87o+qNFp63cj02M +hWNy+fZYs+jlhJ9b8rLag4+hA+GO4sHjqZt4s+5A5/qYujCNUgsK6zhgyuZGmDAQAZNACwFD5jZ7 +ePyhtD4w2aCkT2T+Ld3B76ecMwSG1QpBGFjGW+C9lHHKYKdQD673EFFW9YO+601+ROlcR2m7s1YR +xveZtKHAC1wXr/G7aTL6ew1rSRlLHfgTlMYCnHYTbax6MFW2tS68Q9qLcZ+eDU2Q4m5LkMuwoSIo +RSYmU/ASp5ocRQo+EY7WLBHvuCuL98REXn3ZAUXqrfqCpkl/Ha7BU9bRUVM0hZ8bqnHSd9p9MSC2 +qVRYK4DbZv06BhhTvHljG2/VbLHWyoH6cqPeTrZk/50uFY7M/gnp4LJ4ilF0pBJfNvm/ceOgWPt+ +WSgx2EfjNHLgcdmmcxWVcLFxZit42NUmQ+749MXzupDpwnh0H9FLymaLITS2OQUFfO3HHv67rHA1 +XrSmHLXjOZJu+Tq16G7v98UZrNzTHY1agbfqvCJg0sE0AwC6ZVAyxTSuOlvwMn3iaDSNQhwVk70u +/2VUrS44rOFqyA15KSJ8OlOR+J6/ITaqJVO8SGC6v5KvAq2gVR3V/RTcfwm79u25adetRf4wyQGh +nbAVyOMp/RaTmTcx7FnfiQEtSGMLd0r4texiGvEAi34IOSV2wDRow5PqnqBcx2rqRcdj612w92kN +QS2h3EYpTHEnME+vAeHyO1z6aHDIS41YTpptL3DhO0CuNKWqBMgyGgwjMDRbKIApyA6bjQ+Ufmca +lj3cXrgd8QIGT/6CqenbO1d1aj8RST0n8k1rUmdvAT1RaNvAF5adu2iwML32Lov71lPMOIKd3vcm +IicO7rOnDGDEWX3J9J5I5reKNh6vGjkpY/66kYRl13RbBXceWKuuyvXJPfoTaoxhhLeBoAcqY3I6 +aNtwQp/h+BOQaRMhhgDjBOlvouFu5DxufUO7DkJQzZmg91cF+GL0xdmsMX2pkvf1tl8uZ0OS986W +YEt+jluMRTJxqRpl+pdTJbkf91967NMU1UE4x/hCDb+McOJAkYbibe1qGXjTu/sgvi4RXluEcvfp +KVqQPB3nWCeCswFFJzCgmzSHdUzQI8SqKHjN1hW/5shhAZ4rcKEKyO5kO8g3Rmmj1vZzXDJ2v8sw +EDdHc1Z4V3dPrcDTv4Q9/pvn++s8VF7oKYSe3tWoKfJ+OJc9DgEZKw3YGYI1hHBxQyEjoluSbXol +aOJp4o0DPgER6fFnAdDStP9yB/CfYWGabV2//F+vq3DoVSLWaR+90Acerx5qjHNLITqEiRRAF38C +GPhkO73I68/KqjjyX813zfTAXNM0NFeNX83d00XL0mMavsjwTk9DW8gG7NHeGNHFzV0FygAMZPy+ ++/lOuh4p3I1QC7OOIznSxPlrWaawxZqk/Wm49E8wPItJbwCEO8sua39UX9Q3revJw7jhZRvPMKTX +rfwUP5qOJM2Qlovk6WOchNLQ0wlXRsu6yw5wV8IQQKeeWoXQ3URfbsMNLhGIo1H2L8d8J/wQD1Bj +2vph3ycGLDwlCeq649PvWz+mAIZhDCLsSEj3h1KQ6pbdofaUI/qivPQSaTZ5xX/FOzjug2imBMJW +Un2xr5teQuyiLj7LZuDa63okD45gxlEiFwoBqWrXsNMr4bGhwdQCcZuAUzknX8yZrPQhxv1d96Bx +NgY3HoBq/IZBjb3fZvzu1tAmoyQ0PETdimIGuTpmtVEAux9CEQYPOR5xhQF4707gK9pTTFUqkfpi +U/zRip9N9wH++5AYBxoO5w7B8F68dy6ejvMMyBR67GXpGssc9RHMOhFPqx0Gdp3wi1hnCLI8Ngbf +4nZkyXy1c9Eosu2bL2jgNwMqJfzE5k4Owwexkb8ORZaD5FqzJC4vPiTHRRJIwcPaXkdJojEWDFeF +qjT/F3tDAn/iddhnkkimfO/1iSQliej48TIiyafa2VcGYxNTkZjRRRpagshD9ZyHUnxUFgJjrQG2 +uQUzAjFsorcJHO/EQggFtbEn22q7Vqyd+8Hb82myYliTCH9WWclfMaz8cYpRbbeuLX7tj91tHcyf +yDYLW/ayZJQhw6yv6QCDqZRtEdgN1iRAQxVbmg7W4s9MM/VDZJEJ+2nY/BHZur+NnOBCvmj+qyba +fy3Ga49KDIw0clBRgcgQcKwkL9+zZB1DmLsRG3NA5KICmQsnL9t/ZOITvu10dI+NjCK/0Bri+oiW +2nLVSekqRyIhwIad6jOnwFVALzQnZ/DAvLJ/Gr4Xgqjz2rjUP/NjoAoNfaGPxpL9iV/r3ZvZTHEX +ZY27+wKj2+qQMkI1mDZI8CIZxI0I+ylUVi+ZWtQMVyAC9jdF2EDN2qQc3nu6Dz+ATOEnSajsHgns +D8N6SHrrs8g5qnp72YVCBd0Qb8V2VpKCOMsNhIxRZ+CYppWqgjNLBIeK+vDRqey4BpGtmBZPcUZL +Cpaqc0QGbZYtHhQihvKrhWla9hWJiWv7uTidHBGbQsy2FYLq2lY7QdyO4PD7lvkwGFcUAJ2Ybw4u +3Ya37FLK02NyHnmRuEso3T4iTanz/lPH6IzI4y+RpIRm0BcynYDd6no8IS1BmAobKDg4IsqurRFT +jFQcXHVjIN5qLxvTe9UPLCsHRS/gQXixz4SeM4KfCohetZKVOgAob9C2G/quUtbg+Nc7l70DdAWb +ueo8FuFQcOT+vltIKDhZGyAJhEWutgV4L+ofFVeupOsjH3xk6ddQMKpfDlbyLTXxocXOKlYzs1/u +1CHU5Cv4NFqs+fz29tlXaE4dZNj67ciZYcr0d/s0qyNMyI4CMTGe4sBvZwuK6tl1bDkV29FCkAeM +RlakoCZ2oQOSHJZCxwfEetGnxIShe7WfuN2Sj0fR+hWa0gcHqAr7wEY8FTEFqK2rnKzj+nMm8T+W +6avphBU/Wp485rs628zRrw8aoCyWAsMFCzd49V9rn2mjmPEmIiZQdOrhTPRQ/sgOs+6qDGBw+Mfa +3vh+IVdhB+gKUSfNNmOq7H+JmLkpo2vLiS4wHleUG1CvGug2wQXJne84/H5M90oGe7qgRfnWonSW +Lm8yWYx24qW+7MvpzoXmHk0iseFTnVrywoPBgE/r6fCi9+QiEl6xR0mWiGwVDhlGpOvAsHzTzLxl +3y+On+Sj+etcJXKjzb5YtyAPeMYm+p5Tah3DHk36X0VXx5BxVvwiqgxfCRZBrMh2SxayXCSqYoxV +yO4z0gF82ObzW/9jeYf2oiw5380KbfGRxbhiu2zt8ZdpHUrkkYuSr5EhrehakXggsY90S/hwkhQh +GUhjsqRFJv1+CbybLCMPcWg1gpP73TXQkenD9K0iacA3GYb6iBNHYdSA5pSBH+5zZe6ZzJdxieTx +sh6zonFKmF2mcyTSOh6La7uZNYqsb0jebiQS2ZYqLUMAUGFyP+xHCU2L9TCgWsUp39jE/8NM7eHQ +upugXsz7h+hL5P4Q9A841hPHLo76K/ilv4aiglta9SooHpcY8Xun15ICLF+HkldAeROGQ1rGb9ig +p1yN7RxCh4U1hxB1nHnxSvcFMZaQjWiZ2RqCPCtpXHWCZA1y7OCq6FTqTGYUafAjhX8//jc/7qwa +Dsf4/CfSRx0K1ykgNfsEUafdrXD4pBF+lRDZnAsyo8Y7ooVjbLZO3bMdmeS7Mdyh1TpnkUr6c2wt +OSq8/4MC1riQ0eU5eTxXe7giff0GdNxz5qYKEcMFxLZ+sp9K28MZ3gi0u/0sFyk4ri5W3qZT+AhB +B2y4z66V7L9tMo6qDFcbQW8bF6f8FhaShhsVihv5COlLX0ptxWKr+jOSmABaY/pp/5rBy8qLzjor +4iiG2QGRIvQF6ixySbYfjtHECDBSI02OkuXM5ULhxZQ+AINFhINRo3VTbrwRRu1ZGx/lIVDufZqR +spRWB1gRNe2XHNCZZyCXvgOI1myVz0QyKdoJb/1J6oDcC4I2Yr4l0HHCojfWXoyPYg7amH+KxF3y +D/9aaBR/+CWg5MYEzJPSOR4PyXdmeUPwAMaf8dTDahFM/RZMzxTL6vy0j/XYNYSIY02S7ro6+l+E +mU3fMlzJOGbyHk3m4tNKn6JawY/2rihMd6LCa45gZ/OMnpgXMXsK4/TrOX65HQ3EqbVc5yu1uKOP +MXvasHlwNB29xH/2wHswvi8J7mPniC2tjxYaqkuhGUyvAmzLeEzniTvBNBgTgORno7zU0tv8S8+9 +7AYXsTjLqkxaiUQFjAzzeHg1PES5NLQ8mZ9wEiwAPkXq56XtxCOiJPWIPCJO/HuVQzU8mcDyclYo +wE4nuJwdm0AWtlpUWzJU97xOE6AuLai94ldJcHRyhP1BIomCa/E0VmJTOm3Fonh9s8KTlvSy0azX +T9a6rxzNSI0LqNBPDXQ1RCnzW8L6FsQ7LUCA0Cf9raTFeIMybtYYnGwRhhcMCWVC5QZTQX24/or9 +nHdLZ0veKrCWxRkT57n82+/RcE0XRZ4CUkaubY9OFuf/NruuSIW9TS1JTffodHHuoU6+Xz8XD2ug +9sFhDs/bRJnZAIiKwitXTz2u67VECXRLF13wwTGRE5ShgaWiZJt1g2XJ1sVPiA4NGMAaO8lPLFy1 +fMSgpkrZKZYOf6MUOfYj+SpC9pKVosv/87Mi+uua4X0npOwdrB/PCF/Ix5sSGtB5LF6Rl1lNppnX +6lxzCBaNrcF/yTNNNxpdfDeglZIU8v+3qEA7WO1GKrAG+F/WizQvrZwAuKKqATXaTCX8ulFihfTt +3OhOUPl2Ore66rcFghC9kAXNy9RctUumdEF9hamuynLd63PdIERg2QPvUaECsfhDcw1fuCUOs7LJ +K7maxq6fBRv19kyao0jY7G2mgaJ848SfaL+40fbrL5e5SM/V+3eI+OKrqoCjTpY0u3W2IjJ+0/el +hlh/POczQ0PyLTKnEdKe18sGl2kUqSspNR/nytBh6fWy1MklTHGBa0YTcszF13VXuSCbB8ik0Jxv +7M99DPaBWjMvxUhHmAP+vcOX4z3pd/xiAZcy+BC+KQ339IMiLrEVYBRb3xyCrFpfRI6c/N9/Jk64 +XCBapW2hErDkgc9fdQUfvdCXdJ1pPTFepTxFY2zExBUolY+DozO8793XMIp2L0CRXqaXOXR+yjCr +DRe8OpF8C8LTQfwWARAb9cIMuo4CLsnGk0jsLGTptWfr5OGigxVhTDUmC2Y2VcYvGSQUsdPwiaq+ +phDFcELIlsvOZEp9H3ZR6n32hCMFv7KNgRCKLzRjaA9H7/AeUrsHo/Coid56GU54ITJMoY/7Bh6y +nYIcqAAIa7V7wNUC3IaUFGcSwiPHIZGlWfWGdW/vA9OS1Zo6HYwOF3J988qUhq8lvMoRAdB126rh +XQM9IR3mWyWzFrXXMYtJ2ZdDKJmwEFLh3cRMgSKYicx6ujlG9MC9ifxWYlz76Gdsq7c6UiBAX2R1 +NXJ2lDGEp0mUo6mwyVrOlclRx7kBm/sN7moXoh4NgB1Kogn0Vrj2K4B82W8/gzPMOMqmP4ScOtX6 +nAscZS6YQJuED4sM/QF/p309bePzhXrTNd33DwvLNWI3omtAYhZOvjXc8ixk0IvWFu1ng5b2RYy6 +z7rNR3T+mJzYomK+OugZi3s/0zKy0KGfgd3+775LS2ANZW1ZO673FcYV+0mQtBqltvcsOUvdvW6C +Jy4NVCrkPNTCHUkQvF0IJLFYSkw+jI6rstreKxkZgitioiaCP2hGV+Kvo4DHQOBLaU6Oxl4cuS3p +Mw+UBjwbP3CBlWl/hZ2bIuuQFZI3OgbXW4OFZGWlu2U8623SMfzaGpXchPUT80L17niRoAAtNTrS +Qar/4xC9b5dMvngNFMynSEXhHK0hW8ZPF+OVNgaYtxt10kZuzR8+Qu0DJF9BKiBRNSLz2WRqg0d/ +Mlnwakx9kGVqeilfGccxJhzPfJHXP+tQUfAE0f3xmexyHqVCYQ1fQOaCQ+aQxRyVncN7S26wmwN+ +OumdpW+oPrZ0TJ37L4jBoflEp1SFVGWPUjQN0ZKKdO9+Cch0BnJFBWU0+Z8HTWXHYXyvDDB4Dz/9 +HES54ap+jX45U2byHAJ8Hxr5CE1qk7KJZm20jN0osMl7JBBxCJYYTSqE/GsjgoURAISu6wdXlN4D +newVShjyb3nrq+WGs5Aet2JoFMSPV4+GbekmpJ4OAtNPLZGiDqTUv5BBJvTBKYk0fqrecnMHb3iz +YlhTUdlsjs+kDMO276sEZf0bY3blpfczQ4nLWBuhfEJXxzZpQZXPCQwbwaEpujeSo7nbPA7i1oUZ +uxOemy8RRJtpoWmQMX4IB3aggjvoob71eKXSCwW0qGKYlpCalXtlZ+UXUaOhQPyPnnkRG9KU7Jag +Mkf9uNZDtzNqIbQdUzo2lKGei49CxoyM6depHy8TR03i87zqXrz26YnJVpvl2X1jjZ6pwe8TxxJW +b6P3uAhDHEARUcu6V0ujakfs+aukXVEpFjIzutIYlmqVK4RCXRay40DSq4C9aU9P5NCu48SI5Moz +9iEqzDfw35g2aa7Bupjfk7b3MBgYPewdEbP/tLoOeFXx9tZOGkfHbk3nibZMIxNxEbCC8RAsJyAo +r6V28Q5NiTdOnLhTawmlWaU0sg0/EJS4CYfy+IQYMja77/Y42yf80etJN1A1dZRrFF2doVJMjdXn +qGnxmyZw9hlbuJm9KgGC25dVCfHF5NgtImr9IkZVObHb6gv7h9JhB10bHiDWoMermcMPJ3oVnsP2 +huaVCPmnv9mo/4fSV64njshRykItt4tjbkjE3LlFS9AU7fShkWv2842swKWgX0nCRpWfUgSUYxzc +Iwae6lRe5VnxbP/Yt9p3Zw8KoBkq/aBfZiPA0jhwqdPYjk8ww5ZORTEqfbkFLaEXFlSiVhFXYeIJ +1m5N6LCD9Rtz6ai7TiKrpCS7NyJAgs7iN1XkwxtcEPhqTzIIC7xC53d0MDa7yc3RwZz1lmysTAr0 +FXgQ0mQLD+CFykExlfA5YjiZRRLb0pdJQugwALkNqgGjdf7OjojUdZxxIO8ReSaTGe59wAynpKUb +rDmuNGRAh8ad5i7wrpJEiu+eJVu7AtaHd8Cm8r4itOoqb57jmhdLEWWWLjdb7PTJeOL8sTMVCj+f +9jhnDNrozME5VU1XK7q6p0ZOuueLbLcRUM9qaYi1H8AYNFjbGnHRXrNHhZl2HCjSEkV3UBjDFc1F +C7iAZjd+6ZtvwHSNNwVvHQe40cSq7dcbuls17e17gQUwc7jmqDbHf5Q9gI3mlixdmeydnaQpJMLq +Dal84o9mfnO3Y7TDI9ge7Joi9rdclbatmn6vHdwE0ZiyCgxSJDLuh5CvLL1P1b1MVpw5SNRleg01 +n0uSeXSRRNeRmPJ5lyY0KZ9U4BtEXbdC70lWnDbrXitsJCGeemF8nBtFU/7lKb4D2pZxLMD0BWL+ +6nBYD3RX88c4ZmdUvw6fEFNwHlG6YMME+BxIYM0sKviGaLYDus9k5QnTXb0ntjP4VCAN+S1DQMrX +d3GzxSIHvTn07PUWtcXDvyrycWmj9e4GcX3G4ZQRuM9N8p53nAqnh9pNA1LlT5iDYqWygpiJbjuy +uQVwuYvhGcgIvkKplB9RxfKxoEcRKnC1Z4PHBvtNYIFXMnEle+D7zMKudoIZgFdhy2cxjXVEb3Ha +SkDT0F7xOCib/elGXHXNOysgxu/BIxncAhKF+Q9gpayFfnmlRbrTTnH91lzr8U57nIFPcmn/CPX5 +vq/ep/LtZuR12RtLCuUDV/b971pNcpItwO3aHH1IGq8tVHR704hc95f7FKXaO9wP8VbqxgdWQNR/ +ksThSZ8bNZ5LLUoMrFF61sa4WrcWUK8Yvj3ImewiITMQl0Z4hENqpWtT4R9p9I5L4/wDeb71YfNE +c/pmrn0UyNKi6Xvyy7KGk5JxXMgdix5jeV0HKmwxb9eTe/YPnCy/WiS1IOcKQCF6ha7Z/B515W38 +P8owdvKB8tWIEpZyxM1/f4lDc8n1UHLPgBwQVIkS3aMRnzNVyxlSVbDUBSp+bdT+MXsouKENWeKT +wZ+WA6BaMTjNc5Cil7EUvVIoIO0rkPOxTey9hmtj6DMTvcY59RFi1QM07B8i772Y76YOM/XX4XQc +dxEUAHp2S4YruZZivOB/v6D8GACjU44ripWWOTSKe3koNEVa0wJIOk5/VlAxBRU9Mg3Kef2gi96y +FJHniAIa+ZFNStvvC23JwXpAzLDapsOPox5q7IP/VJtpTjLmqkZTFZylXk5fVGkNyRZZ4jyDI24y +iRG8UEgYqxFYEx792uxHCmYY2+YNq965sZAI8so132Oon3mRaD+s2JJrp6oJKZuM42FDFWIjPMpc +0pSJ9PRhryEbfobLYiSblVizm1lCN13QqGFsllbviQ1HNayDoG6hSbqlh/r7E9LAQNTzySTw6y7C +OcndZESE8Zq3gTNauUKu1poGsHEDL5x9GbiLU3JMGKALGmdpGHNK0BBkGRw+yB7b+qTbxODHhUDl +ueVmemD8XIdXVy83CCEYMbVyerfM1BOYS9csUD2XjLblY5AQA9M6AYYAVnP6obbeFOIfeucvI+bh +aj6uvOdPRAm+FNrZcQhPw5zkEj6R87Cv456//2vICmrxbTQkZAESYJF5ydqOLgN3kTUxxMdXq6WY +ze1BU1DGygWEbHINwMG2ZTzplr/v6n/eaFf+48tKpR09EXES0GmdUxurCkZqNPLgCxMC0B93lI3C +Lr04nWZulLcjqeQgVPm/Y9w6VGL2VioPJZdZHE7Su6Up1UpRcGWoQPc/WFxbEHrGejNXWm0ODEBD +xqxs2k/qo0gVQxABnMtg5494wQmsCoN72SaXtRagANlz+aPsupUILnt8/qlsqFK6j6offwd6zbY3 +q1Q90YYjImxzdeyoBn8eu8bIzCaZmj+EdGXyQnYGmEcx4KEvv3EPFKkc5ARg2ThTrtHoM1cfZLtC +MceHMfUN4YI/nmPn/zlrSBojavhTLDRkfmYIfxhX2m0XBtrcKQFiilFNojlyCqpYhzEjMdW+8hEb +NSkj22wHwIVHmnfHohS42hZUCK5tk0Ps3I4CaHE6IY/M4HiH8boWsXeg1iFK+i0OrNn84BAvz8zA +sEn+uhjFNsRzKqC2cJf4WAauuCmcIXksmgbU49a3X8nVFFt4+LA33BPKLYD7i0ErgWVnkNzYh5mu +zJy6uf7xUzuhCSwYf9ajEcqZwXCrE8dQtcN453u7f8MXlZ3pezDld2hEpwof7gYwSZ0TPAN4pz3R +PxuRfwMVE6XT8dOI3ppfLe5VeYGX5FlyMamKanEr1GBe70CUt5TgNnJAZEjyyG14qwW2mRdYBmNk +qgkt3k/kc2FvcsyP9+FdvOoWh2prEdonedEzqzpUm7rUszb69XLqOEtvgLb7USKNMUpaJtTIdQcI +flJ/34VywaaPf1c1bmlwLAgm0pggBP1XWrDGpGjMwzO2pqDmu7RoMLZTP6J3s/t9v13B54Fgwxoy +F5yGwJlE3c+qybLraYtbhOCPSMd51NqDgoHhUnm1sLR/pDX4vJRSDwqexLJssb1qopGEbsPZwUno +3wT2aU+mstz/MZfpLneSDykdqLFhQTQQryW+QHpQ6ZAVSNMGxGaUWmAa7Y6TkAEITw6tcGDuXu2n +uKVSgbM8+G3YRMIkd7t81i4bwdqbxASO/DQajyNzlEAP5FN+KfWG0ixyPPbH5LFUzlbOERx6Ls8E +Dk89CNRP/FfaRX5c3Cp2yO6ibwHTXzSj/MIRaR/k/PcySvbGbMATL+J6Mr5xrcXzEK7VceoOKZ5U +nwNB3jUC3ykP9l8Re1SQ7sgLzSvJuxkAKb9Lo5zLrRdijU4DeF7EOAzahPplv3lOZUWgetoN9QGe +8fH/lU3Fq7bXpj17C6YHzuYtV6UMZkZyAC9k7Rm8wXtK3m2GA/5wMTNcytNu9rm5lDbW9kkcPgPp +xbvQk6kTIgVyo42vLOOWXHerILrh+8eDUsZKyjn632/zIyQ4/f5MnjFrM0CswhJ9T+PQoLW4PukQ +Ecp2qrtQFWfBAEsmvPAP1bCtmUJgusOCuCIpS0gRQs9J1HYTrsxx2bLTyO3hCJUemlIyjMGP2sc6 +fOpf8biS787DnpxVX3laxbK1ZVTB2IrxcCQ0OooIG9QQimsocvSk4M2Ds1u582jqo1Fgc3U5H8T4 +V6NFSFSlpiEzMWPZ/JW/6UqVYdo7oLHaGNl/1Si+73kgmnkcpLXxHy+MRHRGdlbZc9wKBRyICv4r +RKQbh6ZuU3bscky/zc4Nz4LAs2cUIDkqUPJEUpAOM8i9SXuHRInQeYkEVXTnXs2o6U6MhRBoMfP7 +zpZUcaRzKlrnl8rXiD5BacMtqUUp8B9UI2nBiCHK2AMDHtXiDrBQ5E9Q4IvDi01Gj4SGCrB/dFvx +3pYHMQWAQksuYOlSXHizodlf+828Fe75plyxgUiSpfuK2AC6YNwVeWaT7RkYFrrymZk1ttNpo2mq +qQuHCfzjBsiilE73SMg+z7hVsZc5CVA0m1jnX5oQ1ZPy5v2oSJ5t/pCU01wJvzcR/JiuDLI1SPQN +yWfbAm2bQ+4+PaauYZM91+XhBoenaK5uH4toJHc0hno5st8UgKr9qqvWu8iq4XkjWk2Dbcj5mva1 +JR2cErQdozRY8yG8/Qeaz+UlOyxAl7TMi1TSTDSBdS2TxnnxpsiBhvb1vM68Q9t8p5Q5qVUurZgK +yCGLY2nMt1TTBZa53wTtlXbgvDvTxbChPT64xowpo17rA0FmHgaQN4PDFoWZcT/UEfdrhZcenUvE +Vt9VEalixWhCiHzxePDPMqbDPUBwh671UnIaSzhrJ4tWV9PN0hfuxenCk6G+rLDjEPmTQ3h9v0Lh +PqxOZfJ2TCJz2+00XcPnjRryY6GaxGaBxCHmhg0wUlMzkPc+ioqFI+dBmqaO8WBQQVyPwellN6pd +Wv1QZ2J5MerxodQRnbvCnDz+d5v6PcXDmtST2SCyMCQSAtmHQ2Sbek+U2Len3zG0UFoJgf0cA9a9 +YqbKly1/X3JZMJSLBnbuJrFOUkY/dsMsqw1cmyREVqTVB3T02KrSn1yBcVMiJLDjGEO6PkgWOJ7U +Ks0K8S/CkWJS6XifJYgmFIVs9JD+oUyW8iQxapGs+FatokrKnGpKMoMOja38/N5sqyAuXbAges9K +VsCRS3OCWjdurfA5awYDt0pmq6DknywPjDsgecHFLb1pZMdagKfvxE0gK1bI1dGoI2KwoGDYzN9H +bED55uCoFxgq4rLdWSbwIeV3EbTcLLxU4roxWdIrLfby7fVHyNOyax7cs/ulu7svoqW+CT17vyBd +mfUz/T06ociRJk8CMKyVyFtZfuEdTdq0JPi4CMISLEspXcrIsy/MAm0bRLhNcn1DuSco9AW8OWwH +N/ldA3a+Ghzohz/UkKiGrfi0dwwOXVqWmjrFRQjQ+cmMa0bzQOe07CS9T5/uopE3WsXmA8WHCLtB +6gVbdN8J39nSLPKv808nb5cX5sV3f2UC9OMqJBjtrMzL2hPNO3i4HZhnwolOFM+HaUjMySEvbv29 +xWlwmP1z6LgvJwk8GwFam0Wl4T/jAMsDukW5m5dNAdmlt7SLBngSVx47xr8Vo7/vtIhTz8k36s1v +zee3Vg88MZ6zLEvJ+kEUoV5s9piw8QbURlzxH2dna16OtyeEaCuoGzmfG2oidxmXV9eMFAqplCgh +67LzgRdjc6tK4Va3XvDEVM64L3DGOaTwa/x/wrxFAZ7Dcq1cOcFk6vD/TOhFHsUTu8u9WJrCDhVG +C6cITozWQdeCXOu/9Kw5Lq/W/P2kWC2R3ZD6/kfw/Gul/0PRFDy568Fu2HhESbqcLgqdp5Gnd4yc +jlQY9nozhdIoNRBDTw0JMW9Px0LLg1rxEgQ3c9SUr70HtGt9cS2y3udBwgje8jn6COKmM9Cw+4XO +Gt1pXChQtkEBlDklIZv17gkOAnc7nwqr9WsDdwxfKz6ja7oXXNXNaoqC7IBsQ0c+2cDxP8Q0awTe +9ARlcusV3k2iuxBoDmDYB3WmjiCShBJ0HOz2aGlM4Xhn8lRQNV4UVPHR2q7NpG+1ZZkQtQWVPlv9 +EHxbWqfAMZk2Vrv+tuotmS8Ma7tRRsf1cm14/9EcCqeVaXGvOX+TlbwWSzgggG422UhoO/mKYTGW +DDKDdXVGv3VbzVCh5Dr1TYFbXdGbkyqsqmHj+rD9fs+MVhLbAHdUj6HWLZ6QyB20tTxdBDoh4vel +qpLChvtIzW5nCCe2xJefEzihRKMkxaTGgA8/6GfA+khOoAlswADwLwIIsfu/WQcoJ9j1f96eb3Us +xUPMgMz6PGMYkveDeU876CQJIRKjdwTPd/ezfH/UAqho7sqUGlHBfak5SXo6P1SMBzynRLXo5QDq +rZUk1LyIMmD2dNFs2FUVot71QDCHHi4wD/zUPrfHUzxjZPdvDXFDHfayY4FqWQLbQ6yxHyjZK5uZ +zU1Xswj0uxwSauvN6rHm6VmtUhu6cLIAWvmLI7bxplFhE+fRR+DbNcILzi0+TSl4WXiKlf83C6fF +rDxvPNe5wxrFhYISX0fEVSOsEXOCNc3aveZGnPu2ih+jbry8fyL51YXSY8iPi5GWE+1p3hveyvGk +cAEoqdkfLnnDkWw7JNnDrhSqcgaYe4VgjY2K0e1o56oLb5LLxhiXi0Sa/VzvvvPkPcVlOu4Lqf0q +JnvsMGRigv9Jp6hSXaEaZAlcdOq3EmdHhqVatfqQYQdirvavGgfb5dok/rEDxDnjbHzukjfl5fK+ +x3VNCo4QZ8qT0xu+bkR3CtvL9LiGq94llATgDNnK5wXJ+49f2WZixYIXMlJFAS77ciYsAyZBIooZ +KXUGAc7eTAxZoSMBlTDxzLYDbUr3hNAE1QM7Tv0zreDB6IvcwAPyd8OEdpqc9W07rAeLgA8/JcZ/ +tLfRqaAp5LaDBvoZihRx1z76dAGHP2W6UqKTQBCLNrkapNJbEHMzkJc+HUlSmvjXuS5tQu0tR2tY +gRM312BAamQ1G/5aEvImNaADSlZvnS/fO7reC22hfiYINuJqbWvINVGfjRJtMz4o/JIvNllXE5wV +wGS6GYx6NgfIXBLwXqknvxnJIQqiGZwtMC1S49vbjpDGyJB49YtPBX8RX01w0ENaAfL351cK7Q9c +vglouLKrRWRMQ5OqtUOiuvZyaIOLmLgBaXLwN23hUQG2Mv31WbToeFzzMkxfTQKoKnTnqACd3Zyf +kD484RRhspOBxC2Yij0r0XTMQQszFYKEQV/E+GqZigxRWtJ49THwuYlgcSYZceegcSw9UySVSgH2 +3gBq67RztlL+L5Ii70Ww49pui4wrotSa5ees+9xMjFM+NUcS0DDq/hrPXk9Z4zsShYJY7Fun+etM +V33JPY8DsWlWHedC+LUEoZ0EZtct1J8jVMc56WzcgCN4NAB89u3eDSKBwH18rFGdNY7yb1Z0fZ0c +PlsVn+MxUCGm0vq6H4AxFWr5fM1TicLyE31Yt70Lo4YDYux2bT3R7XXONqRAMPgOVvM7nKMAdyOE +nV+VcrWzpivGgZkKhL9QH5D2MsFkYVKWyN46Y6lCmnYawxMKg4Z+FO9C0kAjdwkLaaypXzdQwh8u +o8fIVjTIWp+USxRXQvaMVtB/rAG+Qx8yBzb9+sRmzsbTSHdu0PQTInVP4rkl+wtW4VuxefcshdGZ +pOIQtxbb1ZRSGF6p9ELWWq3jkRQq+vRvuRT721oel33o2fVo39BubrUTkE4NDQwtis2uIXhB648d +9aQcQM3zXTMKND167k7OcsggIqHWdV4YXQSFDD1fwIfz0ERmv4qAw2++ZytIgIwfJVEL8kl56xYD +aSVUhuWJjM+patLeQwpZW7r0BQkr7DItEejBBxWIqtUlUlZuZ2R1c39pxmz2/VrsP5tpF04YUO6g +UfVhFv4eoAdAibjXK1KM2laHk2/U/dj6/a0d11Sg4tsK6lQJh2uBoydBxmETp1F+xwf1pSJwe/sj +S81tHPLzM0bPA/u/sYZxruPenDBDjaVs0BNBO39K5hANv/2jtBI1FXFgaimh9/bAd6DX4bZAdeC1 +CJAijw0r8LEKKP4yhwIQh4kCgczJMOyeM2+vQ/GeNArwyS6HFaeVdYWZLS8RMDYBXP9NeTxxi05v +zhGwUZQ9qC8bRVoH5ExYeU0Xw06Exds5PXFeONqBum/Gj0kCMmo3mB0zW/42EkHAORsb0tzE68kO +GoBNrNj7aa7SJyXSNpNdnJvO3TNe6aJ4uIlqecoLHhkPJ8HY3pGGuLlQCCqqpsTvUD8LH+Vu/AEw +G1nYUKHqz2zY8f5tMTA6kXxZREphM664Ctqtco2GDD0JEXbKruaz2jdvkvA4INMdgCy7zwdxoTYC +s19MmV6BZ/ucczrHB7t2SaHKznaLeOz/ufYZBRo7rRu55rRKlaw0FodCpcCqSZ5rhauxI2mHNdrn +jIN/Tltj+fDKgDj+2G8/LLaU2ixn81gxU8sVmipKBD3ie0qAkuqJ2db9xtKXGd55y4ThPABahZGS +oA7cseMn9rcZccy2Gw217dXIyGUHIOWa5YFcVjgInSflgrivX/VeO/VMRcpon8ZeUn9j+o6mXijY +1oEgYTRF4qDvBiL2cFv/OVO7/tgtfWPlLthBiGseTalt+dufzfFAjuKvyymnPE3UIO098vpakq5o +VnEtjWpCtv4CtfSYI7/KeBH45j7Z4x0IDUBKogYfxZybQmyddmZmIF7itHX7rd7zAlRq83bswySQ +ChGVps2DHK6cBy9KT/PXzo4Fo4RJqZLS9nCtFVj4LPiaOPKiuQSJ2//Z4xGS52Ky0uCHcowA37cj +4gKvDKjDfYWmc08styZ1u/ywhpRnvzILTi1NJxYhacDtsdRspT+CbaGfYwRpOUtKrjfCyukr7atE +E05gOrpM6qYjAHCSSZCgV61DIPIVoPZ9dfdGkk7p+Qb3GSB/NK16+nd1tELUpbOUJOdSUJdSYTky +aJcD6N9tCFuab0kQbp+Hay0fflEc0OOScqulWZnHOZv5M2N01cnferHmRQcT2a7Eyf/Fxf2uXHWU +qIFO9W0Dvsah361vqhwwBWA/7JxieAhRijzRHynyVZb7FrxiJjWUnOjVdiAQK0x0T/ugVaSu2UT3 +FcIDbnnjUzJvx/ehjCyWeJpeT5SYlhMBAZY0CuceVeQwAXE9kQsrefsQGsUQ4GFHgPvI76glR3QP +XWGxwzuxrGCdlNjO6J9ckRAeX8BmsfY/iCVK53RKZ7O7PGxuP+qTbKLxublkeR/rMXvhLdDwrA3A +gS+q9FIRcoCkGj3zKnMbrvvF5pxJu7hp03akGaAIBpFQ0WUXBICaRHs6seir57SpR2056wkN9aYY +NhfdwGqmpU1g+L6Pg5MOO+8YiBe+5RtZ71zRN9mWFJjR2kwQukTCNPwSpc+ciqBwyAI3KNBmHg5/ +vf8nmis2lKbSuOzX+5kp//QY7RhkkUp1IHtW6+tHnxs37rYVhnUi90Wkeagil/6pEjEmtWGRNuJP +lYAIjvjb5ksS6U+SYhpkji+gXpIkMO93ePID1FYRH9ApP4QuOgtV5r6u9h14FY8JoUvCRO2NUEl2 +ViUaIGbzKEBp3/kERLoGE/mxVumebA3D7hjGv5kK/vPutPNjN4yJDpijvBBl90xnlv+h4HPlUb4o +TL4BAA9DB/tjy4A+A2M6J8K5ddZjUxr5yr5t+56GnvvkUVYILvLP/aUcJVJqkCq5PEejOjFshp9W +Nsy1Jbg4J76tW9KUF1+POwZK4PYjq6CkwsjSOgq0RUZyQ2jWZ3BX9o6WY9ZX3Fd20ISjiHmU5+eh +CKOZt+JY0fQ3qfAfDKr0yCTkT9RZ7dZ3mI1vzyh6c03UrmaZlBm9W1tcDPspt7/4qA0Z4J5J/TZ4 +P7vHrMiisSyvO5dWFRMczR557eqRLnfd6RfcWHTCwtUhwxKzoarf+NhLfM8OvMJBEWgBhH7f5Msk +tqpP/IvA3Xvqzin2ICTlUgpWVpxrhwaOERsqSbJckHx/d8tK+u6BX7cuC4uIYNOx0uaLB18TInXy +ctkONE/klxLoPY2Ia2Sx8N9pBLTRUcPaAsyQBF6AmV5XJUj4jFnd4QrnBXDVZn4tRgi796GQ9VEJ +7UHQBCLPGdo07ZaDHdMiADNjyFhqUKxDOXRtQQk6nFT73T479cY3ckpAueANA1JRm8k1qFCvYDLs +tKveBAJDceBc2HSU8w4iG/jH4TU3jypYcgFkc9sHOjSUq2vlU4vbBD2MlfJ8HSv63fnTaZubulv+ +MomJgsm+KCAm74Pw5qHBgs8CqUof2qA/EdJXFPtCVH2cKjoDe4e7GFn9wdx8xM6CWOk0to74q6wm +Tx/mg6OEKfgkza6ONKzbVo3cYjEQy5V2LCQWH710R7FyyqTr7QR5n1pbLhntIa1JPy7pUVQRwXAW +cBFghMUZKziHQhyR8bABiwLAYDUclsc58WWhx1fglDd42Gbs5LKh9RGWNL9LanOQASeEmE2UbdJE +joX2CacVcyv+ooWpuoPnqRuWMmgw+SNrfV6aJK1AWdhPQjgeXVkxT3tGikSq2I/Wu2nqUl7eL6fX +1AysVIUt1wlaLoQOTm3qTPH7QbwP1GIGJDx2Q5QFh2oK6OPtV+1IpoyZXwF7B5sF0OaEjggi5iU5 +3sxI7397dL5Pjpc1JGLV884tZ3WCmzileTRhn5NOIxbn7R4CBFn7IZ1a6eu6M0YG6J3WagJ6ym4y +fttDqdjkJsV7dQH1k+87733yXowY2swV23XNLm3hIA+bkqsUJ3foY9GugrAlANu1OE5A0sf6BKOv +J5+C7R2bsMkJZ9lBqBuejJMFS1rBC4UyG5n6XISTN4pDQoakPakVt5eq4BLz4Di7CLUekKyk+gEU +A+mVdZShI4BCdJGKaWN7FqF1EWT1AfyT5ZeZyRmVcUAfyS3tFs8ehksF0pFJHkqds17KoRWXdEBi +rMPDOFPEUj6FtW/Tpw77JPLeNH/bn7u1t8++eWkk0d0iZMgcWBHZ/KOi9hDcguVOcAbFAssDe4E4 +PTmxfoeuYIz5FXoTAvz3USLpAPrH7/uJFFg9eGhuGXe4XIp5rl3ORlXO4qc02/hWIqbL3xxV9vlE +RknRDGjB1F2WMnV+zPnOBH2ClVCFVkHzgxE2HFKq4N1Gt+SHbGd3CB+cYoXL0RrWRM4bx4/45WoK +s3T/MkQ5zD0oRP7x3FgruGBlePTvKxi3XEzSaeB+0MpSvHIvvOxWl8TmW5QGVG2upmWQlSyG76+s +qNSP2SXIMyhQJTvgBFll/GaP7/oH43lKZQM5zcVQ6yZzE6HHDiaIZTnAK+z+PA2XyimP2LMICXVr +AXwGDWiB0gKKHviO7jyyG4bkisW6Jd6auQ6EnNAPiAzAiT+VtVFgPvoNnlhyWDz/W/4Dl6UYMxZT +3cSKgmTdxkdPoDUsWHUFHIKzvvEPFM06Su+JQ+30ofs1USl7NUyq7DP8+YN3FEnTQ40BmgvTYl3J +Wvkt+1qyiyVCJLrPHeubg/aEG10evX2nQj1KGvvMwN9RiVogIRra3b/qUbcn8b6ax7DLBTEtxRMb +zuGJpMYU+CFZTuaRio1SBR5xKNu9J96xAAtDkyMf3GuR4loIdvv1bwU7jfzbEodvfXuX98OtU2ac +RT2zAYpKnAq3uJGterg4R8waw5mdNlAk41c9yGfE5y+lwEIseLNkAMBZOBDD+OPXFr47X0jyoKN+ +DJUPMr5AXrv7jenjWSUB4Uqnf2al+noUoD88jUTsF8FK5XKOQN70XBAy6x+1FxjSXmsTflN5o5Pi +FCsSFYgLt92ZE/iiJngraCgkDGYGYBTrpDDg7QjCKM5CPT61fIfWONsARXlU5IvPKYXaNT6XEpXB +5lvVdt0xFq1DX8v7huvA5zVpk5WkshkvS7TRhKM5cefxKd2c44cXViXtEwakfAenoOYKOL+r6T0o +6zuvjzTZE9lqhqOWoemvloJxjfVuSAMGFCD/H52YMedwSn46bjbpP+puKBXVOOXJ99SJnLhAP5ZT +t89LzotGJW1J3sdpMa3vv70zvenv8VEKRJhi9sqKEm7wJQ5Aa3dCSVXe4HmLh0+ZDyx4LbCYA/9m +V9frIzfeT60fr+3t3en9EpfLG/IO2fFp8KOimLFCat8nxMvihRG8N6NCdXRVbbQ4/SeK2+dvOxjt +pIP9k8KZW8cXHNASzQUU3xmZDkVrmmXHuJDcJMHMdm260Us7iKfBCt8lxijghCLK8euUefm0U0JY +mnX9sUnZvlWLbKQVkbuyd0UqR2Rf7ZkLR+JDh/5s+j7K/pBhHLPEtJlaB99rjdDBqlziUdwDnHHC +UZsDOydl7WXfizjM9MqMxHfqv/3786URh4bOthtlTRd8rxUISK6Acp479tUawyrECr4SwdnFFcAz +vVHf6JdTf2NwX65/5/tduqTmiTV1KauyXE7UmJMKMtCT2Bodme9/z4mRWBCW+VBfKFERAZwyjqVq +L/Ex/tdlv6qIuRgeIb/4TnHwps2AjWbUu0xO4L5Z3HBkDgA5u1ePWUEwv2uq0f/J68N72TPVEMIO +JxdZiJ6RFizvLlw26VMAew/Zx/LE1PCFbHoImw+cU9u/P8ejPxztDnl3TIGuivvAq7qVQz9lJ/sQ +hs8FgdedbTXi1sj9nGXpHqM8TDG1cqZr2Nb3+POK35/UoMNGmAjH5hJ0uZaHE5hNjsSmz61r4A84 +VorpeGF2PIt9qYlyEWZY6BMzg2HotjTYXGviXaP1mx9i9Pn7BWu0L91TJL7RxOnGihlZjXd/QSns +jn3D+/+SZW0J/9bOBtzzFB2QdCKhCJriCjcgCHVOhgFAz25qoCQNfaS9KZmkCV+k3kdAUKK7K8bx +vmDWXgxLbGE0zXxUVT1f83NfH/OarxJuYu9inU/kABOA/OA6Fa9q/6izOXrrl8QyWqx7WYJ0YNWg +IZ0EV6awbXl3tnp74ZyaYuU8wrFLSJEFfwaGmYmXdY7HnX62XDO3cs9emgQEYlL7G0qCcFbz/JMt +ONV1Uatf4e5XXAsavAgrP0+7sxdfAnYeVrHqgH67FQtlYnCWzynBjEsxlALIoXZalrksYYWFlfNy +vyULOkqYdeKblaj5WO1dzMjooFxIDLJTNJ2NqOmD2kwQbfThpOWljovz/5ds9mIJZQ1yC494k/Sz +Cucv3YYLxSAVKcbb6sIRmI9CzJRUrF2sTcy2keN4H4piG7Z6HP4FN+ErEZ62NdjyUKpF2nvKeMJa +xfV5MqVSJ5AIFGBhpmPCFt8WU2hPFOD9aMxuZXUbgPfT/KnKqwqzHzlPVwdqO/wl8w3OJeEUC5Zo +BPBpnpVANlCfctx3bjvDMFp1+wgsjQhuoCLtgZ+LnHJmv9c7sRXM7s2a9cWuUIGaahlBcnrnNOPE +vUA0qKOOZ7XcCiUONV4ZJm+mOgvvN9mbCSkqmbmStkklQUdEhwG0aD00YEEWyAUVlfrkqO5lbbC/ +DR8YXXAbsxHIaKsEtHwerXhuhE99c+J8oN+SA1HVhmRdOda5Pw5dTj9aJH9iEA9pbWyLfDNu6zqr +bKVzfrshKgRiM5Ic7upTEh7JMZdFsexOMrOgtX+DQ60kgJLIUVlG7djLsHfXz0kmm7qjfVpWHpF4 +mKq2wGRhmfakT4/pRxEv4r+GUIA6aJpKK7X2kB8gtCcb3jlspgSnfc8H7YPhZjacVmyH3NoZgrQF ++b13VfaEd7oqkqOlFpp+fSTISvVOBgCUdP57CtgIAo9rbu/pzkZgvaLCwpG0JvGHyTK8QzMdelIp +rDgKhqGyap8ZCE1lvX5hAVm8QzxeM0ZMJqev5xV1uw2gVPDmUicYqgT15Itdj3rFfwXWZTSmR2xX +NFIy+MszEGNu9Ob1p6a0y4oWjRGyyKXmjiN7ZhYWpxZGCW507JcJnhkeDwNJOJ6ZczU7ZVQnnKn2 +Aedul+INIFP6cFni5B0+tsWGXEEuUNod3gSrFK9g+NP39gImsZOxboiyfHLgHm+rDbjOlubm+NUw +Tg+UA/hs+yleUcqtWzcbj6qryna5tiWjPAnthW5a2YwWvNhRzZzn4zO/6u0mHg3/pH6S5lPdVV8Q +7//LAFl0Ca3m1ZpaueeelsW1xFaoZK4KdVVN0/g6L2zDHiGuEkLNBvvN2S7+v/0a2wxT4pi/nQHJ +PUVYXnjLYV7KiIweGq7jOtXnezEeWGgwhG+R7JKd8NJSPkvHCYds1rld51qNd2kWlGnuEPoXf3t9 +K75GcA+aNFilOxtsCq9wMtP1SF72QFOVLBafI07qXRBA0YuVcMjFcyyYk74hu8jnwI0Nj8/TSs4n +7r8BtJ1Cyi0/WN+mWu8QEb91l/eiWVFVDE1QChSSjl63PSuLfZ8QsWw/pBBVtewtgReTPno92ZO3 +nHrOJTzFZadF6J9RGtoCoZIbdnAUQIfZiKctROIhpw14m2cNlZNvs5Oww06oyZsab2TyaajjGu5p +RC5M5/TfqOUigBdylH542eLgu//wKGi36K2grv/7+ycUg9YLqcgHnCDbCdO77HE8XlDuOadNpK2l +AzsbHkxb0N+n4WCVrt+srycptvEC4yl1XVGuwPogzO7EO9R6V5b6e6Ekw4o2VG9A3aaAOmFgwPtm +qk54LrdHcZyM2h2yQ8Z43jHQVctf6SJAc3S7bKFXbgmoqgEKAPMYcBCm37G5zautkak/Pf9Lifn9 +jXjEPewY9cDtO9kv0G98HPBOhXHMOG/yIOMBFXLlpg7mZNGPjaBPNR+W5fbLt00MzEjGO36a1QkC +cCWe84trGcRUkkOxfWwtHJU8WS6TlIsDQftDHubAjBrpDii1c9cITRfhJdKV1z7/tBo1te274Vzr +jCnlHrGjrlS6QMQ8ZWfKax6is0e6RtG1HZxVDlIZ+kJ2g34LNmCCvp10dC0IazqMC1nMiX6XGSPp +42HnOer7RLTc43nw0V9igsuieab3fiPR7D9OGgNcIlRFec+NfwPEcAhzqoKrzcmJWPOGK9H5ELtq +e5KbVrRUBBYGsjQv1LEE0s4/Uh1Y6Osr9lJfYTN+UZUDrgHLpg5OiHjg0yDI1VY3GF+GJXCbe316 +TgFWbYxVGs17h65FBKoX/kXqJMHqzJykWZx25YYtme0B5xGd/osnD05gqhnF51HiSVlt5IuFqlHS +FmjOHENYasFWeGJ2j2CjiuMOf/Z3jGanD0hDmFmv5pUDj6g+elJ8PQ8TBhJJYPPyY2+YAN7Zmhtv ++wFp5mr1jSpShpzGGAVom+ZNOL1b4MPS5xQix0fIikaB1Qn4E3RCiA8GMeuXNoSZM4RcWqgA9CF7 +vEA8bj0pkR7IGgO4+WvlrHyKM1PAm75L354Q3Vx5ngFjYFJRkil6ccoUUDfoJIQgYqbATtoP7Oqt +s68HxalTuRxktdZQ5RnhpDtKLyvHu5qJztUWSj3aNGkewMHr6JpryLuPI1D0xEvZegys5TxVhMh9 +vg13geIbd+9wxhKsNwz/1FCos9VVEY61QXQYKWw9wWd3krSz5dtQ9Vm7N5emgrqlHr8qS2E29nem +G74qp5eBAgzlN9QeY8/LFaMcLeTUgylzS+tYblyy8yRF44HmQDTbFBjYOo7cXaiWctxYB23yUHA/ +zMJlCFv4qjYfW4koHK7Z7xFVtR6HIBmB9zO8ODtRSj5SZD0UlntwiWnKuz/elQDrgU4owCvVYJqT +co5FEJ7K0hB5LXHzSQIDxVJOW8B3B9bK7V75BKRAPF4MpZHKMRX+8H74Tv2gYXuWKWvr1J4ZEvrG +GDLA5N5ZX+rx4U2fBiWtF9a/COkcp/hqKIF9KynDhPWx7KCLsy0myQFjAifb6U/B/GdR1css17qx +pOi4s6E6sMe1FSRnkClawZZGBkOhdvPPhidaBxKV6Ufdy6ykNZIV4HsyioRQLzcRLtUBiFcbXP6/ +jxaGCAv39km/GE2O081xHgBmwiuzOI3R/cGSbAm6sjXdlU5bmNlidlI04mV/BI8C5f+0c7J6JAY1 +1d69jt8152pNz9kXFdithZc7YD8EKp+0gZNX5jk6/WwomPzsjmB3BWexB+g6EKQg9OkJJ6Jq7Fvz +Uta18ZMMKraFez0SeVFcL0hzt4zMM6ztzHuhlYG0uYaXY7pl2uc7rKvqmV19zHzlOODEK/RAZ2Ab +68DwhllozOBG1WDoYcjZmOEyO0KJPgXUUadpTC+ws/dWDMsnEnYVioDvljuhiLunEJc4YjOAcIdh +8kzZ56qzTjiH7/APUwmAr0h2wnv6igLlPdUCUW2QdMDaxPqhJKhRC9ok5SGbkQPm0ktlNR7j/ESk +iOSkGhNGeGOC0uOuKK+FROvNi3w6o+ux306tog6bxJRL5vJbE9tTUY48PvNt5JGHJNtOj6iC++oF +ztPCDji9vsNECahQaFuQbT2IdAVNOtw0GVPsVlZJ8E3n6E0I2UEMNXGNZ+bDr3vyH8iLqF0y6k1s ++tJHE3MpW+uJ2IB/jVPlN0Iav6vtraNpA7MLlMo+r/ZFg/RNnM9Xq1aw28VewTaXakCgJsLoa+GE +XZ3tB3c6GnK8Erl8i78DAFj8abt35HjHfhjxMbRDIB10jHmhRDyLonLbF07ezcswih2FF8YcpEcg +JF1fgF7luHXID1Q04yTKdk88Vhh4R60UCTiCWL7Y9BvsdGM59RDaDUimBH5qESC1JL8LojHFWXbp +6ZPGzIngPpDsLO4b6ttuNBnXi0oSlIKFs+pJ833dhUBwgz6/QnYDbU5HYZwN69eazCUxX+C/MhIe +TJXKHRbZhitqnVNKsWCi7bLibjhZdqaR7dCp64FuDUw7ipJAS6x5gQtWRqtapBYd3OFWi2I9h5Cc +rGECZJ61KmNFBrcWkDNTCW2gWtlHadYIZJ2euzApS8bKxQOxrww5SSCToTum0tJpFOHmHdVoe/yK +Fub/wCslO2RigCHg8zxi8bDK9zlFGvMVsIHTtuxVkxUE/ULAQHZXtfAACeWhvzvszdeUBX+jq/+n +ixXN5lcC8s4vQlmjf3Ql0EXxycfB1g3RZZVpABsCBFrgNxbqFDoqlMhT0iyirEUhSp0Ar2omybZW +e5pRDi5c/QSs0JUHwjWST19DhcEEKAYeb29fNuN8cxuGxfNY26oLrPFyaIoMYqkV4lK8VFZC6rxf +fDeIPMs7a6saL5XDwz1Gar8bQIZ51QNcZB0uHYG40zjjdunke44VTNOxk8jIa3n9aSfeJJ4z3Xlj +whFqWD0gmHsZwYoxFBQP6QiiLh4lQAqK52w9s5BnZKm4m2tQbkoIJ+7B/8IRZdTxvZOCUQV11Qi6 +eq71u73ELCGE887t/yKGdZYaIIMJpkyE3DXQIdKE3+8DVKDVA8PEHPcNSxoClXjiUpYfra4mxtO5 +BZgcy7lA/8eIjgclh3WVCwr/Np+sQf7VRz57NIftwoz6AuZSOzb3PJu8enVrkYwvhxQVFHnWCHdo +fcGNfHKWN1hG3/wb+9kUXCTY7tRs7qIr8v0O1uuoJqrZB2P1d1rXyznEZU5dIZCBQA3qbl4YJOrO +k0Ju/qu1TjkVxwBgk2x9PEyjGiEeXvf/Q1EuNcVZBoy4t+3567CpGJm0mEL7+bpDRBv0gfnAiDIt +1zFNgHSIovutndSkgzzMPhJu/dYnBjPJ3C2zGE8QFqgTnJIfUzF+TYJLF6d/3gjfjW8yYYuUVr+f +rKGbY417LFOHdyk5V7QK6K6O0i9M7pZgFg1xTLS/wtB6n29ZttU/KNXuXy7RWmmzzhnv1o8DgZBg +eN78lIZpi3jNEq7+WUrLJ5EuztOCDqxfDyHGy5q92PKraKbCzyq7TnZcmscxsEEyHmrKOdocdeJ8 +vlAIDZcHz+zXLhDNZqLHtfzD08XwppT9iwY6xZ3vj0HY6RzkLCOCfzC6EDcrDLJVJ2ThXiRlBJuq +mZu3wbhBwKCYmWOifmfrMXSbXPIW6IvehppFySHr0jBtuy67B9tO4PpnMJDpbgcHH7M9g971AuhI +EVygjFBbMF/HRyP4tH5gGMcbNz/xEQ5nqxXpy2FGMvc3Oip34o8/DY79iT8kh9xjU83b5slY5WbJ +7XVsl2CL3eMbfaiDSZH1aLUb0md3FKW29SOfqwPnqPPBBCANyEXJy9cA0YsQloEc3n+GT9yo+tAe +I7Hokp6x6Ve+5pjqFEeAkqlMSmp4hCynufIFDajbmQOV4hpmAEVISAHz6ImP4KUnU6SdUd8KkTV0 +99VQqO0ZI+jYBhGiDc3CI5rGBSAkKMcK6DzqgsHnhPr38Ixy2WTcI88ADWGbZsiqp6/um5Oj7hcL +CmRub4KEG20FViUYBMURiiN+HntpMlgLCXCibeVakpEZZBYoNWgJaZcp60wlXeBjp1Pv5cyx0eCr +GNncphkZhTzSz2TMkdZ8xU0kEcgthWqHz4jWsc5KugHHd/6Q8Jzi5g4YSIhT6IWZHtbs6jniy5fe +4SYg/lem8zFNgooSv4kOcwdm6TRHBn+ANjsU1ScToPz3NS2i8TBMBMlI233WDYb83FXUgw1aFaPd +4hwe8qAFvEK/rds0H15/74iGif5F80T9aYtPx0AaIBlYpDshf49pvWFW3162gpuBI7NQK7ZovLY/ +tEkCAbr5e+YJqhblN1Ej9vr5FMeLL+rmq/FxRBfBfjXsAmmecedapbsfmaJIy3tPVA6BhZdAU8Fd +bGKd3TOQOLXg4+VNXfqiKLFivWWj9i1MK2su6pCw6ffgqZxseMKUgqOHfJcdbDFrEw9qUnmUrdAl +DdkEnr+CS6cpsubUlGVd1v1E46+kNk6XuUmBV93bMmLtZpR8PhZAwO4sHsZ447QmWU7dZDchQeZ5 +ssUJ18VggbCgDQXd4P2jXjIQKYg30SmW3ubfzv/22Xt+lEryJ+j4vhR0TLlCPGz/srT+oByhuI3W +d/guhI3FYXiO2mxyCatqsZzQyjUhbf2AIcmD0xuk2p9iIQXBoRyMAkL2mXZ7otXWPmy33nvimyoF +DHiHacjC5MPQGwIAxmDX+ODBMpBUlhDypNsx3e4i3c/NLw1BtL81qK1n7OjIr+wcTnxwfDD7tjM3 +/9iJzZsl/JYyjsIoEmlbSUJ8fy4Nr70DLFZLAExR1tpRo83+nU5cXBeSci2E6vG8GMonRnH3OLO4 +RtT3woDP4JbZNsEP+sNbLea/UavbkH36YRduJiRYz5Tjq0eZwpvPabru+FD7Zg32ST5eYHLP+or1 +u/YtNmFD2UCRel1F6dRze1rblEqc3SQmPQI/eUNaksx1MVfXQNeMmgCkTOlAxXNZ+SlWZVtnhLub +lzd8ZGQf2zVheAJJxmdOCSSCOH9p0dEp4AlSh1z8lCFVfpGChSJTlBqDAQT8KI/uO8C7nwp2++72 +iGD/bkLpdth/98JGnSHK+vxEuNH/3ErAv9BoRLWIrOX3rbacZTCMjy2Ji1xw2lQKNamtnGcnK/Q7 +cey/wGTScOFkPK19waMgr6mO915gj9YbiZ6MMzdDqEztZ9qN145QsRznUDDba2tUZSA9YTLIiJev +3itg7s5x8jQnZ+3gfGUo3PrGm8SPESH/IIFaKPN8flcAOfFImt2cnZ5iSEirSFn9NhCCDsakSHYT +4vPqN7v2lfogwxsLzfZ0oHNhYfoHEgiJrebDVNKbtfLQHXeEpQSROMxo5Uajgy5nhCLNsxPOqlGC +qorRSJZBUq5ScXVNxXMQrR9+EFF0W78ge3jc5KI40XfNe8cTd43oTvHq0aoRxScMDK7lG3ZHEKxb +i5GYDMEHtWlrTtskdoeMUOQjdbwFrcdXKezGIid3kSH3PNSQxBFGL3ipNtZ/XdHDs1QrgxtNaDvp +fxnJpB/krYQAc9C8ykTx9XvDMFN1u8jiHMpe5Pk/z94rKrp/2KMZtcwKQbeKvgAgt0mPrC3lp7az +2s1nQDpMrDyQ1rOxrdx3OIzzsbjMosnlftEPjwMTNH+ZeD8QLHlGr6wGtbG6TMUGL15DHAzoBkLi +2e73mZeZ9v1RikVo/VzEXk39n0fl0B7JkP9FESM4TFefphcKVpeDgyoUJh/SWtxyXBhDY8TNzq5u +sRPFUeChpM/fPxJ6Pfp/te0vhczt+PPpU+BV8DSg2Itummn4CsJgLtgh5wj2oXEZe5Wpymc3JeRe +tYDKNvur9o631OkWCRvkfCmWnca/ZGZzVzqNZBXPypmr8LIesCrmOHy0z9UZbUXkivdXpE4mMUsC +2EsIz++NaaANjHUV/EyA0qvzJveTKh5JXkHxXwFLqFcs/4Fww+Cpl9lFALHA/Lwv2pGnKPXmv0t+ +TupoRlwVBN0e2NLkebziA+EXbAkvLEy7Vi3UfcrMLZLgx6waApUqBhkmUbEwVthv4/qI7rsUnog8 +EiXMoq6LZP3/VlG1H5se+nlA+DhxyRi8q1iUWO3Smh8xawaeDf+fOxVi8sH59dpqaAk6EKMKtz8H +mkYUzBksuo2jm8SWPjsnnMh+DIVVmBMK4/ZIHmfXgM/aLWxHSM/rPhGx5YsQHVGWBkGnIQ0Q0i72 +oH9a7Rf/jzVzVxx/IKrqEzTBu/trzTMbUZR7CvcJMAFQUaLrVeyyOi2ChpU5rsDdURypHsahSXbV +5N5SBE6dNZ0oJk6408C/WYGWFE2jKQcXxf0QYv8QBkfBplRwI19559B+RpLhQx03brlWR3MY0HY4 +dUggPpI2x23/Q7rG3B0hNuEjQPY8Lrg1H9MiVvGhcEOJZ7NzKlHCXf2b65IpQALPOZyKA6D6Cqh+ +xr0uAxHiV8prdAjDqTDXqk82ZjFFZy5xA0Iyz9BW/1v4rR1KcKI8iUQCui43OT+AtmmAU+TyVh2X +tyEZCDe+/q3jtRJOpokrPb7EuoZiU0jm7RBbpJnky5wzAM1vA36AqFn/n/iXqjMuDrjLZ9SpBN14 +G6wAJvTy/SywPgz2+VTf6XajP/FtRcaf805PWsCqZhVF8sgyyZwMCO2qSU2nerKFXgP25jqkFmRO +Ey+kg79qaE4v86YJ8/lM+yHsHNbQliZ6/qVJf0b4WMqhZMLevcji4A7SVrO+QHQe9UeLah5c/iHo +etXjwZRT//9V1Cp0ZwUM4kER97fObrFXuaOIpQDJAdmwR0fRxGXwHJpQPrMSoTLyE9nli+eiW4FZ +KcB17cpCmdDC7vDl7QI2J3qUFnQFG+ZJejcOVco9TdBqzZkvbbysKP1E/E7sJzBZbUK1Dh4YocDL +AmyzQnHcFDjy4t2YeHngEWdc0Knc7rFoQvMt4SBLtFRPg/rmlEP8d0/QsU2usrujHyhyqdpvJCt8 +zw/VKt88ZFomQFLtEo8pmHBuf7SGDSiOiH2bm4ZoWnmNO/ZCtOMZ3C9qUvvmIko1rHehVjHtPZPh +S9IS6WHGvcCbHHklmP1zdnLLKWXlBxvLGs5aZGq/NxW1GkxzIWyHYB8IvcA23GOt6rgYH+XhCPfV +W8XDHu2nfOGMBOo6uhzaZgKQIfiqqZgMCa1wDvkatm9lNonEpiXp+vMcOgChnCjK36VMGkGH41mc +cNYdHp402n6Ykd6ynsFo1SW67o8SwHCxwZ/urXqND7rNppLu/w9lXkuQW4pSjNfT/r+t8HE5fJb9 +P8R24INz9wf6zoVT1+cbeaiPQbdPnw+f0uK3BlSbgiiWp+hoC4EBtNIWKyDqJrewrWBNs5msIFP3 +gYSNoluoqypPPT1PnxuKI2pce8hbiDc+/+Ibjmo8AgEUCVtWBVukQnZyC9wvLPEyoOYs7GaBFcs0 +poxgOxdj/fxck6gxmvnCgSOrW0WA+F9Hmsn0Z+HU/XVXBPhVpRfeeXke41vzy73yhVcGsMhmDN90 +OSXVKOOOTw9kv3UwF4tNhRe1l/ypU6k3LB1s3tr3eLaL58NSoDIYAK7vPfuNaLiKc1/4HpLEnCm3 +WnYLjTKwpjmqt1oFntBzeTBb8qTaO2AeJhoRZpo1fLObZS+Cj8B9hW/Qx4MZz2/tcDnFqgi+MmNQ +Egkmx1j5w9uktVxrVSFChJ2PN2zJy8KfP6jIK8+dLDe5SslfeFxa/ricM2LHIimtftxdQ97vTNb1 +UGJacys7sQ55LB40VTduW4v3tNvBJrKwDiS+dlAaElUciYjc8MSmtqnQqDl36d4Q3CeRHMi03UoN +a31fOZvjG6/rTTJmJj2E6oS/BlN1UJCOgodxS/kCIqRckOpve7picUS3VQKwQ5eqeqrg68bou8kA +g6jJi8OhG+jcrVzDD/aIXantgxrRs6HegMBk2w5hLeCBrb7i7VauU61e3BdSyqWKTGz4NA47KNtq +CGMfFxzA4c1Uamej8XPoB9dTfZJaO+PwPu6QY1Jjn8GchCOZECk8xcFZIcrDiR0xAFYVEK0yrZDI +fajvgGSgWZWuCSS5/BiRlXVMm8/XlYgc7e8SWK12EFTZP3jcBAcBGdIuPQnihXMLP2hhKGfMUnCr +lzscO5gMx2fLGq6T4ARiO0yOT1P4Nd6qK+sZ1peAx9OcqC2gN441arTUJby364hvoYTPEexoX59E +/cyXmU/CjVc4vB/8kJvkgZAu5LiGRqvS/8LdvJTLyCiXQd6blQiUBFI98hP4gUN6BTcVM1cTBfY8 +eOV/eCTvI/Dccmqz4+oVC7plODqcWaKU2HIR4Yt3gCuBJ5qyR1Sjh5HvZ9qtIKbFil1c5Cs6d5L1 +NfSXdRmzynj2Q9CZ3PhDjGn660AdkTeD6dh3avQulFxRH/sKvCogbEPa8dzk6+v/n9XSe0Y2iGMT +8EQpunosur3p+powhmM7WImd4hRCAAXhUOvQo74MSjY2CwWB6aVBpJyml4DAY7JG0w/GTr8l9D3C +pvw8094inogit6t0UmTpUawfOBDUqVQ5hYvOiJVGgsvK4zbJ+ENvPY1AF1oaDsa5URpM7poE+nsH +lEC8PU3pRw9g5y0XeWNauwtbedpTq5ckQyXhNpmBwQqaFjZvlDi+JWromlQqizyqfZZNU95tFnDa +jcCTA9F8ZKXlrPQ7Tw/hqZZ+Qim+1vJK60EINc+Aw6S9Hyj+h1JEl3oxp9Wfpm0Kh4eLnADD8RTw +b8qZklh52AZ9Uhr5rH48H6NJgbJISFSZArG9bzgqBoiAR24kYY6DkfP3Mz4P7WIalja4B1Or9RgG +JruCUyZ3DiR92j8IL2JP9g81lX2G1pkq964xp1DFTu8k767faHiZe91QAdvUKZo00cdV99YJ0HhD +GnF8GxkLZOoWEApugt0uslixSeMPegwmQDjid/7ar21etgE6eEvf5ATfhi0deDInVptuDNxdNIRT +YtPJxv1908DCfYF1DCT+Xva34W7IKt4KOnOcB4zqX+bRCvW48xyPGEXN+3qrD+1ufU/j7X0YzKZV +BM1bKAaxJ9xt4s/V8uxcF2sGEE964xw27NAU1i1PwnmkuygG5b1doHWkFbRS1Cb3YCo4AfSzNqhv +pyFrvVrPBN7JiFowBSWJ5weVwFbM/SEzHotexzcb133zS6rtslJqxAwWqYrc89VWi0kLSr+U8guf +G262+Lp1aBulk1SxI520eS9dWO232M9IT58i2GE7EzZED4FDEoU/v6T9A2b1tmzz30gvC0WdEDkC +0yGLKCg6zvS/vClfZGosBh6Ef+k5j0CUBqDAdJ7VLDEbf8617HhbJq9T4IE+2kmDTgy4AA01nRZE +5V1P6XHPVguWKE2cr8IXnEYb3FifV0EyMh/rxnwkQ3QgLTN89/DTCUjmXU5pDqEix13Ma2dMcXOc +oJu+uXIoWvLA1fwsojU14zAixOCKASKBtTodtsGJ1oFn9NjB32W3RUnFp9/GBC3J/T9UezChR/jG +oEdHP7oiY8byes5g7TvyosyyOmekV/lGAqhlzVBSZc+an2jYJRE/JvMV3FqGKur3wMmBxzeoX9AE +dKK76gndp15p+teFOE5mH/eqSXAN+EiqcngrdMUwOVilFFOuq2yUddQJrlHwLjgcEo1Lt5sI5wTj +xJYmwyH/cflINRQjhcYE/5aXFFCHfSkQIR28WIRdYNa+0Y3psFAh3P0qbD8d8fbUErxEPOKOhtE7 +2e/xqv2+LItP3DGCH6npjnoye4dgfpryHPZbnGqwvjJsS3N12pYEOFYujouYX56QUC93ZJMVOuqS +KwxecxTKFar8EEFhclkA8n+bf4HBurwh1f0M4fNJ7ZI9CzDpsbuedHQK5h7y3FL4bC+V+UrMGrAu +JV1/7E6wrA6O7/Q0T3GaphEkg+4lseGL5Liyv8zL3QME3ULOH+vUOSAfwBBFFw0SafcsK3bawWY5 +ildZDFJoPJhaloYKXT/Jm9j2Z1aLV6QAr8lmsEV/HOy4hbXyszlzcNqwIf9WPxS7UR5EVzpZ23rL +SgeBdznZve/LJ+DesA3/MDap2P0GHSVrev6Keq+3wkoxloobt5qH2XH6J39A67Zw9usQlXtMmnXL +OIevfqxeR2pJEdkN1UL5n7bkMjR0Xq3fitSi47KpI687cj5QELyW/nN5ZwBZeWGI1Tg8vVphQLg0 +R3uIwl0AALU3bwyuuRvN82KQp0C40ZiWCkmodUOBzyQtZwLhy0LvMVCgloeuuWXCo3SYU789VjMg +qtrdxwhflj4xZRkyGAR7iTKkKEU2NGjF/unW2c9XNAcayLScvoNG74LoFkhUIIJsuV8DVteet5M1 +sTUXBGzAP7i+io056UQ3D+6r11JhjfVjJ+gU9kn6NYnUoaCLz7bOrD2pkdEcSl89Q+JbGGZ36Wv/ +rn+GOkWAv4d6iMT+Y5HAIiKsVwwyr+xNwxOBPAuXcdQxNRDAEwkwRFXZDrfs0aADtjBPm2DjvV3m +Dlar1OWmj8rZrVsBCzDOW+GuvRnGxMY45HJnFlWlA+KWqD7cCXJjxQggEpeN2tRAui1375Nae11H +v5AvFwNRTQEnU1WI76wCZgTL5W/5maTwNpMtGm8kOSLry2v1twrX4Un/jIdmN0I7FlsUUfOeLmGN +rUOE3CDvOzxyL07n4SLl8Fn1d6mvTfIvZ7fNcr+ZS5G44YkcChOPRy9xyI1y0+ySKDxVboJLu2h8 +lUUsHLxgZ9NZ/VZyMJMwgXZHZdXf9GseOIoUWpoQP1nFM0UGicRpZx81q1MVBuuqpTVulMbhGvih +K71qJZgxuFgoO1aTBKCd3YT+Y2sFsI45NlZuy/QLe2/F6Vx9vPUwQ6fpTLyGf90kk0ibO9orx+vM +YE6Y0sCmUkCmpQ5CWhcXbKJ9MqafBvm80K0STJflztAgvdRvkEnRFvxHJ801CPhybmFSX1VoNp5Q +2hCLburQ2a6ay0cRpKr4qtFmo0W+mVn1ylC+tdIfSXYCY22+OiO0bddKnVwZacULp0jY1uVsb7LT +xyS8cvRzUHU6qSJiM5Ea2oQ2uKoqCRHENMAGQ0BFbg2DBb1YQ9i4wjphz5MwQIx2sDcZyirpEr6v +Bs7K+pOrCdPsfdJIji05dFS6oKH7KH0mvGw0Elg6NmdSmrsvCIlwE/jtWsIuPDEuquzUwgIybxY2 +fHKVkR2wRPA+ykQuXAhOxseYst1oSpvG8PCui8A0edzlb+/X/dC/AERNqfOjjfeL3oaYZATBznm4 +LU2G8g5+Tzf6TXEhZLlEAkPCwmL3IZ07t/j5aeqa14dLvDqwCo0Vzp/p6ydNp/omLaa0i88do10E +0eMBur38O6foFTo89oaKtpnK+uF4c8Yy+huv0wevaRPGJDHIfUVX3LuxCNtF1Eqpl/Ju+tNVckCG +MXNSOHH3aXoFG1Yy7vhcjEC19wcWTmJqY9nZuCrrqo+pfyEBPUFckfmdFzYZKiNaFktzQ2GSkuIV +tN1h4KN6/sNN9p5O7LaCzfmrcnxQl0vc3GbMZC040EIRnxXhOe84uU6sQxhjgJHHrd9IuEO4lyzk +eo5EUl9OzJ4JxiBbGhxBiX2x/6wjuouLbtqAHBIFV1Hljf8d9lO7AplhLoSkMc6Rqzq5M+IOV+aF +FXJuke0Qjn7juXLGLIwfLLUMuyOuarRxiFN/cySqQXY7N6/Q05Mrl/DwrNP68cWWh0ZgpDirziMt +w3AWZMs0aTNQHjNprDZU0xS0Wvo97BxhXBppH+8j2QkPyt2uihUie/Q1SI2eOTNrz/R6oamsB3gy +Tc/kU9iGiabJlfZEzXEm2fNmPFGU6jYmv7swu5LIadv5PCWCyhuHzPBTiQExZhzXu8IFP3QkiYgq +jnHuRxAzLR2aQTNzsfP0wa7z6sq7S/0YucqjngUsJdoUNhc9Sp5pdD4fNXvZdwN0qB3XGd3AZxY+ +yhxG+DQEgH5GCqvT1l82DIRaiKAcPB2zNq/nRpHn0N9jI5QwjhYUBu4m01aW9fRAt4+k+8fQlsVU +FMEkN8rF+NIT1GKS0BXS6WAWvvsnn5Sac2oxU9grxMOnsuCCwAi0bJQhGJt3tezAbILmQYTRA6hs +KOpZ28TBoiaMbmSbbsM2WtY4wG97oXDM6NPUp6QZuWYIvNeZGaJi4lAhUlfjiutbOdIlVbenTAfQ +Exe5Wp1CBkA9kvRXUvzUy7nVN4cLeGZ59XnoRLQe0AFoTgfjIF9Pijwl8PnEeObFDfEUw50Eye4K +Cbo2tzFrCtVAF1sYrce+TtcRqDHHDmTNilPZHZs9hsc1Fgz08ESl4721PXImnv8aChuj5e0UMxrd +pjcvEWWa8K95mcQogUrB/TEtk6W6HKk2R16oHALHVBMFNYEht7O3bdVEeNFFI5BNFp3vBiyxMvUR +HihEKr5QCIMErCJMozLNbUzVe6oDbY6utySgWKSW8euv5kYIAg6O7eJIt19ip/ff3/QRb4cfLhaS +5wkzeiKQ3LZUIqIY4yQFFxmNIoUMiWNm9qSqYG6W0x0vSwnm/AIlrftcotX/etDzsvJ5wt8UBeNA +HwN8yBb4+fUqw3ZMAwjKaMLOWOG/JgzdaJw1fnNhWYoiNs/mCmjpXgmByPjpT/OcO2djqP2J1tue +IjdY0PC1kpnkP0ZtLP/pu73gZA5QMhf3gcFPokKsLrtKpevIYXssr6AV5RSb4IUuTPHhwlLdNAg1 +x1TduT+GLQaTDzCovpwsh90aNlmjzFBZq4omDkgbld8Uj6kXISQ4vHLgWHbMYdnYanGky0+XfMYp +p1mcuKS5K5Kev5HxK7LoiYRjx/gDtAliDRMKNGfyl7iu9bnZ440vOwCCJHv7i506ct0MYd2bUlMs +P6evuAQITVycovvJQfZkn08zj0jD1wqH5NynI4EBUZ32WL+HW0+vHFGUq3cf+DYk+Ziax7qhCV76 +w6hgOD8WDbwnVUhHkVN3dBvr6uZxbRhlBX0aQxS2XgmyiGueSMVuFOZ7VI5HK81NoyCHGo9yWweG +cs7n28vJA+JqxkPsabZC8tZvAftISUXExx7rU40P3OswjF4J3QXSxiUu9HywLEhv6bIzh70GaCiA +ARBXsayVgba6BY4rItQD3t7tKzaiVQ3ALKFhl7jPEipsc+zc780CmFkz5lfJ/T8aGz9C+2g29N+B +1EVXu78MzjD1UlKwt9eYz0JxlEiPUHsnE+cGQ0btO41KOvj6qpcZVNAt79TbTuCY0WbRSWCxBpyQ +uZksw5ch+0fOc00BKtofuQJVoZ0D1I/Zh/9Va3j17Ed0ya11B30fjN46fJc5WIXKzc2M4tYNa2EL +hVVQVJrF605MxzjC7uUtdI/WGJ874YCnkQzoomCxBVtRDVOaf1LlKuYBjimntwRk0w2bALuPWuUK +JDBg/KWSSALfuCBVebxPWIwL+c4Wz4CPwf5p1ruPJM6DHzK2TeZ+Nlfh4e+bsPc96Ea5CWn/HTTs ++UDSbtLcmU6g4e2AhI336vDWwjmfXL02kRxk6m3vQVyNuX1av9VhTkKNFHWg5rq81UCMNAzwwLQp +0AQeKcNV/n7MBqzT9YCVRiNdjb9Bt52vi8p4eJHJ8KpfZld2P7WjxqIQSmuZtPEhZ9GLXe8WjWO3 +qzooZh0X/VbzJM75nhQMRmmCPJ5ILd5vyxRWI4gqJ+CmA6C7MYf+oHtbLbvicyOzEitLAHntP24x +N+ye3EvQ9a7y8RWuWv453xk7B+h26v7zK9uYbodTmwLDJnZ6nmScrXS4+IR2DTkzfo4nSi+jkvar +2++6mIiH18UMBaFlhudKRVQN5juGIWdVWEvOboUNE10A2h15oyhKvqCq95idEkf709l9wgBBbXA1 +/kvllOw6jrdNVk+lJ8T0j3CE3TkHyWrsnZfsZr++uqhTrYqsHC1n/MBLYvzGwFENndIMRThXvf9m +HeZteEivO8Drgj+8douEB+21SKWSvTcDvRIiA69Cafe39dNsXk6vBmk365KxJhDvSKnv38bMFv2e +9AFbcRc44Hs3xCXKpDYGa9Ykq6Z8lUOjS5oyUq1PnWPtTHhTiTu0LZIpAw6GoT2PpLEAx+hFAGX1 +kuMmE+bJ3O/3tVyfHXVKnn1tEvxItK4Wkl2ylZLaQW3bIMRaVsbvzik3pTjU5jxUkL3lxO9D0BBi +f6SciF7prvl3va37+YaaTEiFqtvUIKAJrvuXv8hk2jQEq/iduQCBIqH3jrUkhSnVKZef9gLSs4SJ +0BojDcqJq+m6fd+RBQ4caa7FL3CM7b8wsRrSZB7hWX3stazE1KZNEaDkhQSP8MpH+v38J7XIIZRr +XIfkeoOfpCN0UrJ6JY7hZ2OeEJ0V8NuxeYNZeG20lL6W5xPfSg3CnZOZXJhzuGCYDTSdA/QlT67p ++7rlvZ1QQ5qEV6YuWZUYVtvlWmqqBELHmQbyMWyCJge9SA27QErZkJrFC7Gdm3DVzeB5JAxUWJj5 +fhXZeDBmcPC4quLXFWSV2UnruRJOxy/7TBQxlde/wmGc8E2es02jb1DbrLaB7syMKdWd9vvp58wt +3TXscyp1YpV3WRXAxa2o+TO9Dr83XMLppcMi5f1+jQjURd3z20JUYvN+hYt/NRx2WjJ41vZcRxJI +0AcKh0t8OB6akyXt3B+JjDXCt0rYhckB01/BfgcPnvs9akMz4JJ8AAYSIW3lE6JGAnOsSQPGnj7c +twoZFwAE2x13+5VnpF6MEmD38MhhjPEOQ01D0PQfYo4YcZW8B54E0tupp7of8lXOArXqYE0yiESy +GKJ68AeRDc2PxnEqaysUCcrBOFqryZhPWF6kwu0P3ohgjn+kkYLejEXt+HZpcz0WNQGfa9kKwsFl +pX6i6oejBHzi2odRKYnVjFt35Lc6s5fJxjtDDx3+6DOvgGn0xQSqQqP/2l2dDo1UNMQg+uOuIG15 +7jutpUHRIDurZ85sRP5OP5I4rdOjw5UZawGflEiY+awb7OTk7ipJ/92RImrRsTSGK9rvdTUkGpke +p69s6v/Ruub+x5uX2+N6hGMuqod8QODWaDBcvuPeNR5WKD99xO/ahaH9fkAJAq+h5t7Idc1F90Uj +JTRfyTvcQef7mWqfkfLEQKR9Mk9XgP7CjF4PnPgabthnHgOw7+pWo1FSAld6DjNcx4vPjcWvwYGn +blLVBTfP1By6YjunlDF+Sssu6wJn28OUOHUpcdBNENo7ABe6QRngXZPUbO8ntupDQlVWbeqyiXau +4VD8n5r/H/itqz+jtR5Vfz9jOlKOqFEHfpdJc5OsNMGGA7RSwb5fIR2Vdr6COW9FUprQpThTTh+u ++TU6Jv12+l8MhWKRZfxt6mdtM4iXpzYcyXV+BXvtHI+qQ/yTaQrLwtKMsKkLYWCqZtQx36Z1m1u5 +2TMximoZiHT71AKB5TnFdmrVxGIbYNImWT4EBzfApiViWVGTp9ylvxRgPe5cF/RziVxrw0w1u5fE +obiU0+L92GFmoC6Pdy2kyLJnJ84rFJwtALDoWZmqdWWG2n2jxGUjV8wYNVb50+1WJ8S7Wvp08v31 +s8siJ7MvW7IlRyuOCqQYqYXXwRLw9G8pzLXgNTI/JvjraLTbi2GAGkpOUEWrB9XybTTGTulJRzCw +XPIXm4PVKvTGO4qkqXu96A+8rso9ke/adnzj1iJFp5QZ7fBP88ulHidsMl+plxVsS7nJdb1/eq6J ++w6LXnT27grtA8ehAgJOOlI1xT4g7ZN5NCmVh6SGru3FK7BcCAvd4kQrDKu1un1q5NyqTasacsZ5 +6R3ovTiulRE6SOBsAiTI7fbE0SbMQScVBXortt7IL0jCBN/9SRis5koMZCyH1LaendaOuRbWnjMo +cS9TIcZkR1UBYdIhYcWApxxQIjyA00uiptkhcrMcdYNE35LcWJfUrWuX0z0UalHTnVNTmG8mKxID +vtp/xtt5xt7vDR3Rw2B+RxhTJmWoGlEPjnQyFQhZm1g4629SffrFJRzwEDD4Tay74WrtY1gXOqQM +HuwT+OTTPqI1o3NGoRx/d1px6J3cdQxmvBCRPWzY6ThynjYYM2CysMJz4CKTyBzAugotr9MbdghU +53BfPQewyQDEZjhSXGFx/Lu6taHMJ5JEuf/wstW5jcUD7mpJVRaqGJm/4Q7SW06DyAsCFrjn/Fhs +LiZtWOt/unwT0EzfYoH/ZO45B3rVWRwbzxCgQ4RQwphx4twjlB6r1OfdvKF6KW93SY8gZ3mZDNGB +I++r2bCC+Gd6/oWMvtHAnSs08hofIddPwTr47BBuL45UwMRDmpvz0z2pzvme+PfXo80JjfRuQFDW +6M7gCIZDCunZLU+eIHz73frhSDnb5fHZgKbpYlQvoWHOUARqhdoR98w0PYr61lEisZazB6dR0l8v +mmZBrRESNU1LnzlFNT5hZYObcRfeJBwUiWZaUN5r+d8um1Kf7Lj+ozjMw+rSiBBFpUUyA0dlFAgA +bEFwJNlv3v4AmBbhNc5tivS9um2G1NxN3/Fnh6KAYvOKy76T7zvIcRZfb4avqRz/ssAY6ZmTWNzn +mBIp+guVO+F5tAlS8QS54aEFNitxnRf67KZ6Sk01P2VQSfRixMgTyAbOVnfaSPr/CtgbOcB0Xc5t +8feZPlAD5S+msVO3uIjoEj/ZRk8hewCvLWfIN7OKFzFHKvJLSKqDMH4QDCEVhHHv0pD2zlTqmmwy ++we+Q8Gpz8PQXVTB0zw34rAHqvKhRYhmo+A4ro9q00y2HmLeRh17K6i1KKjva8ZyyFa2WvmZNXs2 +Ad3/riB+sclnBFLedTF6uIn09BrMsMeWtfUCCju8JoqdehF7Rp+tD5j7pzRdlcVBxr4CzMpY4D1z +2K7UC1LWkr6DC7OhpwRwzUGZt8b9cXetwaSO0p+brsXDGwA2gJANyHqDACEu7y6nJqZN2EpntST7 +JoI/+oKPsDUFm8sxlgifsIgWYuMebD0ADJAqi48pTOEbdwy1R9iqiYlUSAUdgS0tdjtYwukB76Fd +u/BYRyzj0CbilClV6T1C4ZxDaQ1rrwE/Ly/oU6hGlAX657eoHSx/S5UPNAqcZsjDDMZNRUnaKo3w +hooBZe261ylUjO58+gcLHOv7TTnXvu3pWV9IB/C41wEnfhDw0HA++4NjjHmYRR8EWDRcAC3aHNd0 +TKVM1kV8c6YI8TsNQ5UMbL97mw+G+UGgsslDfyr11vSpbEv4yVxgPpAfV7k9nOdgDr9oW0GzHzWO +N2vRTd2L6I61TxojNfiA2b5UvQDCXCN2Hy5HZ+F9PNnae4RIi9PPDWgDdY4mkr1CdncYagqMbS+f +EgQSdgCATVzNjxFl40T39Nq0bOeM0w0UFVj5R9/nFRkGaoaKxty9Ea82GDSoKWQ9cnXCBpDYT+yw +eZHptX20U7ZtzWg4aXswDsK0ESSm6vkSX494Yo2kqyGDrUSlH2rZDk0VV6LsvhhIoxZ1+jIAsd6y +Zd3K4u07mldXtPxgWgTF5RCp6VEflf6S/Jt42QVKQ11/IPP3P9CFD2UalGN82WzCrWQsvpHlx33O +a+KxreW7/rIx5u5N9V76cUfo4gLxJt+hN8EsccnJ/XbvRVhQz3i/qGJIO1M0n/BohA0pKyLKip1+ +I5eEz7M7T8D3RP69IIT4so3C3Mz5iit/h2VJO1O4slEyxjlbPXlwJpgqx/3RmL0oNg54Q7/AvnMV +L+EeGKTlcOg9a2shkGqtgbchMOr36sv18DJK9CLuDT4ICp/KEXl8l8R3V3vMnN4GfIhH3e+xl5/z +T9z3xA27N5QXler+xO9hJ57qvFOLGm3G70+YIJ5i3qvakASleq/qnXb4NLPMZmqGnH0LZ1Jk4tza +U573g2A8w072cldHVN2+OKJ4NAM9NCtJtsy9bu39aGcclrMW8Ig57Znzky7Kpihv3SJT3/1T/wgX +DfAc/JTghlNfjiHlMzw60CRcMGsI/pvqDrC/wadtnnEch9LOZv5vddL3VCeDD5Cm66eshaoFbCdF +uF4ntBRbRQFXgq4ViDbXyJjsCf3n6tbmdjC4tNpBGx7KbtSdQMD4Bew/o0roy8PQho32Kb5tHJbi +6Yl/TkcYCchHXiyZgw7Qs+FizG7lm+n+KgadG1K/MgzRuB/zW/+p3Xc7E7+piUGNWv0k9/pln4L6 +77qeTlfcVkDuQImp3JEsiVU3qRVLcrHb3WFYC6RWFSuafw4IwXE8e7VGn1S5pL+a7TtTdO4Bw/Gt +T781v5BJX8kl1DMdF4Q04ZyIDlpP6EAyEjJ28YA+cQCz2cLUrdEIp2eSS7xkGGY5KL0uomJXwZbt +noU0OeJEuSsB2Dm/1sFNCXwMk1Gy0pNSpyj4ZnXkLz9GboeBG41pXd1/rpxSK3Wwll9E04NNiS34 +MInQjbDI0SMh7WrdiDBIQeupLANaYROCRkxON4K2Kn5lI6yoz+AsDD4Sed5fmIwwC3ZH2xr6RGQK +8S64Z+YIq2/tzaTHFdwVBTyU72dxqZkaKqI77LvOzqOiD9/BJwwhBDmlPMRwjZLFdvBvDvU8kWTG +pfPUPA0qvRrSywqX0gXVrulp6PpLPyeCvEvzvrLjt1XnAdftoNkEM3wwqCkJoxiEjXil/3eCdqRk +6rWWNdD/A75iFNWEz76WnnHLmerCfI+k9OF6zRqSOqgpOllR9ck7OFK7v1pgyJlvSR/KJD2klgbR +sf5y2rrIqcsq7+S9B2ArtDLoUmVHA6s8Py5uRBrHrsw06KGDAoiWcXmBYNf4Rn6aYQp/WVcSw907 +If8Si2oK3gSFh7oxdZrsBhkGTAEEE1YQ83nzRjkW78gvxvQDdl9t3b2ad4sTIJESrbMa+Pm+lEiF +Wk8dqDbZ5sSmoyExYKPB9vcgfp55oYnvCiC0KXGZrNzSGVd8otPLvv6jFgeRFcQIZ/9doyzc7yVF +OTOp+vuO4tD+anEk48Dj6jldONS4+6kY+dvNB1L0XfSBoZNHth6E14h6foj4DvGap8btBnvlIKhT +/040aKM8HT9Ad6I/ncKbjpkKv8Ws3iLNj3tlT5pFFrJk0aSb9c7ZKNPXmoz9Y0lyiST+ZzlrsOsN +iRfEFaqwFWZp9cKBsezxLO51nfFi35T8hdVpoufhSmou364FglOaKZ07SLi+w8PSItGTvf16zBX1 +JCQnkWlUM/h0KXtwN9s3KB8U2PkbSjSO1QY9kMAUEh4uLrVhpECkWSqKod0amr22aq1zpFgoGifb +Xsi3EFW7to5ZesMdNnss9drPQZZvZ8VmoYBQYqRZkNsb6mc+CpR3oC20A9VUS+L52JxgQIcPCZ30 +zwtQ+Vf66h1yfYMaXI1ek7NQX8KdDWAcHU8R7AsBy6XYhFotMh9PmkOfk/zoMKOtizUSilBqAaqO +Lqp4Kl+0cCaTU7GP+L7ABjpfQQUoJ5Z5I1RGhYRCRWa+tuSHtKvcZeLDXQ6OEtGD1I050N4UvINM +A/E4cc5a+eHe8m6QhltRCxPSx5f22Pol+YPvlz5z5y7gE2Nk27JxwbYbxXhTuganUp249RO6R066 +1NzTXXRGtav+tlpw9nJX39s3QrOpeWWWAHtijVveVeBoK1yB5+uaInrKMzyRrT561J/8oeQoQWIX +eiNNUXxLr/u38GTiaZw4NLNFXF9Lpr5RoZkeygY2yiuo4MW29unAjm30obUgSFQG5lQnDXt1hLBd +h2zzXbbqI1CYoqyUxZgsANS/W7sHkeG9nE7AlGPgNPWXaBsT/31slyj8zIq72smqqv+KB4SKGOR3 +FKmEkGE0M2x3PLq4Fjna2LqxHL8m+aUJwOeLF193cObh5PRTcsmTNnYOodjTml4MBFOQmYtAwrIR +1417oGgrV2yxPSKH9PpiVH40SarZGwQui3TgDZe0f/9v89gfHSQ983GiXFYgFwRA7j5sCcMjejrO +2RP57KLxYdQMZ+TLPR6/sDS1Wj15RVfpstlRGBuKqHHGRgjfNnoa7prnrzMpebGoPfVqBq1rsd5G +v27FAfMUtrd/SPiec3/2yQu9IsmvelgpKsxRdehZgFOd/sleiBtAGSaC0RB4KQhmlTiqYm4bLiBP +hfzDNq93gdKcgq8m91kODa0sXXgTK51OB3vhdPKccVyxFtof8sAxdGEYuoK76nfTNeIMDmcEj0B3 +KXN/tbuM30c25OiwFvol8RLMP7bWsIVJFyP5XCIbYZgi29ooKDuiwK09ke2+6M3hLn1sEfn/zBjT +id4ikBOmYep+GqLByLUkVge405dG4Af07pKTTfsXvQ0bVhu3TzMRDCqeC3PV7RIJC1ba5g4U/6uN +oOUwGPpZBhtvzg534N9juJgfxTY1XuBCatfrfxDmBuzvv/a09FUQcJeTyMd0FCE0TvAf4ije6SV4 +/SRLwH1y8nAqlyeTj3b3DwZtnxri7DTtsQAhBb0Tp0V1W0Yr2DJcNDknie/KYBBjvCZ8E+KsJ+ez +fRM6JNwbjrci6V8sp05zbni4Aw2qJ1KyT7ucp4JwriIGT/0RVhsdDFrtfC11D38ED28Bocu1VJWp +09PGq8DLnrvh4j1mRNoTmTP/mgFfQ6ThPDXyWngXdhxv9qRs81vaNmGMpLYs7XbvKlcOIuJQ2cZT +T/2yoj8KVjSp93M1wfwh8FfHxAUVGiJS8U+LAO979hsN+sViuh9QHqiSIQV9+ttR/9LfEbEEd2+3 +bTgoDvsKF2MQsbXkEYm6mkvc13giswZ5oCM4RG5I3gkc3E4htkG5113A51wI+CVoXF6Rx/Cal7QE +r+ubbj2hxnj175gz9yjdFyB+FI+0D+3AOGsQWibHVZhYlhRP2VK3C31eBH3gpnpRhN6aPLB3keug +RoqsxjspfvZID6dHXliqw5Hb8t4tVKOXnb1H2KqgAzppJbrU8EPdSdd81F3rBUlXvhfPQMB4vDNK +II7gqsINy2toGRAJtfLst6z2RzHpqvHByjL05Y73rEezaYDMFOfvA3J8IKGmHxhdIkeLyoMOIX5e +gZeqYqbHmaAQoRqxr5o42FYmTUaea1d5oFwLoRJAsEtkISGMxInct1JU0ayttK32YkCr75gjBNwF +CGBmfsjDAfYialZFubIaeYyFoY+uf8Gk+dD9mu/84lZZv8fpyy2rgm+Q5ZzN+wSAT/zhALoHGH/T +URlTHjsRotPw6uJioDTS80mpgO+2F4iRd51isMHvwPr4K8e7ylzc1brXvAmBOW/PaNGWe5NJIOPL +397ImBc4404rJ4cT5IDerObuNOzCguIfSXpVznmOlsHU7Wxv23slFGxgI5ghMb0tFe7SdUxKwsf/ +oqYwHOaphtoaTtibg0gJlF6xgoIcYdjymT6mtKF+TByINVIWQXWksuOVW54T1kU/Xpaq+sSaPHBY +j8CtLeORwVNy/dSgYmmXSost166M6ihLL9uf0wHCBL4vNngg/kTaXIUoI53CmAvhnAaXUuv+I0aA +W/iSiPwzAY41wh47d11gD4SnXOeyTKrR4a7Mz5Vzios9XOT5xgbqqXuxsP+WH0ebtbUAUxiWijJr +qVLeolRBeOINfMoUYxj6+n/WNFBL3nBQZWzN9ZSLm/rFZWHih4fyJZBCKATr/hv5fDPCK4xbCM9j +Z4fvRkyMd87vU7zSuTAybcZmxMHC9/IGaXQ4bVqaLm8u7XYZAkMctcSPNuN/NZY3kIvoqvnEmvz7 +8SGJ715c9kzZ3ungrZCs2/6Gbr5DxM6le72PhecQI9SZcrNEK4krb5oJ6Q4CkHF/7tr9oHJp06KG +DQja/wdvbM/PnDt/S09b0WIxL1ygOLZY4qGqNE2pobwkMFdYeaqCtQsdfotRgWeisqwjR3pjPKYk +BDvsOac3nkMzqoU8mLlwo9v8ntajqK3f2qsGn1LCqVaEaFoScauknzVNohEzsaSQWAtxn8YBpDnx +/vZrbEq8nx+Ulw3TQqAHhFPNj+7dFyHR8hLXVrc3GfT1cTW1K6aaFn7WInmdNb5Q2CUqrhzv4WfK +oCGOLPMs3kxMUPuQZPu5xFIs/7Ym5TSqgmRTH8GEIHWVBxiiHpXF5YfoCUW+XDH1Dy6Sqt6oyNWa +WtP4n3Qh+LAx3U9wtJ8HreQvkbTYt9fmnLsjwPWmvLD1dwg/AhNs665XNdWKEtcMHDBySfV5BEhx +sRo03VX9rILW2LXdQdKlTPLBzK67yiJYz9LghPV/L4r5dYjLemQ5RWLdEYEeol1APFZ5+SBk49Lc +QEDwZwLgwNOYQ5rpFB9IIBFQGtBqBfznawFcIu4w30VZjPM58MvHC50lh7/bOjIyrSTetXR6zE66 +5KTWUhByPVwmGvrJQSgeO/b4JBaG7RepIRa9b2+6HPotlZcW6RhB4JeoV0OuW/P7MV06kHOw/jvo +j/zs+JvATWTd27tjJBEwwr+CmvoxyV/uFOJrDWNEMcJ+cdujZCUCHucx772BoGdgCw/V1hZPzJpK +CWLgY+20lF7G91nHT1dIgbxHCIoEXEk7dqDF4xT+SFZX0rf1MnAbJ8A9C9kMKXVYUicINu6uAZh3 +jqvVdKj+qOSCJAiMKYSCFvgMTAxxzsSXu2rles1b5l4omvcfd7+Uk7c/VmKt0GiyBSaXUvrtIsXL +4ouzwrvyRi5NlV4XCCq+dj/XVyMrZzbHK4qXPizB2AYBgS6GlwJxA1Lkbutp9bLJAMlRQ6OXbcmN +EWEgm36xk5XOELu37XzoQ3BPFDXdQi0GgstMzdLckmUGTXOTlcOIpV2efqp4YES7MBYmeSa3Cvo6 +p6mGbbB0F+eYot5FF1aQT3uEkcZBiR3kGEzbcAZGQU2D70r4FqvQsynIcw3CJzf7thH1FPN7yx1b +QfzxqWKH0VGKaXO3u/2WlNAuc+pipwQAb8Lc+DuGcS1mCyDxtCNUB36bSYB/zbfkEH7xwnG4YURG +X8IUPy+A3qQlW8qGhXc4yi/A7losNYpqU3S97l5gzeWCG6T0B1FZm7E1Fb/GSocSEWM5XCQcxmMd +1rZxNZP8QwSOKX5nZdId/dY1NLTQ7A6Kqh4+jF0aiCB9w8HfHPLky1rMSHAeJ8b7xb0MSp+AjrPT +aaO0+jcERKl1L+O3Y0nFoMqa7lE5pPwwqSbpmRxGsS+Ev/pKiDp/7cPiE7crlrcqekuJADdG5kj8 +QFmyZHSnLyiMh9IwO+K2POE+4YZt0bmCTYXv41HRGc+/8nWkSSBnj+FpFkLnZoDYJo1vz9ORR+K0 +9sPBvKmxOd3uYEnQxaDyvR46OE7ZYiYHi4yWOGHlWfDL6q+t9ssnmniZ53a5qe+M3nD8dpxwMUtf +bVrVAaericojtbn5Dp6tOBBU/t4LG8VeQWDMk2Ifz+4zOC2SSSMBAOGwXmLdq9fUyDFar+99WBVJ +5lqBO4GmV/+7xjSzaif0ep0DsWtwiQabwntvz8EY2VdErxCu64Mi1vXr70ZQhCQYnnTLl+5yYBny +o/DerHsgjip55Ar2rszhFPgYrpFICL4QUfBfGtWJqRI3dJwtCE75Ism2ajzyREGpdifXTFgKG4L0 +4V/hbgbmSZenhRKTE2hsuW16wRriF+HCs9Y6myPJggSXjy7kBGj+JJOerWltjP4yParFKGgcJLii +ScdzNzuJPa8qSjcVVeAZiW4y796bo55EpBu/+sq3b4jMElGA0fZCsEw1skIXwhPx5dX/jP360oIU +d1Zy0HBSt8iH2jXmCg8XcNx8TvEQyt7UCkqub3Uc1rTBK6SOEUIrCnUT5GDhXUVN11aslZ1xV+QC +cUmN48fo84+Qeraa3L0/iqNEdVIDFtcLFzKqcpLZYHAEXxxNBjuwomb8+bYAFxc3WRgCVJsb9pI8 +E/Pm6nnbWEJ6ZgNFg36ZKL9tG/lS3XjD25GeiZnZe8puI90TiMEOZg4n3b6l1nC9hp+hKW6OlTFw +9YRmP3i7VgzPWa7oPLSGWVGfkSLfhjqgHScx1pBBjtJLyiLErXPvxW724Er5EX4dvDdIqZMROIP3 +NG8Orvm/FaJWG/XCpGUyHo393UItXfRMn7RAIDLvIhYljmggdKa07CUkx6xxyl+Se87O4urqDLRh +FGcLi/scP/C2o48wzDgPYiqfVFMHVfZCOHAPDKP/eySjhnR0ZOgc8fJbyfkkk98cu7y3goMVwuxe +vgjAxOpQATrlseMrcrRfeuupGMSqvr3+/MPCGEppA1qr5Cggrn+rm65fA4stAD1ibZykaiebJ+CI +uPcl4vehwR9jsZFO5vhYeMqHKhxbfb8wj3z0CN0Kp8mAADmQZj+s1cIZLhd5jMnn0ioQhKzcCDBF +ksRto5Re80Gmmu1hza6teyYAVHqg5zMw8iwCWPdQrmVkUB7cO6r2yzXBqykK5ftWjy+iVzgBbw5K +NJ516MYpPAUAo7D3bs96Ph+eNbAypeMl1B105cRL9UBTbyUv8U6B7ieX/hgq8nGjtER7RlV3KmEp +8DcrhbXuA4ZKnGt2Xh+jVLZR0s+xPia2ZHtV0eVUG2V13DHHDGxcroUZCAclPSCV7lnEJjkVkn8G +4mY9AWRWKX/6JftO2cvqO99Ti226ttkn9vCUxnVN7wPA4XhLC3QDH/H5aoSvkZzwSY9KDJQ5OVZ7 +JDufhn5e4PIFNiolfqE6RjTP6q7AK/8yMAWdKn7rVQYtXYG8G1JMrTRdHNJp3P/TT6dtW90hXjm0 +eh2Eca52aB3wczb9VLjFRijJqBC7YYz8TvcSA7Tp6+Y2YAQo0e8/P+SH6CQwOJSVpJJKklOem4WG +Dc+3AT6+4q/eEVV1xePwHi3Tl8H4aIOtwFHE26yi4O1U9oYfQl5t7BkCEjxia6naDbw+KBAmfDJr +auQLo0m/7UldO+QdMuxV/grufMGnvkUiF8F6zvVMRwneHcNohCcXj7m4Msm6Q/8Z3qlWEzYvz+du +VWAP5IMpF6ZCwKcY6u4GLhbjrOKEq3O3zvckDtNDuM0S3cO6FZj37HnvkZcHPHTsl5XwDpeT47pz +v12imdY8bcs5KyPIX+axRvs392mNl6SJVbop8JQx9F1762weoof0YNTWFBDwdVNFw5VoX1oArXAW +Y4tsmNZlaH276zbV5awp4G6qVEg/a+k0hIOpYtAADBDd4T83Iq7JLY7H02a82pCeF9QoZUX+eQLM +u8LlHRssg/Ygi73kHD59IuLl75Z9BtMYN89DmoOZcKxBwF65K9DzENnNxbzE5YG79kLTditfrBmb +t2FwSaLnw9RnZewmLlxUPXlQvrlOLYDIwBOnRcMO+EAGg8Mw80adshwxgrMrNEgLdyT0DiROyO1c +rjpLtLbkjsNUK2eIRU3IduW4RfGf6jvziKL+b43Rap3HMCYp9QKEBv1eH1HprX1R2RKELvy435iz +ZxQiXQG0b0Kb8kmCjHQb+VqPWB2PhFsIMVP8kt2+e3YP8nl25ZsO/l8HCq7EEayCak1czCnMDQKD +VbWQeYj11ht6yXHkedFIvqXgVAawk/0+eCW8YYJIzw7jYsoMu2cEWz7gJF4xm2mbMK+TzTfIam53 +5g0lQwUi5CTodjb4CLDDUErZHjU3DfQKUTImB+WcHAW4gb/SODWCn+Q5SzRfLCou6+nuRY5EexnA +am5biygFLtX3q2VYxJEg8sWBGaKaXPtUTOKC367lMkWuPcgbwwn8Mbv1ODsvregTmuI4DkFLMl/M +U6pXeFudFgCPR3rGUq1aR80QW9OHQmABenDFnuxQ99rB4SZUvN9U+BJd2j0ds0P3SY+usYZAmpVa +pTaoZdVzkQ+Zv4i+/mvViLcT0hAIg91GfWQDuT89CUMeODERExI/IgeJBP/PED/7mwQdR0KlNDUY +12gLRByusvteNE6+sK7XSHaoSW3YA7sqOev+IlIUy//i2SZBGPLcUVmPid8YQEBe9t6Wz8M7jaKP ++QELfQDXFYJoidsV7PzpPG3ZvaLixBDQMlpVw8LX/YO0joMB061tG9FVYUOIUpfVSt7ax9cvlbk3 +9bM2lHaVxLXthdfJISUTFZ2ADmaOCqG4MNwW7tro1i4wurAOB7o7Tbs3iv4KhUidExF29faJaMkc +EFBMfiJLeEom45Aw+C61n6xBRLwDsDYZrrZ2thGJLv8Xsy9h3azJBMkFzX0B3kMzuQrWUk0FK4Q0 +2xJSyCdtxkg0U00Xbz1BmcnckyYrFmBoiL3vRGCbvHCpVtXMsYXlWbxiiBhF7/QFOb6ivhRy4THI +F9JpjHSCFcsuHSwoz2e+PhRTYveEJ7tbvYUrgBKqd2Soevj/D8U2EHhwIhLBylDGrWxlnuIwIuFB +JLebmPYT8LeYGR5S0ivzd6u213wYBqZmADiB5N626MCQV7Yqo4FGfj3ZbauCYJ74HTg2flFkzk/v +Dyh+LRyVgNH3K9nqbLZKbGMMqBA1wGqdlPa1ubOjfYryNTE/WDALpkQCxurnW0bfE4FWe8PFcv1y +Dc4fhvDZhKXzuESJm40Hcbl8gyO6lgKSkooRen6kkIxeLkzHln075k49m6UQmqYq1IhKGycLra0W +8b6IoSH502kSZaUAXsQ5LlA9+hJLC9gbch8zTeXsI7D1cTJURnx/ManZGHaxqnOdYSDt5WYBZWr6 +aHErym2HTnFs7XLnwFVxUq5oJbqWcBLbpwFzk5eeo7ZsMt1kfSOss+HbepfjWwL7EpsQrRNkMyF9 +B4K/XPO/iCcHKjdZv5ceg9w/7lM/APKSiYLTTYuj5ouiTxvkiAX4DFBdjyfoLkp7oIje08jkO34r +aYX9p6H+tS/cto4Q1kgbw//V7hVAuooWPFAVt6C2fDDfJp1f5RbvaBJVXHqPR6WdbxEn8mlCJYN0 +gimarZsr2mxBIdoNivhPWbDh4nWonZSIdM7E+AdQLnro/bKUr97LKys6ITceu3hF+4BF3TIWbcHd +5AR3NuLwDAdGW0jlP/dKgVjvi5l/iY/8QnQRthT50XZ3xCAmECHmmNLYhPiZpzIMQROVK5Q8e7NO +SDe8HwIhNGaN7Zzn2RVrgFdfpuoUZn7z/1JghiLGoNRsgkGkbBFs6zsftoIMeiER6ZmUHI0dzb6l +NNE1IcCbSrIyzftAb7nKOdK5nNrpOa4xEluLdiGwm6lyEHgD4yNRa553pPDCUNGxtieX+vGHR7n+ +/kuV8qDGNqTHNxboYLD3fQg06fKxicaCy1LVsgQ97tPVGkICZNY5djwCCk8QbkbN0KfwFKkh0MYY +xQcgSwbtHEvWVAtwNUwjzBY3ybfMIG9VBsb+rkRJDHDTviUFkYIWMIHtRdtmFj0hcWpqZMIMLbHG +iwcAl5Q+0RQgrzRHorOxRoHUmEWpAW+jLvx21rBl6xUSfztUNXc0FRdEzWq2eJE4x26SBDNJmU/7 +icBUbyPYZ7NFWoxptTvO58paN0QY8cFkdX0DuL/3+mLkLihy4hOqZL/HmJzCLn3no+6fQ5nhBH/8 +PPNwuX1VdILOUtQdllpk46wJStDyjzFxyuHISJ9VQEzgRGM48+UB8eeH9mLJeHoDftnV83o/V0YI +FkrUAI8QkPeY9kXweMQpNOYbGs/B+mMAWiRtUrT4v2THCfJibUtUDLy01tLLefe43FsQXzda5bNS +XxzGXsQA3mihgnJyKSG07qHQvrUHIj27a41E5m0uH5NRUTpxzdndranXv4no4prAcALvl2al82b8 +rFFtWzeBWjkyM02ymi6117di2Q6ayf5DPNWl/m5PUP05u5m+9n9gv2HuhE4zD3b1t1DJW9fDB/Ry +Vj8drWA0BrklJgB1ReDAiNc80sAhg+gakRo5TPTrPb8RwE8dZ4gE/jVlMFssqcSn1pV7cVqb3630 +lqJjjIZGVFykp1vS0zD0/9kwIh5kjiIf3s0covLeyAb9X+ssKpmOoS3Us3J97mtcTFMWn0uAcSBP +PqRYh9xyALxqovfuOBEPPG045Mq/2+LMhHN5yCjPGPpvg4Z2J+8jshOZndA9PTBAoJc67B2YCEzY +9SXbTJN63xmd73DKwxdtLsyy2+dABljZze78czmfNIumNy7cv1Q4RpyDk6Cf0QO6VefFnVQtHl4p +kR1oMkVlU2FZ/fGmZ5jENWMkCmaZ3QZgKxhtDPcPGvbIWsJbcmtiT3L4YCpkx7Xm66qcZZcHfsOz +dY13jwRA7ZH5ZE163+/gqlkDZO/1QOcRKEWgLKdF+I2gesYy0gySoCVkF47od9PGWKNC6DZwNW1o +LYQD+TH+Gn3CHWtEIyjqd56KO8KIda3U3MtsVLueuuYh8L1X63OxRxOiD2QeBRMmSsDQ7+SnOMlQ +tf2ZVtWZToAuutGxldETOacYY7Yh+oYOXoLbgWKM8WgoPVH1Ph0fFjslv7TwHUEfiwPNB/yEfOy6 +4bBtV+QCkaF6h3tzUTu1ChRAUE5iO2RJQLV+C4idFLKScE6Wl60ene5a/tX97ne+SfTpTnZSvcjI +8DlbyhytZ+4SpqRZzSirEI9pasr13fXzz7yEiH/mH/ZEOA/mfJ2JkKSKImuaWCHUfld2ErE+bkpr +k7IuUWNh6QmkhQbywLMSZrjHwBqTfBJi0zQMqCkGKAAT78zL2wcSKzO1Q1m3kEpgoBm459Hy1dZJ +KYg7xki6I3bo6HAierOcUHub4uw5odMCNJqdmQOUm6YYKFF2B2MCsuVWfixTGmfmobviAeA+UloO +rz/7JW9aK79CqC16AOvr0xpPreI8ovPMOCxBnjjJDvRJ+vybu/v5W4qMAXIt0Ip+bJJJAvwBBlep +LnZCLYk0cRilW/MtUD5BC7vg2uzlJpt8qv1sLBl48vQqSwYhxNsfzLvD2M2sGSa8hC0UBgnxi4nt +bXovru1VLC7VkDFsuMmq69GOvfVUk7GK7lSqxjrPe3LUVCAY61uYUGcWBbXTmG4my3nbr1pb35A4 +R7heQcjDpjE+F6AwUbYCeruMA7A1bIEq5BfYOOEXgT6UD6CSlRWFN36il7zyqbYQ4wnsrZLOPhpN +HMfH82PgAGJW3xSm1frWxKtWWUJA0GQFu5kHOhDVF/B8ykXvF41TavI7v+NHocbxJM5UjmuTR/Lb +l+eMVST/R3l9mVczZPyS8dpVM83HykVYhU8IZis0m1SZhEZCLyBRzVwn/AR1G5X0sk2cIX+XkxT1 +eQeMtymM2X4W/Ex9TuTSwvjXqjVAAbK5W+9LMivRpAxxi4+X7ZSVro9vkPtQUTbxPReCcFLpjkyI ++tYB4NXEA5dlMKC0WT7+O0mVwKg7D/vUIsTq+lFjOcSrySdUIvo+Tvg7ECJFCe+UpXVgCQRMIZEc +2hk/7nQESvCxTvBKOON3QNRGQp1YlMlwScZ2tfox/cH7LAP+XKvuORpfmxpLLVeBSHQaLe9fU5ez +pCW0miOHnBauX7Z/lAYU9/7LR6FSsewHGKjUo4ce/BJSQerzzRrLXybyYgSPx14LvcRz7Yc1Syco +WqZ6LViqtFuuPYtEIBg7m/KBhbZMaYqxlfTzMMzfAnzKCeSvbjm/OhSjF+wXCxjeRFKSDU4u4qdZ +8yxmjlZaTF8EhlUOnMoVKY2KTXZmp44vWGSBp4FWWaIBB7hwIqj4PuVwJ8Ev18WBw6VGj8OVvzVf +p9vnbC/p8mx0EqSZ4da5ZtiU2ZGTSwa76MGPTWPqoQhJIaIv97PL1qYK3H0XP0kiYQCI0fhcjNfr +oSzrehtlyOlgZovUoX2igZWSmWwaiHEyw6B48mmWKZRdqerjEW/i4siTJCLBa146kHkzL5tZ0Ds1 +skhCI2STJx8qFQ+EKUVhHM2U2/RxBvT6kAqZ9EzEsNQZ+ZUPp9k94QBKxmQy3nEtJ0z1WoE6wMAC +Y22IG6I/+tr6c/aw4m3kk5Mh6SwEAFIntZdbg6et89MXRfJY46zuIErW8ZXMpGtN/sqtu41ytdyj +VWV/drAZFqa/ptvWjqcXitLj8kCFv0nEOeBXuS7qRFF/l45zYJ8oc2gBP3fAyL+k6NUF72s3U+xu +sOUc0o57eM1KiZOZYJtdJFrWTYtr6HX7+53XuKZu/fjAH8g4wtcwiFpf6A+EeCorWX4PpWvJON1Q +7PDc2IIxmH9louzQPSDFY2HM/1xRibnZzLjJWkaXAjWuTLyujm4H448teJoKVZUpWXXe/uhjLnMl +Dl9OQ/x1H2Fj35qqHHjqI5yaSobf1SCeYC+FdZKevJ3gLuNQPihymKqVQI6lYsSmZ/Pobomb+QuG +hFCn8SYOwCiFkYB2NCK6Z2kUn1k4qDMkAhZZIoNdA6IeWA3O7HxWYNg8qDCPGxTBA0h4STiDJldU +y5g5ymfcAOp64CFKuGap7SX0ITuL1y53wJW3EV9mfhj/HuNRcaVyI5BgyHaYxzqOyrhR8Zb0ZKyU +ghcPS+DgQYPk+OFdYc3jXTxzHmmPqNwT44ZZN9ClgbmLASDPdUfvHxgmlu44YUYkEenOkGHxax3n +QydEFFo4XOo6hygpdySzAp57nB9c1XeX/Y4blBMer6quqLa9itDWUxZxLHPa2S5qEK3ZwWdbkDtM +DhzG1QoyZbd5WQ1AaIPNcsU+smHVLsW2OOqb9+kbd29oArsVwIvBO86ENIrDR+KL7sixlm3KGqzk +tJniJ07njMVyO8FxoMSylHYiBLNjHXlCGg630ZrEP/kKDbOPVjqJjjHUGn5blWB0j9efEV6JIRDu +5l9LsqncxOoMRlHrQD96NosLJkuoHus/SBqLc4DNRlbc487jadkzIX3sHXn6r+l3qpntGOcjcMnT ++SVQe4SsZgZVnaNCKATNKfgD2MIPnH1bwMEkmclhYBp9zi7XHJfH9XViEu5qge9yQq3IwHu49t5E +p30RGwOFGglk+Dv/RhY4DpWlVrPkYMdqX6xBLdXNS9fNZWrGrslywe80/E32zW6ken2oLgwd+lGG +mcNGcCWM70lKfdU0/Vnf/tuNWMCSSOsuTNjw/eF5JUuM12ZTEQES+lpd67Ov+n1zIpyHL62ctmoq +3/rFlHckOll56yS4yauoK6RXccXOMbkO++z3COjbEOBjMWUNBq2kXz1bnBtkgnMnye4yUk1BWNVR +xW1edJjO4LgcQ48eey2cBkqmj1G5Ehai6cSEK8k2rlb/wvKDAzQWd1ggM4RrPiYSsBX5nz1HhU0x +IzmKgjssxF3DDwaAcZSQz5vaEqi08yh1JiNEtcjWwoqTgahc1XIgnLsxOEPB0dR36KYKaIPf98C0 +xbFnDPAvZ6sYkVgc1z3n/jYRMUTz7CyAEuEuIGCs/TaAtD/QdO3YD13dgRqQrViDkWzx51UYHgDg +5EC0ADNJS/6GjwuWVjN+8x6ReeduFw59RWp/1UjJ/jBY0V43FC/71KgwwW/YIiBQW/GEpuE5TT8Q +RpyZPF9Jh7SzvgSx+q8G2yltR3mVc3Q3IT2WtoaVK+UKFMgGCDt0r8yt6SohzIl9OoLWdKGIds4y +cqkQ6DsWMzry3PeSO6/nVTR8DixszQ40WRLDpBqMn37T0KMknynKAlcdlgeyVilLB14/SxSgbbM2 +Pp9HpK+AFFqTgdI5HfSDT82AZBIYiuAtseLVCN+YJMXLszluSesBROyGsvNJ2Dg2Ru9eBrLsX9Nw +gUi9MjdqOX+X1jwuERGUkHFIb+AwJiavLSwrIsoMAMccBvHcbHA/CZLO6/NWx34m/tkP1hx0MsKy +c7pSfWpVDwnbcfMUJDo+M0GoQuY34np0EDHaqf+uHAFOvC606WyO4VJQmlx7t6mDm6uf4iMxRi1a +9sb6uQwU25ULig+SB6PAYIhq7gTea/cLizKucyTCRq9s8UU0YFEbIodb6DEVGgZQ43l/DD5j60Bk +mZvCtgna6oP5JR7CAxd6b13PZ7h0/Qo9oyolYtWPHce+/YotBUC52UajQsyXH8xhPA7rD7KkwprW +OBCUESqJ2w6qxb+FBxbSz49P0ahR5QOMXfXZS2+8SB82sfT7wH04bmKWFS5h+p/Kn3SdSn6VMDSk +EHMs24SnZydHJ9WKxMndvnRl91Pnz4+cXvnqJ762rjffMoM3l1hSpserq2lj5fqp2mstVwEwdQQ7 +Ip3ssFG3dRGSj5w2wvI6ozT4SLfll/hRPdUHfVujsdA0zV5ozkz3o3aBWaA9ATcaY7PPEZMHsQGk +/Bc9PCFqVW+SudfMtrw58aBzG7Si2LjHMK1pp3hTdxkla6d8xpxniNhYSDmWERiWqacC1QmLrngN +QiyXlhQ+SHocrCkj25idvxmNqORYBKziD0n6o5TSSv74A5WMmbMZKIkesqakzZ5/K+ehUtI6xJbx +IFIv/xFztBq47vNI1t9x/KPJ8nK/5GBij49hoF2BHIBLGmMhQjsn1JXpzloEqnzxHAOryATajGKQ +DJesh0R9S5XpMCZ01PxEOBETh30bZvL3zbo0uy46vCRTC7gcrusvcNH6ji2PO+/sd+YX9nb5Dbby +ajkAmnbiDgsnlN282rFr0zh3wcMz8o7JJtEUcp9MeSu/DXs6F+slZ1rk7wr1JyupRmbtUQf9UVYA +TzyiRnN4+gsfuD7JiCGQcLvvZpGSBQvwx70ZCDnQqPUVfPVkLb4JCa+S+CtNtWgn5YZxHOuEBJ0C +VnewB/F5CIlnfC0i+BOaBXktxTy+sP8S5eYigPfHavPaw7LLHuvItWmiT3jcUOJxHrBaE3PY8Xhi +WwPrICCDihgvLclW2Vox1azoMpZfmm8mLFk9d/fjjhIRFBFb5eloFgameqfvkf4lx9ToBq9CX3Wr +mAJKbVPE0gF6beHFYCWNAK73kRG7+g+4UJJ1BT73cc9HOnHJpRvfB0VV49kH//xGS3UZRh+gAcvj +ufSFQcEYGUXZzFPxMueHv0Cx6LhJcv5gTdsWEGftsEz5W/TLmcEEhwAx027FkDIMtwqGOAUt/JJN +ayxOuryLTDuuO+nFusgJ4/LsfSQig2x6JO50dfPtNNB4X38dlogY+A+n1Ywa1lqCLZ7CGdKRuvFN +Oa+cy5i5IJaNN8rj9AR20lfhhuiofDy1pUyCi1vpGfVfoEngkkM3RhEEa8YjLbYzBWtY3d+7XFK4 +hQTW7Tj+iHx1whP2aYdkiudeWl3hjqddo+kOCXeD9AvjS62VfhTA7BDbhWxnGdg1U/g+q5yCOi6K +GM1IPIHbP4J9+9Rly4u0eACDQ1QcE11S6Clbl5tJSk5LtT5e6xcklybdjbaCNZqnwjCbj4t/8BdD +h5zlwXSIlz3SHpkjSXxS/1jiWvqXneZQka/YmSUMp0e+SeG7jnaYWwBWUkiz1L2EqtGv2irzwblQ +K77Fb7qMYIH/l07uKzPYdXeOBfjcfU+DNproTjeijjSSBAHhNdScU3dQGFfYKvZVWLawOTGpC4O0 +s4xNK12ruZ/aneF1MWd45kCXY2WeO+28uJhE4jm4VAr5jJPndOrljAhHnB1smxphMtt/HdRgmaSg +WFS6pyf7MlTrN6cfZ5w8c2zfFQGdW7E2h+K0IFxIr8IqRZG/hiaUbUZQIHd8LLEVM56QTS9FDiJa +cSXIsRvFrcXOpPmVT7SGdcOB9qVcsW2105pmnRQsFPqSWJVfi97lxakKD8easbxOjpPvnCscg2aV +305ArNxb05VSKE+IxPHZSG/qbBJ7LQ7SRCyFOu3xdSzePldmEVtfGxVgR9t3gvGGfd/c5onhirkn +AH7J6Af09PUb9XfnbceumYfrC4D9zPAF7S3YTxfRd1T8LjQWZpE47LGpvtZekHx4TMu5rr7+lfZW +9xEmA3eQ12KncN1TQ/teHqR3DKW3OTwYTCmy7vMo2yNg7h6nzLZvlSoQraGUXj21F6lQKtikKkqS +dt+WmNby1a6rXdgy5RR1uWIDe+C7zg0frVyAxWdOUz6UBiZSbftOZdBYBFExP8JNz+giMHxGFPtG +KIsR6lhGYqD3kbQptl7R6cM0DUaEQaie+zlEuVkL+3lugV+kv6+k/coyQW5YKzBs6+ETDxo2pSrB +f/aqkbwGhWUDfPIUgKkoh4Has3kwxMhK4T2/GlEUiTTwV6Ekj6/N8RU22rJmslfyNVPcMYOLDO6S +uvgOZjQaUAEoyHcYijpHepylGr3JdfVmgRSDkHGFhX1geZZqwtKMbTS59l0DCWXOZ8YPPsA88I1W +aJwQwfEq3rNq1P/MyC1wREqctvnpaK4+8q+IhAqoS6c/gIDv1xeJ8PiEsuNR/1Ud/JLCzRKHIU6S +ieLGI50t2G3ZRdM7zO7dNWBLK5PhUoJNDTrJwt+NQDK56CvW5VQggKVqYb2UuSxwjsubFnUGPyWA +Kh2tTHxrowzlFlwyZHBfVrbq9eShAgP/0uX5yvD3aB6w0XzU/Xe6Hj1tk5+Y0fd4C/bagZ7IbzqB +CuUu2T/yhIUNKunYohnyRWzrAEo8iVA2Kx6/P2eLJ/ac9UUHUo1BXoMty3IuXUfL4J7hztFpo0Tc +mmGGPE/IECfiAPjI5dALHbsnuqCgRO48/D2nEhMGloqwOzTJwi7mVx2b+UNP2qQtH646d2wVIAMB +R/caV+0aKeHStjuF5DYq1hjCjEc2wZ9w0fAjaydCZJyed905lV8Jk3dmpi5SGdK8ktIXn2lMzb5F +Y4UEe7LxVSEGySSN+EOxOjLg4vs2nq9SqIbeKSmAQWsBsV/JeF4sW2t7QYCXnbfoIjzUctBv8cAn +Eiq4uMaJw6L1RGNTGCGhCB6VFSE5y6XB1nE41BLpNfIx0ARp614DYvGhWOSdwxZF/thU2XWUGUSM +Hlfo+YHWu7qcSC/uSRozjyssPqzoWyLI8IGjRpl7T/BbI7fwXe2PcrWWplXH5f1KoZgcpoR1iztC +OzN3cvXVHb6byXV51NSovAFaK7yDOHYntUvpsqUH6L8Y6Gk7a2sMWPAwuEBx3vpe/Mt/2XByLxyY +6Euhz+XqNMDwZQ8tZoUk0WAEyuyvCDFEXGQRhMWYNnHCV0GE1N17usI7USFGOBVK8TZMpqNpEfb7 +pAm1JVczgxKsgWhunefes0VCOvy5QSq+6goYoic7xdOIdhx+V4jue5UROn3E1Bg9vAOmkJSIfXvi +DPxHMqBhFgzp4Zew34u/O6J+T8dOfuQS9O/OPacDkanRo39ctzO1hv5oiZytNIODtzms9R3ChRhl +BRIWom/aeu9BVpu4vzdLjIULZFgEzQPMhMXb7d/TjZAHGYoN2GFdx6NhE01LxF38EbSo4l87tMGP +GZFMI/oHcKDykXLiZAxYoepksWV3KMMt0v5Ir7il5hGtOuZLxbWIWO+xHGBNtVabVW0a4dKC5uso +r2lEej0P2Aqdm4K5B1TWJvEqwzn9LpXSFgQZMIFpFDKNR0C/o0ypuxZqvVQxiucnxFc6Qpl0N+oj +98yTOVqWYyA+ARwRcW5i62yCg9GGiR4IM0/YWSRBkNV8ZiZ0iXVuO0ZMFwC+tc//eQl2jbEBoxQ3 +D4mlXY6XUh2UnvClhrx5qWmWzBLUg4KaQ8R5UxZ+HcqAxYmYaZbpLRgM9FMPweZ0SfJNCsst/TzJ +AJfxd6Iu98M2UGQIjnM/fPw919IcCwAxeExsWcY2KQLXNYOx4nOBrXvHbeSlJBoCj0ImgVveKcls +20IrQmZUjDV5e1k/JDO8D8qfG7j2+txuquMP+yJhLEg3OgJzJwqotnmF3z+P04MZwF2fWMKsf6EL +WpQUb0dq47QALn8wx3FbFlAp8moGNySOZsUqhxwsAJ9qPdvhD6SHwScfeyOQ9AbUSdwjLs6okhlQ +47ARIIAgmENKd93503XLfTlCamaVAmK7ZbyJ0c5DYfxTqpLcyUW+64f36yDsETbIMbuaLlxZGZwf +syaMdUxzACwrO6km86cszUk3tDtlVBa0r3LRvf+cGb741bJvj/78457yeQjdSPhyCK6U/XkeeMXu +m6oG0oBgu/mBJV43vyLQRVPymvU3v7LpJKyW4xzrsK9dsKW7pFcKV5O6xsVNcv2dyHyAwz3zMsbX +ZWvugF7h41m3TqCqYzJvUSCfPerl9u/um19ZFM4JrwAkhrlwmmfVNSzCWqkDPUwoJvvnMeJfkS4D ++UP1MaScCiZVnW4+AWNaTJOC71dE6pxx72iabGXePE2M58rauWTuYd59/Z4vnjIwMRZZJ73cCtdV +MtTZwQ/QRoJgQOBrDrFTa0VbREpmjBLDVyDBqTa+3hfD1glJg0UGfTL7DX0qNr93kTg2c6G/hMVK +e0rFTsN++aDnkMd4F46UNvxoorPL3wCvKY+hk/j30bjXyNyGt4p5LHb0Mi3JFruT08980b/llzb4 +tZTtGGKhGwLq0U8NsUERmgy916A0IFJl+NDaU7INF/C+3jn5HJrMxQ8Wxd9YuVYm/abwp21rn9u1 +assO04d4GahdglYI37D1PQjs2Y1naCYNCD8uG8EUQiNJGAZyQQS6AmVtI0TZ9tF4UehVC3KJbU7+ +rmaarZEUc6npLxxizoX8i2ss/RMfaoriX9wP/FN7WpLScvNLycHQOAZ3hPzGHPvWMw+M1n1iBi3g +60gtCRflxCgVggzdMH0iO4S0utb931KIvZiXgwo4jIngknVpgEBWi3c9svqYyx3Fw9ZkJPK0+5ja +dNST1JEbWQN/Jc1YFkbDEAsA5bjfQ/Th8i0HYYR8/QEEbgGMWTwFpi5QOoOs2eVH6YBwFlTikN26 +8VhJnxys81b8+UUbyFg8unvpVCh6bhOiTXj+8x0SYANUYHf4JtpOfb8YBxztAgitGc++CSKwG/LK +phNc3YKz7vL5ETLUvoi3fOiRn3hCJM/wCrjDDqN3+8nbvUeH5AJNwjsb1ZKFoAGA5ClzLNxHzC9Z +MJ8orwyxz7/M/lqKRUll/MbMUDUK1UZTz8Z0p5wAzgwqj2cJvbbU2mqFR5n8wf1b7E5FU5ArkGJu +Y6VMuhQ6Nca6B8uY5rhr5SFuadYaYy6mnyGzKvxQ7nwg7vCc3LMtKJRvnDG86LEJfzr+BxTgC+Lf +MjsRtPgJTzjBRctUaXLPK5hBapWTh9gLAd5M41OnO3VoMFV5ndI/vyCIisPnpOZ+fWO/qS7xZd3v +lAB6fL13yrOhxJO3nutfmBxFz3ad4MnkicbFgyKmhLw1hOZ/9HcKdlVPyW8LnUnDmMaSrB8H1Ual +YY7ctI6KgwxC54afXxSQbns2XksKGOFZQMnNK767tmKq7V6iKuGauKAeZ8mNutZZhE2zf1xr1j6R +GyevYcuNMkHGaNRXw8MVOrBxJxZgZ7/pKNfHHjx9q6T5cifHuXjltvdEFu3ZGEV3cpHVjupVT9pR +tHIbEbz0RYLswJZSSMGVzdoPSAlKqs+eC0W0u2B5O2LWwbVz7ydVDUAk/82tGTlyY4WjjmL8B8m8 +aKRede9a5b+YBEYuovaTvquOe2znP1pcGYIBT17TXg+M2ULpN+lgtnCBoYf2ZPt+ZRA2TSTRt58e +ZDQPe/LLtdCGhxywHajBLUlKfwNzIIEuh5dcTohu1WsybBXuOG1UaDJk9qru6F+4nylvfI4joQpa +FaLwI7KCO3gK17YHWqS/feryypbsQVPcNxXnA6SsiWNFkwyy4CRAlX5ZEFzGiGOVhuWk2noZAzsB ++oWLlUj8jEX5bpPrYJMNF+4MUVWdfzAcoGqMcPEepfGW7wnSqEDuySPwTF2xwTbPhLTNpP2Ad1Bj +naZrNCdK+VRmkE9DSPqyVb/XJpcGYqIdZCtOHtdfFF/YdImJugBergeQumJmp/20E7s56E33uavY +o+4FqvootXv8gAnpMIDY9QC+TsqAdn/shs3Nd+IAXEaGH3de2e0zLlcO4OO9cfHnsdF4viJBJ08U +dthfAcN6CyMBahbfy46Qe3sitX1HkHPnfrun2CdFZp75tcaeYbTFHasNRnvhDLI4MlqY5NFFfZCF +IlX/nVPgYmLiVHvPQiPEu4gKtC+e9O2VUq0Ac5spNVvM+xV/Asys88b5zb7oa6kL4LyCM8QgBWGa +0S2y/8+VRSmG/WFSGY3VMM2yeLHSFG3Z88pL14NizDVE77oBCUB5RCFlPNCHEdK59atRT+GqUNl1 +MJBn7BceCwGfRJh9E6199lsSrUdjdLqwFHq9t5BuJVeZR1PszYt2cH07I0IjH28zQgQ3oJMP6gx3 +dhTTGvKRctjwGgwJIpWYuwD6QiUffgjRerTEvJAS4+uwAjT0IsYhCwaKQXtZ2Tx3zBbljPiFsOC7 +hX3sjtM7MCbrSP6CgfmGOIM9hqyIJZiYPJO4nj4xVUeAQvwZhW0ZLYpX0MvJ0VW+/2TNPdLWrTab +nSFN/j8y/tlWX7ae37KA7E4xww/IG6Ud7leIZNoZwPik4O1bMkN2sAlngg2ol3D/4Tftnq909UYK +SFlmOlDJKdmJOIaz/1g5GImj/c5i58rMlgedivTtoOyJ402s5xBIlm3qycrsjV1A5aWWsXpvoRHq +NfdViEV9zLV54JW+ZvU/bdOCKkBs536PT7UwnOY/BqytYHRXR2Ay3DuP1IeyHSsrQrg3MI466plN +4VpMEJ7kjT3w3A9hiuoOGsn3dlM6+Aid/FP0tATWn+6MGLNSfr+c2++XA5CKM95X2kIjxggNqoyT ++WqOisreLSBZg5tSMiydneRrN6UXcNcBiORAfbHYHL2d19Xa232Y+1DNfk7WE8VJvU2AyPqCoAEz +RP+eNM/empju2Sd2W7O7egekWM7Rb2To+HLcX6q8cE+J1S+/THlWCsVFjXBL/dvUT8VsXwcYrFye +3j3pm19QBKUYRVcXfwgZwyrv6UJKNNTIB6rS/d8ubd7lT/nE/VLXqzrN34MYOUL9F6vCiPrsH4Cu +oIuc8CmcH+lIhCbC/l35EU4jo63bvSvkqwzkr4Pi5fE1zpMBc6DEkyrW30HCVM2SNZQE1PomQPDA +XL19enHiLU0ygNY+3QNk53uC9aoFXBgam8WxCX4eQtD6TbL7gzkWlqikv4u2JXv7W731M83XI5Ns +kONT6Ot7HQMrA2JttbeP6grIqs883I68AcQBXqvImDS88y/4mcn/F8jeb1siV0axaABMbGQtmmvH +s7XkOElWZ7d3GPxEYl3mkCaI2MMdQIJSdwWL1wxDrA333MM1ufKMap+dJ+E2WyPmu3BbtLui9SiX +maCaZ1OQqYpfYJU9GgTgy6Ewd0zpFXmpotQ2Sn432sCaSh6t4d2F/pKIxqUAbFTQrkcO34O46OSJ +O2LBL6puKP2NXc4xXXbfEcybAXb0KmPu3xUpjdGO/WMKNg32UoCM0dxjBbmqfllHLeg/3dynvijs +8NnfXtEd0R996vZwP3p/LQoY3f5KEuAcHMGQJjE+CuxnbZ5gbpsyDN15VHS0ilKyQuJHUlGPv+3R +rzKIco0NgLUtjX13kR6afzN/w4t580V3oIVZN+bH7SmX4WLPZ3czytFCncwk9/t7fZDqyYSnJkQi +r8gyyIytfG/YxAeGC8BgZvTVQQ+EXajyCZSvGLIAzoL3+MUm0E6OkJCk1cWFuRrCrrX1MzUCqSD0 +6pn+nlhTs639yFMFycI7TJrD0uggmsq/A8SXAPrKndmguAn+Alicjt+UVJGVpJoGCS8ulWr03L7z +/q1KZgYyzEc6M81sWhg0K7yVAQcLQvvNeOz2YpZsr7XGagP03IuIijqYHOQCs9czzLG2mw48Lo7E +3qVHGuTIa0GEOzkRsYxgtyq8gimb8/pYZ19I305rB+OtKQ/a0wNdSz6H/VTNPD0gb6JHgtcovxVf +H0PEnPFNoN03PQ5Mz0Ov/wLON9ihHmqDt2gC015PCAnEh1HXORHmq48TWlxK0RniMnuYZbp7yP2J +H0BJz5q0YBaLXY2cvkPdXqzMkZ5eeNZ3dQZL5AHzcr0BEmIIMEE3JEYxlHtwIRbKYCy+BixUxAvK +7c9GzBtHUHHYAByAdyA9xbJkKoBoqg7qG/b/xThL10XOiqQP3ZMgjwkEcWmLtntAFzq4wb5+yRTF +eoAAszs+ZwiDrAtZ+aSS7yQ5DcvCfVrk9qVBv7izXA4RWE4hccHcKBYtIVfroGpAZAYoTTnpCANP +PgcFOTwOTkqoGrU8g2iP9U1bPaB+VuC8Mpicx+0+SC5T4yI3RcLuVPcNjWEpIq512H9RPFtX9usC +ceEbPZ485YhPefc+hdwfFdbJmCJPIuFkY+jeo3UELSq2qhljyRKlpS4tC2+0N6hOH3RZ+zbnXDrA +MkgjTVW0jslcrOSVkhSD70YsxEg3UHvzkrOt0d2HgFcnGDSN2Sz/KnDeZT0MHJbNkbcpHKpIwhnt +cWQkpJXxE/ezad9CnFoDh0T5o6vmTMS11w3t002Kik2O6Gk8cTTN6G3JwII4aANfZp3+YAEPMTka +S+M7yn5XF0S0reZkusIQWdxQTMxfjDoIAgqFo0UMVJUQ239o6kA0G4MkDFLx+230T8KH9XxzcTNW +Z0yx4IbwJKvXFRhy6oZVlt6ykR4yofQgcf8vy+OOnnUzwhRVcioETbLZYetNX5xMoFRIXKUqtmMC +aMj0fJtlzWzutrgZmziuqO2hkWsXNYKBzpRFkLHWy9fgLRd4itQeKkXz/j6yDuW9OvTHA9YYyqV/ +m+37eNvdb/KSnlrBnn5W2DDbGP/ipH+SsxAqYD1xd+Z6gPHDuVW81PFNGe6MJYcRx0rU0mN9A1wo +QL6tzmiNOjbPmxIfGZnvMP4bZ1T5vZGqIA83h2NcxUNPxQFSLigJ0bf3MQb2n5Aod+1RpRQlNNVZ +naBNX1XorulDc5pv+ZYHfC32K3slI8KPyDNz1FKOwMKz4XCRy23oNpWpM+nEta0U4Uks9F0CCMM7 +UDws5/crd4x+tnybC1x+17vWjRoO68Hys14kwuood/IqeNnGmGpE9tLKcblrPBrYF6YWgpy+fHYZ +Dpo3KjjDTHSoGXDVBa4r5DF6HytK4co0enXk9xdEvsRHD7hUpB527VkuBtg/qfmNg87KBhIc1phB +UftzZc5VI2Xs0ht3X0A80ICfy9iDFOXCqHRkBnDTzZMjpTzlBKEgh/ZkEKwT+UWMJkLVMl8nLYfA +g3dBfdS0XBYkIuLHuV3xT+ucth+PNzcNrRyOHUbxdVKuGofIZgtrzAnr+bG4NODNzEikVrlfctfF +ndZ8yQvLfl4eLrdHeGgcc+W+8OnIx+1HpL7byPlaWzLjqw6LU95CZxkSWxBplyPz1hgqP4wdDgkz +GLEkPA8e1iWtoRCCmuy6Ee5aHf1gVXTezSyzeJuitdyFfMPY5d5cS9WSzHlvTyZhbE6jopkyc6n1 +F6sGH3FpvMX10mrkC5YvwcToZmD28tTZo5yKX4jplJXnelVX46kT4ji49v9XWmCRpYs+QWeV3j6q +rnmI2UlcNjWVQf01ghIcDL9CVOx5qiD3oqkjqp+cVmC/EWFKnv5rv/Bh8yJ7G2NW6VThzatMvZBL +ZCGU2yr8VDvghv3svTwh9E9TFFL4i1RDkQd0u8xPbVoNzUtgUaxLUs/UmMy7Ui1Trjz87H41sRDX +WQP/YSoXreFEr+nlK/oIfJrIyyD1JqHWqplEk0u65U5mvH/SOrVsUI+nZ+oJJxolNP1i4RUjBcTL +0wDUKNTulC6X8w4yJ9hSQ36sp7HQVdEbfet8/NR7qgp3hccZlDQBw9GMKlYvqPXbo4u9w75KYTSJ +4FN163QZDJx/YOdd6loP5fo7765zyd7JLAZrkCNYJ4EECVsSKuyof3YkV6uKvpTULFk+DVz8mTMQ +3CBLZsym+wsR4Iq42ZEnWITQ7qx1hGVBjjW3gDe0QX4ePQ42NwFR1NRRahzCgjEWVV+tSwnFomnF +E8DnlA22cuh1LR844bIxkTEWvfOF8EXubIhMrH/BZ9qZUHKbed2icCp9GzqcZde7yOUB1g7QJqOO +N7zBfsx8bGfoGTSBwuN4mYIHybe7ElquK3RSdTuTznYefosSzK/9HiwADruR/0+bZ0KQDsOY1ilm +bU2evs8sP2qGmvyi1smlYU7FDJkLBSAhnFV+7qXf+PLpq69kSUlG/efM38m2jzi6C2+gWFzKEI7T +GkWwyHZeR0WhulPqpAWHvbAFg1lBo6wYQsJy4NqAbmN94ybm2jhu7xCYM4MJpQ3mrixizKyvasAC +8Ww/qRpVbc+imbRlgm40hnXBf0D/NZPZzr27tdnqKcbZL992MTGbx2O/XV9DGRC9TjOwUHSJ2v1P +YXoczaC+GV/SaOwYrVmW1jW8BATKoOovkQ2BPnV1gAhu7OzugTaRi+lJVHPhsAp3wsrWXir2GZfC +StN95OTuiaLZlHHDKuP95kCez1g5FoyHmxG2RpK3fSGYgdLGmEI8CQxLdc7hXG8c61zShGvHQtyC +OBEvBX67I4HPLvf3J/LaetTCjgWf4XWo5cdMphRkQIwSO39/kIxcqsJb8EDbWMZ81sMkI1zYojA3 +IfB4o6qU5qqUEJmFQzhWtm7Z1cL2oVKppRnEGuxggZoYRxB6srlTt+ag7RqJRqUdKSd5vh86iHod +g2kl1GhI+WEdkIbpppWBAx0gXcTMY5pfk4GXeB8JKjq7Wtd+dRKt2Xsw4XZoF6lvtg/3VZh3tvbt +GMMXhxEznvIfHa8ZbvwQHBTf/Am+1oMSVOk5ty5/dxU+iKvIHqxyERwfUncWsHDtPMmxYShPnOlt +wTHtNfXhrpeAXZNxiKZidmXQg1Gb/IQBHO5TWlQ+qseTD61Xavbcb8gVtypKIH6wQAyzrnSlF9J8 +Bvkz4Vk85gFIoAV4QZzzcugFecVFgnTvpIWjp9d7cdcUxtV16JrIr4vGx/YXgfc2KKQsVCJS+PY9 +ehpzaUlYRckh2xP9n9tSXOSkme9yIRKFf/RRUJ8DtdB2pz+VCJNr+kP5+qWtyGAIAmOGR6kkkd7Y +1WThCDiB8wFkZaE7zJN/UdcTKXDcnQQslmpQNMIfoCgfx03TOUx4FsVZgHEuYl+lhzE9Lfvs6U1z +qYmD+hy40RTT2HXg/AMMqxPaHtwVnBjT/6gU2gBZBDcalebkdQ/xpBIFl3a6W4AQgR1Vwsl7DFFS +jeyL1o6np3GyjihXqDKzax+h/IgY6v/AqDEGgaKIvng413aQcJ09RGMSOxCDoAYMyCNd43xpR3Fp +Oq9hNbrLL2/mWK0B2Sxidzq2SC4P3UouvzVV/vqvrae1iMlMcW2yZ1Y0nYDkwPBCVFpItsMzBgFK +oq5NjMh/khGVBoqcbedsLJZm4oAZnviYkpWWcAGfY481ns3+TK1HvO1mXIxQ6+W3AsQURndg0S7x +vDfN8VW5HggvYH3I2GwC+PtMMcCg/kFq/rR757CrKlx5w15vgzrRyhkRQh2aPNQFNpl3RsudI3Us +E+SIUBWkx9oSPslXvxt1PtYL8M0UGKMntTSMES279VMv/b8HSUDkBTORm+cPj0cYVza4FeFI62YH +6LY/Sxniq3s4IcVQbiBlW3jPNICQ7NUv6HmRjw6VkigdIKkYamF4Iir7x2UHSGWAB8FtjxNhM6T2 +1rqQLVAtWxx17hFL/vZLYgupplZMD+OY6qV9DerzKROuxqMeLFsKToW0XySmWu39YUfI1g2TXaBG +PVl9Ke/c9Z14JYAOkQNrXw/JfzFsuHH4YoOwzYKvTr2KPbyGsLLRpjm+S6SWz1Ei02LSk7tPO1Gr ++cD5+nvdtn0MdXes5C8QGlan/V+c1ZGMdoYU91ylQRDdI4Q/ZAMs/WUjs6VCMrwTf57+B86geCIH +n4wN4c2nKoDh6ciMdkoUjBcWIDW7IzmQH6hr+bLGR+3Cqvhyyv7D0nZxdiV/kpzzf4H6mJtXXRaF +SwsosUGr20If0hBVrwWY8UD6wJlxbxwu5OIvmbN1RDGLnqh0phiJutd/bMPw3vfzNnu7qmEivCe0 +4rsMQh7vnZjJ2hIdLWH2dilRu6RaDkMWcPcKVleCKlzk4gKDXCL6FA3BUJte7swz0T1HC4rs81OC +iiovszdYq+I3CAdiv9L9TeP8zGyP54LBjXkp+1iL4y8cybGlv+0MBhu+BP/dmi4fODkt4BhET/41 +qlgZkYp2c5s973vTd6+1ohodyK4YdYOgugdN3XW6tnjhG+243S3DPoSvsQWGb+wsrlFNPMsaFIMD +qHdI4YCRozXAr0bjmO7u044CByyycSwjyE5Mqhdp17vmx5/cFcYyjpjg4ogxbx8v5fueW1oRoFC6 +vU/LMo9o05F31StjBdphvz0VEXr+WQ9YiyD/oMq9DK4tYiUtCkYmf+lvEQaogRX859QloGZpEIv9 +7sNXDpYb3WBl/vgrknrnF1K8Cyqsu7TH0eYmxgu8DxYK6BuKLLIwsYGJOVcTz7Z4Fx5MDL7/XZAm +/21z6oWx4EjyFrGsjmvhj0LWGIKNnpMh6xtTKHNvoJdR+oijaQ6TpZAVRg+pZxQzHCpnJRFKetu7 +SInREcjDpujzWBnD0CuqNxLgA5oOdEvXW+YZSR1ErgWE0B6dzFxJ72/n2OcN2d5FBpplBsO+3YC4 +b1TtNFaz5Lh8gOsGhvmVD+OIT4L9YObXUCH8xdw6PM+lc9kpYt8+rRM1nL/I1fJFgIw1sEU1Bhxj +W0unstwdo/r5eTtYXKJWNVACKBG37x91rt2LCXMFdak3+ToFksKm/X34PutoXRkkzPfDA2RLWDz5 +6n7UEQiilj6tKA1VfDXWQjaAL31lt/uLUpSM54C/9P9q/3elYpK+xsp4RjDvAIDcG1VOM0lLQr7D +MOY5EKOxlUrhC5RtUrsY8xeRjwp1pZxo+kMOrGl63TV5jYvzZY6W+DXDXIoTNEMY1vdZulPiLOuf +2BdrRYG8ITfqq1eJSzfYw3zS2rrCPw/low897jyHVfwjzPJItw6KKbzbPpMLtbIohUEKZASnKB7b +GefoobmWfwDgffOg4w0+sc1Ozai0uNtDFjdNCjf3Lt7pwL8Z+GUYaMKYLqmCqMhz2bOWXhrBGMS5 +waEuJ3ikXS0h7THcNUCJrRpumxf98NQpuWEWfp2yg2YZ9HkY8/lKNVfm2Gyef36KQtOOG18I5DjP +suXt5/Vc7/clNoP99X0lmXpk+zYJjBU2i2pjdL0wqCBebIKMxmt2MwwpU0e3/8FRWnMDmMv4uTjS +cNE+qbZVofRiU8BeshJhNg3e2rWbMKtz3D5tW01NrqICfCgLXAwkPglAHzvKrLKV9Oag14Gu7da7 +xv9OSg6GvwmoIWwUdGEYBeddLL9oX3/S0qbfobaJKCFx7S7dd5+V11gBPY4UsyG935Ij6aoSbdU6 +z/bBZYkm6nQ8d1LdpPwYvJ5EVdfgpkkxJK+YYHeLjFSwLvrq1mMQtTTKd1yQuWQoagCIK7vH9Bx6 +rKmPNv1u01wuuPBK8ScEuLijSSdEaStmo5o8xAtP1RL6Hp7yI22L3lNuf//6EO9icJlmCzzhtKXY +WHR77COZ0Zl5ty2HTLVohplG+neWYqV8uOaxn6VW520CpLsz9O9V7fzW7t1PQM8nJHeFb4mvw5ib +JuRKx8Jnz243Puj8SL182tLyNj7OU/DBz1EpYWBpWmQMip4wcMwK9YtHCHNDJn8Zk3NCzOQ5pH/T +sIHaDR29EHV6eBMDDCGPUh/QLgJzzKTTBNVlVeyUWG7cqCnTCsMqE9fu/fVbzhLeQeztsPfBnLxm +kXo0s4P7XIblwZSHTsbv8xCC/Yn6QcqV6ymQ5MujTDIvCmXS0geAy0fAH4SW22o3h7pfik/cs0Da +tVhvOdSm1hhOfKTVZYaDpzqC5Iw/KC5CYA89CgvbtAzcKw9y+HkZpRHWGYzaJCP5+6O3FOm3DfPV ++er46xMK/MKsNkc4iSdF5qy6qgq9294le0bthrnzfbxgCteAeCXpTsS1V3XFfK3vY54O+iiPrGAd +yd8V1eMn69wD6fnQ1ZQdZF3buqWOekTHAmHsncKgntN34ADtk/FJi5mZTdPedco58foHNy5ABZGj +sQR7gfGIm69pOzJat38aWc1eeQTD9upNySYCED6SGCzTxbZQhTugZCDv6EPOlujSVbtHsGpiO0lK +NFTeKb3nKKD+FJbD9e1r/iYRMRsD5DpkqFbFroKOU6WW2FX/u72d1n/12gc//2LpnCtJ+hlTr7+w +Es8uwdbGlPFrFuAKQ9pfvWIfC9q9yQ3Vz5V0uts1MZnYOWtNOGacRfA31AISWZzySDl9LnFzc1IF +5ZQTa6SzH3OPe80Wu0s6enG4Kab8YNT3mPk4jJu9yqLwlowvZWrIGGt7KTGTWEaKQ3C/9IVSktJw +VaqNuQLVQyPI9o4ARSY1yH4DygugfGqseP8sZyBDOvjOZfvIuC3shAfJKNN9EwNikcqdNe3NokXV +D82a0TcSqq1sysUpWMmC7ckaBvVvPbJZIlgygnhXgXQVdtQaNSu4b4xMHYvP1M4b+qRUj3EmdtpZ +/fckPlBYkryDNsidrQVjBe1WeA3cEeVxyyHCod+n06cSrHYwrXUaWU3+CFjiVqoHHV929F0JRo6V +wz8nctYaYj8VvY5GBMohmAk28hHSk1j8vS23DLr1eR1h5skzcNvVoMuyA3kt4zqC5ugJgAKfFwau +G5gf1Xtxx2oPnXWPXJvEUu+XPJsBUyohBZBMv24bicYkdFKJBtKZ2FdgA0oxnRhrk9ptbigRdKzl +FxhXZpgcAWc750HUiRFvZ26JnQrD9jvWhzrJq5uLxAVvGy9jLKi2TM9JCSZXqt4EJ8VFRcDyl+Rk +/pQXHPflgZSH+JDJf+O1UlIpa7O5NJFiVw7i4Y/RCO3IvwjgWw1pWbicjscGbhwI/sE5kQL8Rbdt +cFKvIMVEmpYhAflGH7YBGNGTMa/jsWwM02Yncx+/zS54p9fwQps1AcUx16F0uL7LqYyCWTvelwhq +OlzN1n1V3dF7MV2g3Y2pv0Cp0oSXpBxHaIDg4B29uiuKaT2L64u5b9aUXiVYy2NJtrYUsDhnQ+Nx +V/lfuQgjkSqEkQRYlN2fZj3/q9CsotRMGGl7v5p19qYCUW3DXkea/xbZDyHkk9ytEqAXKwRV5xqY +drXQLDw6p8KslowEKiPEEmMFOepMG35a8v6zOdJQMGjfmV2TqizQyj+a2pnaZRZteWXtf+NOpfn4 +17IdEV4JFs8BYZE/UWsDqmGtF7W7GHgpZQH5aEmWjfJ1a62268dOTRv2qvV+pP5dELZOT9wuRXTn +Lglpjq2m7hBFIxSBlTQHpTo7VdjAEtKDbzc/qUTXrfjdfzClw3i4ZF4RFevFYYParWS8i9KcNmhl +n52JAtoWbd5mCipcR4McFfQQM1BjzDMZClAgGVK/QdIetOVe5hVdBD7SsQeJnuFwVfEt6LLjDECX +3OZmB7vbfbtlXeQaY7usA14fiX8b8BpwYvM4ONX3GWwa9w+RLM3IzvosdOY0j67svxyDuRvQvcSn +6eeS8etROj729JzDTyieYtDFuWR8Ng3hSy5DpOi2ModpLsRXnr3wussiIk4Aib2NIabG5TaCEk5k +8uC/hszFQOO0WhpCXWDIunRA2mFYrnsviFWHoRwJdz+Z3fJJefu3MAyNB5WyibfWnh8Vg0nMQqPF +1uqsCCWrdSy0iORdrqhaREkdZvGq2UKFTgPgG4io5TAuDOwRMzQ31YBSlFRJe+gqArjIivimtXzC +EIEgbjGiBsJ7lXoPtehgpBTTy1Bu3WbwTSYa6Hv6GgjyEFLTBamCceywzg9usCUGleE6Zfr8Uh9T +M2yh+Af+i/7FvQcpYqNQ6M+DUs1P4fbUaQXtUyco5FMCm1xPRmr3QKB4JzXV25+d6iOjnzZteema +ZXeqmk2j2jdRvO1NfsIl7wl3qg30ahYMkaYAUCZ0a2OokyvDaoTk1LyZkAP7lqpQdFKsGpaVKv8L +IMcCE17sLM+idVVJ3/W9dhmpislwTvvw2GljrIERI4E4I5SOkB7r7QbFYN8LHGQfaSnCzmsAe17R +tyes6/hgzw+fXu1PI971W9XfxgZbWn4fBl5jyqL+MRo68uPvc6t40AfAF/7m41NoENl97gEnTd6o +15/ETIWKf4TgglCHPJt4q3DvOZdK+GrP5Fvwd407aKnxnQTI5mt2/NVydbGCRl59vBJpCSjhA17/ ++oEOPbCgRCKqgrpwn9iz15siuRGfvnbvbRS/+1SBkB7ejpb7I2Wh0jUIVI+z5VL8VK9gRUl/kiE+ +u5aYCNN4sPKnfjs96m37Bsjcekofk9vimeSakO5tTsjtlLipvE1f33NbpHw3S45qyVIEiWNhAsEu +vbo3Ru4DEMShNiHukhZWNI34gOvSQ5Lp8Bm3ORVpGhkGAQY6Odc51gAitArRRaMLHt4RHaTjXa1I +uxRDWe5Z2fmYBaFtLuZ5sDKjmOlr5yLwPhKMv4Vbk2DEgxPM2WklK2Zf95cB9VofcWvhrhcfjzXD +9s/8e6Lq5wfrg3KO+1qPV7N0hN07kBFPr+wDle0ozl2zhny2ShtGJxOjRmnof7rfcZmmdrgo44lk +8wYaroraDanqqyMka/k4k3XDrNFg07j4QqZH3CizJchF+6G18Tdk9c4EK7n9lOMyf1MZ6+DR2R+p +Co+cp1WaJRdbBrTT5I//eGKy44LjZTYcKs+ZKytUX7lRf2SQCShvLlbg6s4GgOeA3IruIygdLZTQ +VaDgV814vWsD/2t6w37RMQehaU4coBM7j9kmXNqclYw4Os3sJ/bM67ciqtgwOPPVUqjnORVOWfie +MiNGMlJ+tbEOMoVQeUBqlw+GVfyoR/rmq4jPxN10MxzHO0hQwmuTT3CG7oatxXvVwl5LpJnJsC2d +TNzUEppbZWVvcly59+5U6xAAxwKxq4ag9u+oHXDNxpxU+D4kVXYr46OtI5tsxaWYU7LwEVoxl23s +Ig5dc86fayNpw+022cdZAk6C3PHuX027HgR8jMOig/FBAWBbNFEYOnz9s7pBUA+0zWJg0jN9/rrR +sSi9FFARUeaSLKHSotgc4gsC8phgBwIV95r4zOGhM6GL3X1hxkSN/4m+y3FnFYyZd/3E1u3BklC1 +YMgywCWNovWlzJeHa6535rRNcAGFaLpZFF024XVxjIHRCwl0qDLudRZWqjxXIQJwmD7WxX+1/yrH +UDoWEJJs2ToIoImOpAq9HWLdn1m3SQIuR+JtVujNrObhnWNrLm2ILRXVmKT1LwzultR6fUO5uhlQ +Q8Cmb+DY0WXu5TEcMO9HmAqvwybHDiLCdswKwaMocSlvMFcbDYakvvG+vrdDCbBtrqkoItt1M25o +E+5mDS9NgLtuNark3NITTPo+VvNPZeJojOwfJ30IGo0IszStu04Sw4GmAU4SMqSs8MXYL187SWg4 +Ia5mtSmoh3XDljvMFOPDcoPA0I5uRMkfQ5UjRuGV+R7CYYZE6l54sL9VofHxljRQ/2gFJ9EPWYp/ +x576KlzvrmjJQDHtCeOm1iuS1u5y9jK3JijEjAk33Xh4Z6UJT9/jWMmOqjFhY7hJ5DOwh352vfRF +KB7ANQH+HCrYk4Y1NxeNy+hSPb6E/lB66uyZpG0tO81M8C31YemRr4eTwIv4rQ1+7zH/HBICx/3u +OIr9SIdFWyPEPx2BGC/kYcjoQfG3gwprCstbo+IB+Itzpk/DxDJM59BcqWkCZcVDEoDFgchkJAe3 +NU656r/zoS1ZBI2EFk+MV80jzJ2bIEX3caza+I9AcNipUeUBGHxTGQNpscPBtfNBcwN+qi18th60 +67P2WTL4IgP+iO0cjH+Q5d8mLj7jrMHnM1poeqNG8r2ttQ6VFIdeSkglZd/iT1oWoudd7HUjMh34 +UvnqfDXKSUoheTQ9qg2VKV99r/ZvfwIoFx561NE7TkBOa8pLLDH8zHSGdmRCDJdBY9nGoxgVY4Cu +8bCuMpjl5OhB1o6gA+0yQL3qBaFfKzih40sC+cXb77auNzpRnJU0uJ3pHXIXVMQGroV29TpuYQch +tzNgc3xg1qhEmhi+JmiWgJWmOw5mnjtpb4xC+6zIcu7owkDcWhPfXDrNhi9batA57lxY7JttkPr/ +6g3vjRtqiphbwuA5aXQjYmv/ZLgHPkn50JZkzrBffam+ODHXeEJTlSi5ZCkM9lU+nbh4sC59E3mo +Wgz56iDmw5FuBlOSrCVdNrJxf+b0xZLaKyq13hwsO9o4iCu0IzbxPTdpamSK2PM+cL7DduMXcLFm +PkP+KboD8zSjAgjqvynRfRrlACfWjlWYPQ/3gv7dOMzYkmT5sRF/UtUEkkWGmY+K4VkUk4YNioI8 +ktPjO6MHm09XXT3ETl7q3dYclJJnYtJSUpDA5tQ2Vih8gRRCEPSb3c43btvBzhSJoq7pgBOhc4xt +2KM978+YjNfb1Cj4ST4l5Mo+vbwgc230+KMvNpZAUflmmBB686yxDi8tFKZnklH3jn1gWe+LHC4m +2ZnynlU7Yhef453Z3zZNFAg43s9+H+R05goIpAjScxFlufHK9EUhRxNLTYNE2Ej6os+JNnKkhaIe +7gFlVWfHHLg7hXRMXuTA7LXSA1Q5DcAm0DN2oAI5Mo0Mmy6zVxfkDH3N9m1BBG80re7NGyJyV2pT +T70tPu9xhNJCpF2GCyigjO1tAHE6sxF4sSssztkFogq868j6urf9V3vxYFbx/2WVrVXmkccknneF +zdi3PnUNXUbN5PQFHHbvYg3kfQC1iaqhpFy5Lvp31tBUuXCaEzWLi1iWX+m4u+q+BxVoaiHKatxw +qii2EmL5J8CUaSJIqd5Qm7zBvxPlkmopw4RsAKwxBPuRm4BcCIYpyjc3NjC2msMU5nR1LBp2QKs2 +q2hKHHZq00YZ1dVZjzyphFbh3GgkHqd5xJ2AUhh+zyWO6cGffHRZ+jP+e2uttiLvFcRnR67iM0It +cUb05l9yiHHlpjE1iPQxGnmhC+JL69uIwJqWhTBmGg8QkM96pm9ZLbZq8GqAxyMYbHesPUzYbcME +PWstZS4md7ElSHu0q9R55pML+hLgGfaNJlzuMi4Malxt0XzwGFSYoT01wRXiJ40ABxhUe60InwbN +x/6hZ2J1OL993yY7hkMRyi/lZ22LjDzvleJQHSlq9NjPBxOLkrTbjmfYfoZiAEBpSSvlOqHyv1XM +EpEYhYhXYJS6B2xoiGh8GKoVne/cpMJ1IpW8t1frzv5o2nisd03kzmx9+Jjz6uytepB+QEUx+kYF +2ei8w35iTedHO8HZ4PxNeuJJgh80AGDKxYSRV+8JXw2+9oNpiXDIXfUX5shUpAddnDU1utw3BIVe ++n7u471QTRpU4pDftbKJAn6lEgh1VZfy2z52sWo6CIeblaT2IKMoSkjF5M2QNZ6912UVEDWrCyn7 +ZF7KU5CR/1zrQDLdKQnP/W2QAHAOmQlRA3xG/UwfEB1QFFT5V7hMaIJjYhWHuFiXNTq+peWjRQ3C +k421IVJbyId620tkVNQkqa3ltGTfzP9g9Mae8J9MHQkWwociG5O/cVR8JjUEJOB5a0qhFKRo3cZO +IX9aTNFBoIUVZxSPv9xIIFgVCEXwA724IlxcS1fIxSiXBCYYLez1YsfQZjbzXH4QAVnazlW3hxTe +k31OngIw8mqAZxFev4BWX0UFiUgfJF9R5CalRrHaFHQiC7XoU5q5QzHimPIY8nhw+uldRjF0AuJ6 +UuE/6DZ7bVgHmiMMxQ9rn6xDBqjf8h0GSxGw25+Oc6vN4ELjFdS7ZySy3UHL0dV9dG3CD4B/r5wj +C9fN2C7UMgZCqWV9wZObZmwixKNy4ruXiPDe+iehJGeqPAg6sfZQvmn3VoaZvUjRlfQmnq6Mlnr2 +Bu+ujycZ5IRXojUsOj8ByuoYygAgi3wC0HPueoapfmf7rxA10k86hYBsU4+2WACj8MLPLh4m4BDI +Oi7TSa77ITb1nUiWMSseFBeWG/USTqrs+ApndisP5NDxMdQp/6svlQMnKuSnkpN62j6/Eiu4zOYr +cqxsMvlfdTdDW+duTifHp2BDhouC/IbsW9x6nlZ6e5Aqt4uzfG1vsXgbCdcYln7gLwqt6C3rNgMo +wiTTiUABe/EgA07Vcn77UYYGHb+4QJaZlH0vAmCDYehkkwaqSNHWdBEUZDSp30H/ri4X583YKzg4 +rphpfLO3FkijFI/bk0DncQzj0+XXZd60Nf0f44ohR9zMTN4EooSN08lWHNCK25+EP+Y3hnvVdZQ9 +fiE30vdl2Hbbd9KJEBLZ1NGYoLKNphFWhlAlxztgEStGpI3H3fWnqXpv0QfWYAHfESgsLYgg2YO6 +TxojWNIkeTnJivZDEwHnkFmDMxPXJJLfTBO8P6XYIZ+KK1QoU+w+RkmwfVlp1NygCPPpVflz6sr/ +uYegMwF+lBePipdDUxF4+5AkWBO7ISDWjhmfChnbNBcU0IYMD4Te4TC/6EDzQsoxItxPaiussQFS +RyTEPA7brYcgxGa1P8PMzl+X3PaOI7kmFF3wa132djJTzXYegNtPgtu4zaAG85N+EJKLjup+KeAH +AQ5htC7Q1b4VBbJzpDXr+rB68UgW7QnVgK47GOh7o1mHLJXqOqK7Azuvlu7Dsg7y8ndyG2OKLTNm +2IyBrzaSoXwaqhib/QBAV7cK4V0x6HYYACKP8QsF0/LId0jNE4z3gHO+XQuEQVtZ4fEa+ziAG96m +s7sKM1yzKV0kiEZZmoPqZ690Sqi9q2inF1XmGbR092ZuLYY5xwWIPTqVnHcWNEHF+PDi8yHc1COf +h4aXviDxc3sCNaLtsMFYgjvUeEyyUTbHqlegv9YUz6q+RZEdVCTAWkAKtvFUZqvbfWw0KeLja4Ym +Tlx306Cs5S/aBaQQMOtQT5HLHtPJB6+cHNXnpzP/np8dXODBZXxuN+MJLYv6Ikbk6WWXYSjy3mo8 +WL1g+3sk6gNmPuSk8tb9+nmFWIH+zrCkNiPaaqEEvLetCwyf1RWg+oSoZIKGlPdIV0HkPpGWEfsL +EIskExIPHrQXqRPEpSX/TieqrKk9p/O78/G3luMO3UKMZIwY0OvcS+whfFGMi3ePsvRnPTOPWoyc +S+ZpWEp26CeNWVvsWTb/TKy0tSDmu7cWNHXzfXmIq4cHUhqT+c0tQbWgOgasSciJs2bQAaGyX4k1 +bEMAQeQXPSVPPqa3c6pOmtPrpKKrThjD0ae9xj7zHKcgbWHMSKGZfwTuvlgmk5jXtgDCFgceBRsB +Uaofj2vAaOoPHZHvm0WJ76NhrHzK5q5zySmQNwD1cx6WOup5mb1ZsVtS+cPZvookh/HUE01B035B +ZxV0g6+Nbqhh8EFFRazPT3qSUHrPdvD9hQuRt/ll+d3NtOjVxGOKyi5lZDMhml7XGISoG5e3SMrX +KLozHcI7GdHO9Cg3HrcYcTWwE/aEfZ9472HTzr//Mrb06Tbet1XjJ3DfQevP5skTb9pHvjrQEnTt +pfZ17TTm15lcYl5/FlN44fDh4r8uC2GqhAQX3GXFBrM+VN77aHn5liYeD94t0VDrxaI+6f8rf5zi +JiDkvGysYcDMxGKnfx+MctF9ZPrjkHjBpXoOrqWgTKWVUP2zpyPmQAuvsi/9FhiYYOKqDn9EGab2 +Wh5knIZwBfIL4bqxaaSLndk7pFM+VdKqJuvbdYRHFvGEdcCRYs1v9OnSOSDO4BFhS9/JAPQxHpgf +AheSRyPP1DdqjyT+9aDvcEgLb19eXKmGCO+jMBcr07iZUlm3Q1rTkAI9/CFk3dDWYsloK9r+SNJK +8cV3aTRWIMMyT7iBD2hZJdHgBHtT14WQ9WN8KV3ZY3LSXzKytaJ4t1E5u2qVXRm0KVt/aJdDv9IE +mRx1vlqDZBEns3vQmnEClnEtMNAcsDMRr7wNyC6mexyNpEd9TLfHTojRs6NPSzzsj1ZLK3Umkd8L +7NDJ81re7P76vEedmXl6aqeA+4dQp0Xj7i8nqM7T34AGHZg9jk2n//v52LguhqpdRWKkdnRDWHRK +Bret2EA58lIrgj/K9E3o/LsfSJJ6Bp0agGFxCGQY0dYz9GluhDUVsLSWfLdZLng+d2nc3yYCo1OO +rXKX/WXLM0VKwOHLRQNp5E0I33cumqusmqjK9pPXexMqd07cyT9z2Dg8tU35bPzUOVORncaNKzpW +AikEi0Fihs33ZObN8NhOuvyMB7soQPCDMPtpmazUTT1NUvYFdR1vDhejg18LeGgxJrOYCRrIIVmO +jB0QsUOjqjCaRiXP+f1ZC0TNXubeNd4DeqbH2mPfRSUFtw69YSfhKrx1HPUDt5We5Tq6xu7+ltwh +aM2dqUiKEueG2hxyFP2Po77rrPHV5O3iahTU4RhFTkkga6qtMVPEug8KejA5Ed+Zr0Nt1RKrLgCq +XzNCVeFa+N1LMxSlJB3jUJYPpvL5sC7aai7DxzWE8ocWeh5Of1PYYX0OOkzbnPyhdQgm2lsvrVl7 +nkxBpBOP4Q/q/RNYwY8G/oR1do+ZMzNIbgTKg4xQaIXBFs86bb4b31/kn/BtSd1iZROP6QgIgzlJ +O6Vy/Z78nWp/LnK7gdHYhJZ+3rXEFrnYP/7IiQ+rL6pL5Xmc77uVgM9hB67mKE/8CF3betoh08ED +KyoTQlrsQvfvk0tHd+dOKz8XIlDfhrQd1kqvFszkFZXe9FDAJa2FwnBK9RybzT0qpLtPkyJNuZ3L +rFch5ibI6P1pXUzk1NF4R29tuCM/KRSxyW+Dc/IZRCLVmrjBDnpi5sREubrVwiJeX5X6FV7iqq2s +EQ6tqzjYNv6JShmGoV2zKw+RtmsDugPaMFvqS/vAmR4lTw81lATPlKBKQZkxhfperK8yivQmwUXL +2ShUXFo8axWLPb+5+8TF9O9tbMHs+P4RBOQPKiNZWRri1EjAzsH2HYj2B5EE0EnYurCPgudJ5XAd +GLWvX9ehSUPiglR/tHtx4m9wVIges49e7HRLAFp3bZFezWinlJMZC+JFCEO+vyrxY1vi+xp5gyat +9DuePVP2f8pDJW24sKRKVNsj/L0cgau8zeh3/KwHYaHulqlyz45fOUzCkar3mRbCeP7WEDMcgHEv +PcbwcKEioEqvNdBCtZZ1Rv26KvE3/jrBAeOvPJJ/SHKDKirkIwHpzl+dFHI1E5cajn7LYNEIgTvN +xhd6im6KLu07NYRGO+UPUvZL6FfJ3KIYY8ofOF/VBCxkzcRv4RH7haMcUYG74I2J1YFTnsYvyeMX +o3mikt/Gp0JPpPPr4KYaex4pP3x7qw+TiIw7pyhAu6a6QZtTPEX30bXuuFzAId2K3MHTnpcXpPxz +6urdnOE/kVYt/o2Ct9dUkOgpBNrzSUvCP6l7AAtJolhnMWPLEfSTUO9D+g0QIxLi1+yfHeqj7sOH +Jp+eILb6TymAqSciao7D3/0p+xUqqg+gz3K/fanqJLYPnZuHYlNeAaAWjRJqhsL/fDzpGaUeJM1M +zGGGFXVGDKUmm8UXDKsCxHQ74fIZHIZszZacZaOp7A8aLlZ5r9xnPrD7l016oZbuqn9ekuzxUpE+ +G3CdxDvV3Lb7eNzvMYzVVhXxobn9u+naBSvjVUsRXohNRWtQJLy7SFolsPGANGA60NOnLqPExYiq +rYVTj3uQl//T3RVJS5vei0I1+7xpHxeJBf5RETasSHS2QahJ6npsSyFhD9xLZWmFwb8hzNCctoFQ +BMkt9Q7yzVJrHKRG7YunZkxh/i7Oeq300gzl6gzOeXj3FjhKBEm9Uwy0r2licvTxRA9TgYSLdD5F +aLFfQUmwaXGF+UjfbQ821Mpo03bNDRU9FInW8sD/VUrUsgV/O++5ye5JiZmfL/FyqCKgbNllGaV7 +S/lWYyXcbeQTAoiCCvoElmV3ys3RfqXWSYD7XeNIGjBGQctB9BOm9W4lJ2KynOyEM8ane9RlWnfw +UUOL7g3k7Aeg4ud6m3cVeDFsSmVwlgDUCbYx05LIc1yCE3vjzRf/kzJYCD+CpXBfLp1YCeEU8eA2 +qYqrOc4z8wBBosj4k59L4EfnmY9MAd+4ctq+7bFG2HxxFWtFzON9trMgFhWVq9hTxAsfHw3rra/x +Fwci4+bgtenSrhj6s9Ylb7v4+QBFGjZ4p38DqaezPBYv/Oi5abL+59ayqskFQh+TsC856omz+TZa +PtP8D52tUxubrVoKlM+gvEWsacW1uJxuEDyyMg5J+1MH3pbESKKvMhDyWFzJc/o4ZXvlYxB4CynZ +OUT42WyMn7YjGjQ4mzSee+CHLZEhc9jbhi8YBsrzU7TECR7/HwpYF4fHUjgWmMlOW1PtgjhJ2Gkg +ZYhP0fTCMrrsGdP4eeEUZk1G4KM1TJTtU3KrlMwuKTNyAUzxyqmEIXB/mFI0V4S5qPRDYmLNOgGf +QZB4ESctCnAwPsgxbn0MHKLJsRjyyDol4lJL4SDw5DxSb/fQGbN916PDsYp3div/N7ogm7SA+wax +GjcbF1KutEP8ChuQtcQ7MOMVgxKQ9OiPpq2XHy7t2zCbrP4vH9TsawAOTEyTcFpB6DxQDxfwJSKS +8WR/VFamAM2kBJshjEkeLMCV9R4iHTexXSEq7/dIJYexfGjKnmdfzJjMFcZYrsDUO8BG1ENwJ0VL +qyXcZ+Wv32NM3ue2KxfN5hL3xptbvtx+Q+9lzDFIbdfvhPzw7qDQy6dt+h0gDgUEa8HyzRNg8GvN +mh95KCTyoqGhb0WxJ7iWkMD9UtweUqfI03Emkbr1EMjV+ml/uJ4dxAGpEEsxyR9xGRysIACidP4r +UlhZvghAcMMv3HhFbVsERe2BDtzDT6uQfQ6J5Uf6ae/18FpzGtG43Zwfh5eosAlJDK3MHhNIu6Q1 +CGfvPvYbgnnOGRnnF7TI/dC2jaCaNSIhfT7a9K/j+8vwOaiCT/qGR2sKoy5WspfuDvgAv5l3suo4 +O1nrq5d3CEOqL0rE891rb/kbnfSix7s4wf4TxKUjIV8raHGuU0gN96P5ZyAag81Ec2VDJEeGAOE6 +IrXRucnrE6tJ/KtTbPklW+K7f4vZ2rzCSrZSHyMzb7Qnw3g4Owe7AWhrUUh1kquJIxwQlcAvRyXr +DbqQuRtLj9xeWZ4lfIWxSG7OHv0KoZDia3WJ4RAxqo3U+A94o6GDvf9l46z9s8wCg7MjtO/4Mke2 +LiK2frBhr3GCYIQkGomOujOeusVwGt0YK4qbH22wwaR5BOtxkt2yskHNn+HbYlJG89KGy2eIWuc1 +ozcOJIU2NsgsfgtPNTE2Srr9emTKs8oX+0ZLMI/JTMX06Z8xdQEb+YALaTg/CzPfBa8uA+FgoUwO +Ckaj3qifdTRlk+Pw8yqPnZO4z2qYnC7LDNofaiZsckRmt5tRehk5t7GRLJJ0RkihGaS5x65V8lbr +OUlIr6l4tVbANwgjW5hXiCAeFCSOI/LyiFR1mZ46WHLfknAXgLogcWPcicnphJsTGyPlp4YqKjSa +dw3fDo6d6KtHLyaV51jXlDTAU/K7+ljjrDZ25rxy+adc8Qk2+Y3Le+kyjRO+DzL3RRpZTgQEQmxf +M+D5NaCu9mSF5SraWhVXtm2FV5E1+OZTewNtR/wqGbG8439/jPZZp/ng4bGo7l/aYPRQUmdK7oqy +tpR4PAoirpqlBR50f3WiE7WrDceIleNv3nXRw0GNp0tW/TjKW8OTRi+Qho0/aIzF6358kALQSFhD +x4/rTcH54fGJ2pmXfs2qUfV5uYBmg8oF/KydYDOkkXFBKCw8saZcXOYBVtNUsD0lHRxJ2EDd0aUz +0PKR3OvCXigF2YAvj223sU93vdCE0UEFH6CLYPm/ppAIqiaCRg1/R4d8SI9dYdx2ZdqRJFl5SY7d +JtyEfHV3jEAVU/dBcg6cz0977QZ/Swzsncb7Pk+I++5T5WL3HPz/jKoxw9x3a/Arcu4MQsJMj1rx +4iBhu3snpflxDtb31OZyqiZ8aougNWjdiG6crTGNbUz8CW6vIeoKeEE/Sy24L0Cx/4l78GaLt+fu +AYo8cnFwITFEx7YL1KzxePLnS6cIIAAveACZ7tOaZ1Uq+7t9iDya/HFBwhKlBZJhtSEqB6VG20p7 +aNrBDvan/3mQWca7Nwk02+NwxOaYRssdxfN33IePa1XPHy6HlMX2F6LPE0ChNbCpZrRku+DSOctc +/fiLg4bwN06goRe4mMvO4I1n0OuP4r06CGv3173tS4m0bxl4yOf8JkApy4Jtm+v+Z4svltxDAwnZ +xscSbyiiZJTkLsctHBx5bkpIfU/DBq/jmJuQpkz3o11cDadejm8/uwVx8LOIETwcGrgLB99Y+zi9 +cafVOG6k6Xg5PabaPsrHG0n+ppL+nL6HFC/xlsgXMiJbLKCDqPcRWcfth29xH8SEgkiX3dH+Ujw3 +qJb4OCvDGkw6YBXQNv2E/CsLgiR7q9zVDn38+N7jALzR21Mmj0WRCeSk/rb6v/oXZzVK13APLZs/ +AuXG58WdSDC59aHe1TpM2NyAWdW83Ke7xwTbPVe8Bo/rJYp1u449c0OwEv5GfFJBTqDAe9EUX6cW +53vlATc6/bGTuhYB2VRpyAKluKQjGofhXcVjqyRJHMmMqJxOma5POnZxhQe79l3Xh0aCRGTHhN1x +7cvUzm1ExA8e/k4lmpoEpoOp/zLRw9u/k0hdY9SkAiQleh2AhMtgcCsJKXnDc9Jv7jgOi31UU9su +DUoCjXj0r1aHThQc8JT6LZtUescpQM8YeUPeRUuBUwunPzgmEb2mQSko3U8gtv7mdGf8KbGH7Itd +7MqcJvP4s7R2JPD2PBaOfkVzqWpIdqtVHO4gAvE4dkOprwpXRQnrdb7QOg/l5FhcjTFzyaNEh7O7 +HBVoKRt5JWaUnjGHUygrs8nwH+9pGwvGmIL+aqZ9Sv2MvV6hQo048wMMSWr8m0SyqLzxeqt3m1eT +6VkOqCscj83IRjIdcYVSmQI7weOViu19XhhEhlHxwPNi0q0xvzlrbkSE4FplXIOlrw/OaKw+xFUo +wLvxzNgQWzIfrEHGAtT5s6ikxfQrlBDOY7rIGfCg0IZqd53XIiiBUp2qZ7z2DftL19TCiCzEypi4 +nuRuNP2V99J50sef9dxmp8ctXgPrM75yxfv6+v0df6Nr3dMVxwEdr8HLNk0VShREdDlzAOs3kS9Y +2Khn3de7ebzseUaW7vAW1P7rdJ4DKkiARnMTxEgmmqla+nFOPVjRVcUfSrIiYQe6GTn4Kv+jaa8h +WbxrVz0asdHdWt8N/QiehLtr2g45Mk+uhfdhXHkTaF3PuwDqjh+CIb1SCmlOpRfYToE7rgzq29Iq +hdB3xPaC7zMFphtC9Z6bfPHyUR9LzBwfi7CMspYDsGq29ukQg0X8JHNTWC3X2BLNTBcWOL9iEYwd +QU7SYC0xrWY0nRc5U8qSyXbwjvZwvVHDRvV98tYFCpBEwJ1J8DAU4Tycfj5Mw7MMCqG9Vsg8xTEH +zBoIg2hHuD37/7gAoPtk7YlgG1FHqTdeq/EgeI8O3ZLNlK6yB3bTJShd/ct8xLxI5EDnoKt8KoxK +PDrHkgfYQOnrK+3KzqNgIkLZr73f1oSc/t9g3+JkoOksS+RizjwEp/PnDT0gGf9horJvctKOZ6A+ +IwPPE3JZW5hi1extYbBN+0zMSyzTNXoXMV1LtWq90nVciMD4dvZ+sX8dvxJU28Hq6RX4ZgXVHPzo +YkfVTap2jv+lZ2PeFswoXdZRKkq+K1eEpg2+oV/LyLlh7d8Yo53Z1HrhQ7WeMxCO7PaTzGaQlB7b +0gjbO57FZlU2uWCciu820oD0IMc9IYiOt2BDhsHanb4xfJD+AKHseqZzuH+3Z72v8Mp5Y6YnKqsC +FFkT8+C6VfCdpB+Lf7syofRl9rKePip92akwGOIcQreNh21yPsHVyni9PG+gM9Tq5Pj6WQX95ZY4 +o55uImxNmxtRP41q8qIy2rx+/d23m3QuotrfDRrZFtrpDW8uDxyoOoNmDtBOnqQaAsk3q/U/B9Wd +u+4k2UdY4Z22Xbvd+aUMUGSnSRpjQ0IT2QHS5ljUtpbn0L/tvHms64Dv1yHu0nJI97yxyG7XyvOP +cxSIJ+Bpi2DMxBsWRyNv1AVC3Hqeb4KSaEIh+OtcW+FPjyrO9W+gtwYigECSx38bn6hig1JJZjx2 +2B0TFHx5qf6LNfGiCu/JkR3HV5eyfH2KCQFehyCNt9EoyElBkQp+AZNTCwiCmpKKUaSSv+lsOiD7 +fxaQ83TrZ4Yb6qOkO8zNaHFLsOaFPSE4+w/D9GBbcOd/wH7G5l4dj5KbANONqPjfwDF/ahJr7utM +gpkRP0Z9kNESN/IuTrqr4apjbXDP4YNsBPOca/bjhBJqCJPaZg7BXM0/KlLEdWV5fOcoXcQnoAFb +mIE4c6YKntOCQKMQkYYHPfJvoJCZYXQP/S440jQ3hijjM3QwKQ4inYnQqzq16BnlJDyg1eTeS/Z5 +kMVPIWDG0q07ph0vjyeHSypeSVh4yh5bgeo7nFUxy3HZNvqG+3JFKDdagZEVJnlPBsaYGqEf5sXN +O8am0xOO8xgAGwdn1VFoEvctVfkbFR+2KkoszfIaP8tVuojLCFG8oK8nIAzo+yMsoljLEyuzdK98 +9SPVMjPjLfQnhHoOUghRBs8VifDIrwnQpH2+yl+RqRIlVDrUco9T0w2VYNLOW8YeFTOUXKCmfdHs +t9zRP9LYFU7S6aiRGJ3ZqWSl6uGhE2jkozPf7jWg/N4lsS1W1SV/BhwP5t7QPdBnAs+44S8GwUEQ +StJMbh+AFt4KeB4EwtFoQGI9cBRkTz9k8uojQOIOAH+uKFp4h4BsJFE08rmeqboNadYGZJ/Cjwy+ +SSqbkVjvIG6v3+hMZ6OP7m6LaHxUvkecHLUOxyc/SNT46ZmtY8t3ck06XHG9QeWYJmR6VmiuRlTk +Y6ASUVQufmyvOUEqbT/8B11LGZ7xHFIXML4q/WCxXPgAc7GKLpFm0ygk6V1S6zLgLmLJLTlUOeic +cIo+oOHMdDQI5z9ruq4tHO+WU/Hk/Z9IMLOx4cNvnDvQ8fiE9AvmRv2OtbKdQdUKQxJ2DzOwiTM9 ++eWwhG0Z8Li/qzQCoNJ7XPUVVALHX+KNCSOkGaRkoLZDqGR2gWTor/KZyv5rrgSxVBjmwJak7Rpf +p6AXbiqr03EsjYsdpUFvTgqmQHH2VI1+5t6aHcyvvAPoZbkct8iKeIDKIOCJTqQsxkg+WJ/g+6ky +xLnHPOGof5FpiQWKxFZAR7ad9LCU/tLmnIOySX7dgPoDKCBo774iQWJ48DS+y5DgDGKiG5DDuGlb +8cn/bA2ekALDO92PrRvJXwaJds/GY9FcANrq5fvs74Avw4uswiwpKCyuONZnCBo12sDq9SFX8BDw +IlO+wYa5toC3EmBtNGjaNyZeFKCqcZvUVlwBh9LSOrxiNVO3O0k5DTY4xk8qLWkmIzMN5hLFyEdE +xuOlmzFXolXyvg4uhOyjvKPm5MrHCIRFe8NiC1bm+VJJIBdtfpReCWL8UpJUf469WO1jihg2iJZo +F58OQlXdhMhX3kBWY7TMY3G6EpY5HkS9dS2aPSU73LVVQYyMNFE37uBk6UEBJezcfKMFvSWrXMY1 +dRj1+mdVaea4ybfbVlye/+Tml+fBEgux6f7Fc5kAVRCeLCXChCXmnbmOixJlbAr/l1sntAdz0mFX +Q6HhJ6MbBz3tKwJEl2NzOOStNAD3D4spEXUiGU3tfjv7/zwNPEYMuduK6Pd9Ma4RfoEegbp46wz2 +tCoD/lpDG5EV+lqZRfom6LY9bH7O0d1F1ZujrJ28Ga8JMQumJaX9HMqQcsCqem12gEoLQP1hC9/w +yZygp7T26hZ7lxE6ZRcG7Dh5V7CWZ3c7lkKEoewKVmm98WuJ+T8afo1zs723HAGKcMMB+fWzANmr +IGIoHA5pQWUGS6Cw5gHnl2lkuTPIfhmlnu1YT9EfyR1s7kX5KXRL0Yc/dJT6MhR5RqyXhLExZW+p +uL0YudKc082VrT9hgpwX/1PQR7Qz/R4Vp/Lwwon+evu7V9A9eGjQNlen9zNd6B8kyzl9aQfEvioe +3PiuxrifuFKvtfcGIfhCzh+ufCmDaukwoxlL6YfIvEHz7q0vhsH1iv53zsu9hLywWIZPrwQJdlZ3 +juYxmeSDSAs+Mt6x0SEFncQDF40IkZvmL8o0aezGNpAI4h04UldljBUdrH3M1NaT0Gs2MDscaIsZ +QI4dEczYnR2Os1Ga+CZnC/PU/plEtlPMpL2oTysI1kvQV1+xApRYFPhom1EpZ3dDYxgsLaTTbomG +CS/tPpzcSrVTjDsINlULqQjhD1MsTq3TWnkgyxP6br2wKG9OTKZM1pKajPAj2RP/cYfqKQ5enpa7 +b2gKq4owSPNBSOFL1vfqsbqUav0ofuoLqcbr/GNHnI26gvZ6gGW0JTMiwXeNCy6qDJuGFJwsn5de +RC/j4bkV/ZxBAICYSJdX96zV+Ja8fbZtrXa37YDgmccLxvlkNusKNT3RVwSpAHS3o44WtMhTD6/X +Np/CbjhJ7k9YwEoQPvWthuPoLLB2Bi/wPaTYbXDwwXZj+ydP7a1oQ7zhDd5OEdyYnOo0eoLxa4mG +pYMuwPtrljDkUpWNaS50A6czOmeJjeHfKl59TEqeFqA9OITLuIFFurb6JLFJE4vpmuQBo5OhxfvQ +eGBggGgtUCXA/q0gV2ZGW+vSYiJQF3bLsXngTDjjs0HTkQB01qXxpTY2icg8asAqI6jrYGlK3p8A +oVcAT/RVyY1lFBzt+D66rzqnI6OqWCMl/XprkjODHmCY8crtTbsTfnVLIoXC20j7DqFQMrrj4P2A +QgRfgBsE08n/M83umAcxmf99jn4c7OoqbNu7glD17hhBKOYji7y/yIFoUefQfsKqCiJWsNBhM/5w +O6OjqVRJNWLXXpDCsx9dkyLvFnuBTYu5N2PvUp6umMhikCu33+CIblxFLL0/aai8tBR9hUdVKn35 +k9Qk6i69NuKJybkytmf02BYdHUAL52X+nVRogouXt/kJCKaT6LKwdPkLhgOwQMGIUVu/DG4O0FKu +vFMaYJ55dY+ZPs2TBaCXu8tLEB3pXvnvLxbRUFt+GWKNnidtMlUIemoidBPxuYaL4qtngRQ/hk/r +4JyizeL0R3kQ2VRPOv0CKyKrpoOC/MTt/2hfIqzQnm5wm6zSWhgJPGoqr9EqYubSjOuIo1Eua/Gy +YRCc2TkYr5LHdNMY1hlT7JMrC5suqJbdW6jn4CoVLPJJJhf0HybKnZXPwlCLBzoyhNjRJDCXubBR +1aaxMTBdKdciHQ+Ozaio6jITnHIMBe6q5TQdyfCjt44nr4sdumoJkKC6K9z44f4iCapHeL+26yqO +qGZu56MAS3Hhf5TFMTboaadVKuGkvsX3RqCB489Xh4OCAl6IWJQ8NsDpLNAR6YE65wVtNMlovWjt +76ToOJ2VacBUcAiB8qDbXAc6E7HF0ntfQI0QARU/sJn/nmDftdPc+vqNQia6j6ntjF8XYG16LbZD +aQyS4Bd3Ii2UHAjZb5p3uLLW+b0d7yLg4vO64kLQyNPXH0jrrazvkU7CReXtzzx6oBBePgw2H43K +8ryzGt+Z8kUffI9fKkwSvsMcW/RGn7N6++gQKqbWZxpRKG2NrzOAOJM0NXgaOy4hP4bc7y5KIfgv +NlDqp53xWPdz7R5C/jSx5lGfCxbJcScJRO/nS9dCCj0OKbC3jLQ8eGvY3jnz/FytD6F0HRI53/6y +mxyHJEzhGn9g8uC703/cFJta3BZfM8P5kT8tHXZHWz3m24l/xSRAVYNrYZ3ZT+JZDgAdX2PUn+SI +Njn59gJgmo7TiQ1XyyNkkHqMeGQqK4bSOuFtIlVcB0M9b1lhVMYJtfITjMndfhP9rPvHROog3K/w +jbcE5yckYJK9z3ZOXvg+OSJ+PfCxW+6lnaUf9K6GqnU3VNoKsTWv2+v2P6OwmKNlsURqJMA3K1Dy +G8sQCEnWEUBwSVuK+zA/zwzZuXyG5fUaB/R/YjaTHfltB63/+jyT7aKKrUFuWKGBmFhl4jS9Fg7A +dyaQoaHumpbZjxIKq0u1DZlN3h1bdkicBt/w672RJFo5AQvhLeqPBKNc/N+qtv9Cwxgat6LJHusK +czoJac/DAqGrSib8fdwRe6EOqPxGo++HDHM3MdGCMoF5CMt1LXUtvMw/z1E9OcWh8qxBbwSASG6u +0n2rQqx1XYlkR4knEgaGODZzcfjiwpT+v3r+lO6ShT4xwfwQ+Q/PhWsPMVq0LASfTWE6DSLLqviZ +HQ4SuaPhFtclxqNGCw32MFdhpbLT3sKUyWnCu8QB/3zfRQC8rHkGgZAgB6ZzsFMrBTITJG4G1+hd +jqFWMJaVaMNLiRUs2hfIKb9SyElz8buk266zMiZ2qKb5wndhnUa1zaNKKOCwnhzacTLAVb/eCDic +DtNYa87P7hD6gjGJCCE+34R+H4LNx70/YePTSX4Z8wSHrwP+b87Mh0jFKp0qZDk/4s/zF8pHq3XK +Epdosi2fNwRYCP84KFcbPS8c6g9EnVRUQgHBqwikLs7+uAx/0HsVrAIaoT73Q7VGYJwZhP3aA54/ +8/R7Vp9hTsy8UChCPX1T2p7iINsAry8ATaDdolkdv1Kk3jP2N1/UGDPP67sUIXtoWj0IlP9sTYMM +GjpiqES1a5vBxIR2jFxs11DHGpg1IFo9bwKvq37xhF4b57vtPJ/tui/W4BRPTHoWXylBxCw35wN8 +jIVP6gye1JujyWmOlT827W6Y/pj+PIl+zstHTFagYligkXj4FOrrtm8jL1ln6/E/fkSDR75SAdXh +s+ReoYceFs5xTzguIJs/Qzcg5saHqtnasNhdI/rlBAu0LQ89wWc9ePVjdlCbUYAQwETELJimW4WU +QvVUrya6MahL+VulCMhTjcv/9UdlkSOWPurewNLCWmPYpRsoFa3LsPrB5AzBZqtXgUAKfJ3Ggasq +2mRU4BR8X5tk5v2JGyCzTY5o+6Fy3pQqA5MhAeKMlEogfw89NRgl1fv2KFOQ9cW9VOQ/CtFSw+G8 +0KJmCNikH7tYhcEM902caW0XedXB9/02V8nViSZyjt3WCtzLv+9uhbOeVzTYKWHKDCYPQAJK4gZ3 +ZOAJZjr9ZG2tOrPzqBqE+IkQWqwJsg6WIUIdukE/LeJnculditOw9X8TsaTIwn3OHW3HtgeHHj1b +S3CpNgtpS+nxKU20ocAvKmW8R2gTbxk05Wwk6egU/3CvTaGNvCEGE+BbaX7CbZUzCpPzWgLkNFoJ +fSRD9HufcW1OATTRaNI7a1p05+/mthdJkKEl+rtWd22cUbfn10EAmgt6FixIUwWKJblwwFNbizuG +djuO9T46pQHFwdG7veMGhQioh72bvfzfypnRODOPjyiiAyyhL6YA7pQqzYpilkpDXVVdd1kdHXME +iTWzbUfeOb3CT2cwDIK7r9/keEY3XrkV+HL9WwJGB3TRtGe8oVBgZpXm9dCs/3N4URbbd1g2aY05 +SpvWW8Ug+eJDUduDxY7KmmWCv8XE0KYms3gOkF5mpWywqNwYTZXZ8fOWaXCMwQ3/Hp5AtoHK+t4i ++mrUMMhueblYHm3umGRyNuyYsFgKMLKHb3/DHlqUgexQeLQmWHfXSjsa1GM/4Y9PaW7vINeqAKKx +hYbKqU5TCnwoS3WjuKordFzDEI9Z6ws87nqPoZ1L/rpjlirL5n8b4fXQf+mNeO2JLzPSsosln474 ++hJVCAUBa7txYXqR1lv/QDT69hMI7/qv/6pZZ4gjS0PVgWXFTOu5bTmk80h4UalWV6unOH78QIPL +P7u+cycNsCueqT2SqrHbsg0SzhgBlT4c87pzH/6phjuwhvi0xf6xgVIbzV2KUH+qpmglGaUBJDxX +b6RUZzZNvjb4enrA3UvKynRyiADtuR/vOAunjaXN4ee6EXhnxQ55hYQ4pzCD/sfkKLaBdUY1Uyqu +XsSeVRy8IawEAT7lzrzPYRsCLkxaAm/eYnj3t8z+sj7NbazeXV7qqndrGqgOtYnSgDtCsYxP7k/Y +HLuflcZ1rC2c1lE34Z+pOXOQURA4sHTOocStuC/5aXOI7ZFWRc32+I0DUxQkQ0P6coUUZ0Q+wKQx +zvdy7Fx6GzqlIzZPwmyLMOJUjfHmGlT1sxEv7ZUJF/1RyKiUtcgIya/fhq3rLBTR6tKAVwMiocGk +gsUHGkJ81HR4cg3qSF31VMppHXs/3zav46TANMjxLqgguUmi6oV8No6MAUqItFF5efe25rdJdV8t +dHIBDoojcM7HTinw/wYUsFOsAM4iAqVXnRle9xOm0Ul0hBipMLJ6D+zt+ciHSDpSXyzgXXxOVL5t +1iW4PNmb/w1Ho4TAQkbxIxpriko0dTl+elYT+KPFSvwqWdVfGjohq31/jk6blCWGCDxhBvsULdMv +PsQ7O6v4hwGs8RP5lL0kABGEjnIkgW1K7ATsb6q6EOi1rGqpQNg08INhPDf4AnKCanYXu3ZimM1p +RKdZkma7riiBonKKpJLoxf6grm8lJ1eC7eGNrhifqDQomg9Rj55TyJ89t9B4Y0vfkLKOBUQSlqAD +J1ltNb2WSpusIXo0WtvYSLboxXx/LDQC7D6pYkVnfAfXdi0NHVJC0Fi8fZL3bxT/TAOT9A2Qg9Yq +d9M8G2fEcsMA9ZaB88X43UWHnZpr3MzttzM2Mtf1HY3QtvWHhDBP20Cu/m3AivEWKLcTxBvptKEt +Sv2pVfQs8XQNx2PIp7VAnU3ymshFPrppad60X+zri0H3D3UPdjRNtelBvnVXbM6EwqL250dlRHsn +RZ09byTQErAkvDUsDxaSGiZOlUdGTRULluZaaIXee174YFip8EV4WZno5uAOkE3svn3/XBG5qqcj +7l9QHKjyAeYGrQ6w500ff83pnZ37RaOG0IyzFL1R51tcM5U8akibMOORwgYQal1pUts+xuArRQpU +OyJYW6l/c21qmVa2QVqaOb5Ri5GTCSe0D8nZD12gt/ujv2nRCVNLKOIc5mjcmE5RBIhAsei1hU9j +0imdTWTZY9PGlvLzzcVaUISdIWdvcJg65yjvxLChwkEtfk9Q6Rvp04U1Xp4OxeNOOdIYad/cXq7x +AMbVoh+de6JamSTPC+cQnqpIKCSnZIrbwGbrp+3bfMpR0zjc89QzuB7QAXS5OZsAkG/AqM8IrHl+ +XL3enW4L2nNGt4jTfa+VC87TKXu+L7V/L4P3lXgEZBRpshU18CiTSEokvEn8Frr+DyLXyzfEbdep +4FyINUNk+pWDIdEwNnzDuyJY+LvGtCsrfISV4+EjUMG++QZhrOYBtyJfG9WpNUVX5IM0RdhD0/at +QW9HSFEQ4AIs80W07Qd4gEuXiPudFx6IbiyDnmh8+N9y+zN6GfZM31/LDJvi+n65KuitbDuEgyxz +ryI8DIrgqbkPzTiepV4g68wC8RT7pSQeeLNONG1HEHBCIQ0RIOjDIGkWj8vwTSLTJRG/ys/MTEe0 +hc3SEu16W5wsMjvRGCQaJzL4rRL5T3hdbp5XNo8uSVF8KOy7sEo8rWYLvLgX44zOdBZ0/OmKb2Ed +642dRwY6GUPGphvX4/c/+gPH+pQKaBOnp60comOmjyn/6AEDegXtXJPtugnMX48kYH6ntCaOf9Jn +Wli1gsFF5dXQ7J5GxH7du/qLU3nAqFKgwRpgq8SWG6mnpiNk3tc0/HJbIL0G07DhewOxKQjzkTrl +j3PoPQB7vdgA+5ZmwFMwZeqveM8tjtlkyCpPuffhM1813bKR8GYgbRLEDcjGts+QqcGR+RDgyvBb ++opX9tsrBB6zGLZu5qUi6XwYWKOKOBiW11hzMHnPww7H6PdbG1vUutdWZva9Dyy2EA/w1nuRlANo +Pm0U8ROg3UFq5pOUB5U2kbXqyb+saifZILKYk0biZnbh2/JkIj9dMNv8NBteJBHmfwGD9wdG0YCw +w4Ts21JVfNOLEVUB9q2O44LiNb+tqvhH+Ge56bV2FEFmMmJw9ExFl8+e2vl8c0hVpj97Yk1d0Ily +DRTLNtrz1p22VcBM90liH9kdoYOsjoLJFlAQeGesmuWBh8oW/mRxDqVT0bBZyIF446KnU1DPpvK3 +gJCLgIgNLy0N6DirjbuD+nvjRRUVhj5deDFQnIsf7GRMvxS/oMTJud6PtEpH8MO5HIVJpVOFc0Aj +lzbwmOdLnuqb0nfRgL88uIxlNySFGiVYFUIzqoWqJl8uFOG9kNjvgAUvrZDSlQsKcRwhAnsN7uiz +km3nnSfsVLUQEmld9xnh0GK4PblxmIMfmtYrSB2+UV79oqPaHl/ZS0WYiWIuth+41VSdb61aRfIF +xUYzPfWwJ2/pcrHKiZXXe+J1y+jQMmnb8rQJpQb6j4zCgu3TZBW9bjLzNUZSAkLDQy7hmVlZRAkY +pt/imJEQpGE/AX6IkxMw+oS4FJAdLqRlTYY6QuVAHASNGID9OH68Kd0eGk2swxhdGGRqbnAojGUa +QuE5soKxdUiZvhznOZOnVjuyoa7kjeZy/r9HvDfxa8kgkofHu2EYw6KCATu0WRGl7O53MtdCVUZL +e7wK7Afsdl1hGpqcf5hJCAHNfuNqvB24AAWBLZGJUG2AQCJ7GlfzysJIR5o3uZUtkzbu33bnac6C +9YxOECGNFPkm8R2MUSCDJPPJgATekLIALzBzVqiK5JOMoPlG2JRv8R0m0/CW+PoY6stiVrhmL0UW +PTijfkhWCMbCBDdY1hjNxSK5S4A3J5BrR8NugEN/stl/B9l2RNiCBXYyCXOM+QUD5IZwnEUR7E7q +JL5EjLclk/0o5MoZX7vuauRMYwVGCTwM81mCJ4We7DpX4WE47UVHMkUsNR1eCJxz3MDbCHdYdAJk +NyCh/g+XNsj8cpxYfsUwK5/47lIh8R83XAVzU/TU57JHE2uxhc7FKUOwle25ESZ85PSMFWkXxImT +JjloIqs9OzSIMvK0OQYY3UV/R2yWvUQrTxxE+e2LIa+4Scb5AX0hTl3XbPSeSl5yzTEqKLS9GAk1 +1ldXAQN2AaXAeHJRr/jHCOykzCCkkwozw91xM79usj3cpE8yEnrTjnxwIwPfsk+cg2RvdGM2LL8K +X1ADXLnk8sNodkzV4wPgq6LiyDXNsXyYRkh5i6YQ/cC/p5gqXuoxVFniVYvtp+T/sX9w+Js3Ac/+ +S1147UX0nbzRB1rRlf7Pcy0S8t+Vvedaj+KtNFKmAAKSf88woLvBLZmI2+/ZpQbxejRwIkt9+iAD +du/5J3jFNQWH3jzUf/3HR25Z1bI0hf1O2X+AvYvXwhhRgX1g8+8c57QG86UZ0k0UhJxMPXvbv0p5 +2ZWxkjQM6xDxC86c5/0B4IYMhuwAU2UWXvxkcyFpUef0emhWAwrMgRQC3sYjO3w604/fyvZ+KSBz +brPrIomqtTIXujy+18rozytEuK4m1PjIlvf8Fn1jUVgeaMTLqRjR/UWiJDGv2aFKyB+9IQmJTo0/ +AOw6I/p83Ak+ryAxOSiEqozpimxZGVo0s6c5VQnF7q+dKI1P5bn2b7k2AIXnIlCzD+Sml0i78YBb +jiZ7iiLQEabFWkSkYsnk2md5RFRGfrndirua+U5NsBptvSfk678cfA3ZAEfumn7W9n+AUXt9xD3H +MkqkF5Yyi0i+OvhhM6aanqVj2bati6UviJU5cKrVOaW7Ba9G+0Tp/3E4/uEoI6zWY+IK1XWyxUzh +KzZ0YoAX7W4Iuw6pkH5oZiXD1oa2NQ+bIr+s5LEFhK7jIpzEvhd7tZDrDlWFix0j6yx0TLF2aBZc +U/LYutMW1c7UUfkY5S82EJAq5OrCVSvC2ngxyKWuN8w+QYOst55TJcGCMav9DgJeqlf1lA1HyEoc +F0zphah7aCNP6eI/WWsOiv3k3vuMLAqAZUUepFWwmnSIdOcXUsV4/MrViFWQtSY4CYvzT/9CB81G +0e8/WYk1dFvII6RwNq7kLDvgX9gQUeQzHhCpQru5/vZP91Qj7A2eH206RVPppFv7XRthG4tGMW6t +cQHKeWfwYBjo8wTCdnqfsF+f/ai/VcsCWXPFoyLU9B0lida1d0AI0VkBSH7DbyY96rrDi0Dts2Ye +u9Uzdm5AU8XYqwDTbO7gk8DChiLqSVYCliuiiMKTHS46rtT5WaOIutj9mTnpy4PY1EFRrKDNLaaA +4y2G9+Cm4KLxbG2X+8/D8EYlLge/FQ/JuzjWxv8/UYvzK2cylZ8KhBoY5aNWVGQtXCVFQ9Efhlgp +4Wsz9TpWqiieKVvwG/37zL6ehy70gP5BMzM9yl8i+otzBeOTKhlmmvKM6XtEcfQJ6kn2qkxL3F8h +azFWWd7Ql0x4SCV2VF9kXHeOsbZvSx2W2yvrMk8naa1y+B70Oj8ykGjGgF8NZLvr86fIPUXRiJaW +vUaD+dYj3sTnt7Rdx/GgBaFwEZ7bFoDteHn48N6DgRwx0m4G+7cI6hnnvE8DvNJyYoYWzxPq/QB+ +lfAd52kHy35icTRtlWGkBWnvYMKhaivXHS7hFt/kRlMHnXP6Fz64OnMYQ1zDFsStE1eGI34EUxvn +dbZGyJdhydmw1lehULxolhzNYRtBTHyR5wVUm6LgGxnn83Xn/4aNjoTdJmjjxCjZIqyY/N+ltsSe +h/8wwjxORdBbBrHzMTh600rXuXghoOgU9PdaTgP9tsPGIHbnsC2bQlOHvksPgnzDRAvWSU5gF2os +EicfudPMBRrV3u3n04VfOXxsMXo1+B6VOg35TzgjRvAAnD+tf1tKUtCWkOWTY4CLxCD78XPQ4Gm0 +7dzxwl8Lsu16Xyvd7kuyihmpNX79cFYCf3rSGz5qN5JMtlb77+opLwbbUrCmRBd6wt5XQ3wEVvlL +ODIHI85I/Am8/aN20ncxjBVw76py4Wql2/gSNzjV5Go2DmmiBqpiT0JfLvxBs1Kxv1N6YOxmGIWA +ZD5NeL8ehSd8UcuGz+b2wXBaWRPLP+79tlH2mEkixvSFRN/g0lCvzG75npr+rPWAZTa2In48oPtT +JC6OY8JIeuesZVa2O95BFMKFZ8G2FPxMdl5cSXd/74jbIHJHDf6wHM6ObKUoQympCPrscAHJaF+8 +iooLh8JqdO8Ho2AmUC4svdWSQRJvzY0NbxeYm80T4oWuxeMsJa730skgLoBJlpMjgfk58jC4VZUW +ntvkl/SM5bCDVGBNUSxkaRY5OXIWE1qUNdUyYKLn/ECPYN79NEyKtpHExUnl7OUn89op+0Rgtkxi +3aGHXjsuX8vkwMtqwGXjSDeGcJghO2sfSH0q+0xsEqporSZqdRSZ+qcwgd4h6BZQ82E5zxSJanUB +fek2TJekgoH6mKy2mA8eKOLTgSrKoC2lcTw3w2IwtNjAu2THfCoJjao7+DdEUdj0BWMj4dwqELCj +86YYsBat7QSY+b5o4yEMDk9+6MaBbASKfcK3uKQAX73XNVTWfiurxnrZiN6cbawN4HgSEDo9wQqV +OCeNRta7W79pBrSsBtqyaNU35moPccKh5Vfkv0ralLxhtMbcv7YgB7XCwXHLOqrY1R6N701zEmlZ +4sbju/QpSoKgoGkCiKJfaj5RaTT95vx5DAbWT7rDkc9U17v/vswn35NR0bubI9uaR3JY8da3yyjx +EuLSZ5uUPAQk/9VzFMn4Fn/Eq/FDJGBPJAfNvWfLtng0By/i2MTdg2rd3vUpcoZoTc3npMm19zr8 +k8ij8uAxe7xoBejypQor08MshgMhK4++NE3Vr+Xzi+Hm0RDG4gEVHm9/NdUgSkqJ1wXUtmoquJg0 +XGyUDp2zVkCzv1xCmnx/0cGGA7SZu0t+TVPsKGRKslLZ0+kzI2SafoMeOhd2V/K6XVoWEsa7pGWy +yybJFS+4G1duj/38w8RtvbLR7eMzEs0oVv9XuvS16f0PgvjNmxnpRTfFyts/UEK/4Gwu4x06D+oP +oOl/R4VVxamuka3N980AMcs5I7N6MjG1ZFPw9VF2GIexVhq/Fd9bnzkn4+uZOyE98M/uwviz9VOz +JFUr+L8cqGaPgforM5qdKSPhPQJtnMrFHl/6t/KRRvSRx/rH4vyvQZUuFkHH7pfuEZq1GSVTL40V +K1kP/Xq0Xm+Ws2CTRf0ZxKDRdGAXVaA/4IT1QXx3R+TjJXnPIzcN9TN/74bgDC4ED4vYPfDaCtAj +9wflZKOIXSoKKK6Ed+5RDSVzwd420Js3vaU7M9ATEyQNecM6onpY9pxG0TYbnwoG1lPYG+Uo8rn0 +ezU6FYcwrc/AvarnvEOYdkgwmLoqoZlHmeC7shV1uaU6lOoebrZ4ec+5iXJ/eUQCpB+u5sCvJCju +DUTy236CNgWhKSPTh3/uQENjIAfDyJ3y/x6hE7UUjzfmorZN+/qKeFUeBVY8Eiu25spClfte5BXL +zRuY1w57grcHv0BzErYOJH/Kzm4sYYVcVb5/AzTiC37ylTgtKgAMuFEwQ1RPcJFg0yHciAjAB9OB +3j6ORVvGD7Kg9PNdWgUcgza7RT72WwlrleSGcNAN9C/BsOuN3uybf3UyWUP7yaNzXwKsX3tmSYMt +q1CDZgJ1D0IqtFXyF40r/nPsBbJ/cIvUyYbC4Jyvv2tiYzTuw0/zxKa/8Db44VQteQEgITN3Jw4e +kdIgKbduS4kcqoFqGkdsJQyyOY1+mBzr5qaEtJYPDQQu88Dery321laD68lfTislb+FywXu9o15a +O6rThN/hY5Hl4vQF+zT2XL2h7+9NcdVJCor5DgS4uqbDWr5cEuQAabqWWHSHwPeAWkAAPrUkSGkj +5uTP3SNGmXnGeKCYwUU+GAYtR0X1B0FHSjagL4HMSz75X6pc13wu1HCWe9s8D05c4ErYLdQP+io1 +pSmAzgTvp5RacD73yyUqHkCU1Zivwu8YhKy04L8R5dH8MqVPYnqFxwKiJ4xM0iSstbr95RnUz/wq +FtAZ9gWDSx0HFiyfOoexwQGGyWHDUaGJYPrhgUN3Pf4Ua24GZfu7Www4aDB80t3UBzV/D7X3+UDt +YSIYV86zLY6SaGMmqkrl8OLhN8zxcva2UseI/qILcZB1AcSgE0QazJjpik/PF36VawrkuC8mZN05 +lRuqKRrjA5QJja0uUd5hU2DSuG+nUMoRHinwtcYUkCzNxbJKpi1VXlxo1+upbfAq7/JfxBvhWQxb +EKaHT2Scr+23lzGyHJzuSfHOP1B1TNpvvM3vATsumfxp+clx7MNjdL7ClnaJg8ujtgq/ssAwS5DW +ljWHT4oQQtx9nyphg/NXM6FzKKTbmdqYYPBN4gqxxfOKEvh3eZAZ6uw9CyQTQQh/I7quWqFX38Ns +fmqf1FbLov8sRnfYzGPyaTgJYNfdLuR9C9UIsftIWT6fn60ytg3tX90ofE/47AFDJY3K5YULbZYx +YGVwrkwfLvK4P7+FIZxmyeEjDkuyf6D2TJyIcU7xcO4vao+bdzyCRswDPdtF0nolv/LieKWtBaiq +CJtcJodoonnegqwWyle49uUAsednO8z0/jvKkN0NLFKAJ/EEQ3AenPK8OmBonj3Rs+A8c6yqZ+6V +to/+kfNvYSldPjFtuMe5JgIiNX7Vl69yGUr9kFqA2b0cu1FBkrn/pxQeSDzabUOZBWX/jhVzVoAt +VyeIPLqOHo52GxmOae1xRtAj3t3yJm67O+VB/Z4mv8MAxlZKg9i+b9qSVYlA5YmHZdSIbMK+f0Qv +lfkf3VxxXfC8x+XU05HlDdaCEIpB/cuCs4x0adGufN92re86cs2C60NzynLnynuNPjA4ngDhBtRe +MycCfMD+5rA18eno6d1+lkPuisRcjc73o0PaV7ZzhsZMEGyH6DDlyxQPznRu4P0T5k4XXW7vokVe +Ibx22pXIbAzA57qb91MPFhT4ypi735VotTMpXW7qrq4ZCgYm3wnR+jyMRD+SLeQn0I+6cmK8o4+q +NrPqFQ/ZiYhuvcvOF19afwbobCsb5Gip/k5ufGt5Nuv82vGlATjNBMiT50ObpULq00W8dTCZowYi +KALDGRMdrgzkznWOY7HxxPdOnzDkK+0+28i8Wmo7IyUy7PHecADchvPwigA2teXYI8PyETuwcPnZ +Ye2nvD1Tsdj98iWlaJBIvyU2x/Jr3jQnYj5/XloeglhCXQDVfg5Ay/4t2Jx63xOb+vdjJH2/aZRr +wwj/0yytNoynQfZS2f3jW017GOZ+Zmm/9h6sErs/vcURxfhPTW2KNDnOe0jkQTnaTv0VW62BpwFE +67ONodKA4w6jtlD/kPhEOMY5srGr91yz3t3orkWmSTn3vd89dtXNdEQx9ks1wS8qicwI93+SuYX/ +6JJsvVNG6zcaCrboR8k9VB2CjqyDEpNStz1mhRrnVtH117kuiCCPnXKP4N9KdF2q5yJfzfh9C4m/ +LrEBbtwZQFJyP6Qn6URG6QFBiJKwNWD3YRgYlYtg5mhQ3a9dX/TRRfY+cWDH20hT0Bd+BCIKNZA5 +XB2/6yejXj6ik2gZFJY+aqU3nEuC4bYJAE6uRNhP/3Y9pt8Ay7koxtTd1DvexRGs338YXNOdu+DH +gB/OGKjirlIO1FQ5VKqrB8fxfldMitmKuNrs99eCW3T6+pZxZVyYRjJpwsbHwDpPqpvLAjXISskR +z+9wJOxmwc6O3PFWP6bHbuy79KJkdx4PawgwXxnhUSH/g8P8hES1kqIC6FgP0qX5WpAZm7Mn9vqD +nO9eCk9SIrpDHHtxSYohzs0WuaEFkc7WMUi5GTadoLnujriE53U3j80gZDAK+4R9pTZ+B2ZYUQVe +8pTDOVTp35Mt2HPNAu82mmfx2i0C55J7BOaC863dEtVbVZt4CGvQoV4UWnjIDeNtw11Eev19kmxZ +1ZvOQii9l2owUZrfzQkDPRkBPLYXi5ZGiparFLs4Tb6Ba61QSSnLe2NfWnQsYTrudrO64ZhScH6w +tPtlfd8+8A/ACq80Da6+B+U2/emXRF3de/TyGEuxbw5mmlLSAZn4UpWsFtZsI+4eszL/T5AEGPwA +WbQCgK/bU0YYEX0RTBR9uQ32/pK/rbHgh4JeSZS/Vqh8oyiQ0dTcHmhGLoQhSL5SzVB88dZVKRN8 +q6ToO1jmNwPqpf0QBFvzWTPH2rMne3VnEwuDQMHY/13yNSdNuU6Kd6AoK4j7N5FsfDHrk2UBnYkw +68td+Dw1FnAVc7hV1opHz2yEEgK6FAM+i0ycU9lXmS5Zbv6MA00RLEkDwwvF6g80l1cOLYnJfJ9H +vQ5cdtQIQ+f2c/0ZEJj0I2uqoSEzeh5idt4NLpmiTdy+GqxK43KqehaY8sZwg/tPgLco7wgZ3tq8 +8G+MF/6qvUBX5zCJ6639yKsJginW8yk2Z0fDHPuMUFKGzxtCZDYrUgfyjvheO+icoazkm8QGLCFt +gJk5v8cL2Z/Yq2m42pO5pjv+e7SNLg+TYTWXGvavkRJAbAcX3Ca6QTuPc/bUyWsJJTDNpz/XIt4y +eLqKEJb0abqgXEGN3YdW9YPQBQ4C6ykYL6HCXZZWcrdbYBSWtGNF5OvqzthnxL1cN67ZUvi1uRE2 +1aJdjgo1YEHh3VLrYft+r1BdehKs41TzstTBIKLegy/m9IIsqMRffoK9lWwZ045+YEToEQX/v+44 +aQuJXOZJtQ6pz79puZl5YYfSouEMO8xoLiapjRT6PZFTsHTNLNGZUPo98QBqXU51VFcDnd1du6ma +BSraILbV/x1cwnWIFZRHB7pxKLHpaUJjB301LKntOmSOFJyBIWofYEkdHLi7SQJWaL8+z8moHkqT +TQwuP8CRSqWivAqMylJLKO1+I66y3A/kHCw+ciR+v1AjY8KmU0K7Vr87EIWQCAsE1v/NLLLHuzI5 +s7W3Xwor3lR57b9+AgVIrvbkNOaeNsWxeIKmeg0Zbphj2TLG7zeeq0et139MXPVJYlgRiHIs8C+D +06lKa7lIAxBBB9e/XG1hrtoOO+g3PVuSk3KAyYg1ErzOLEIBc+7QmhNZn4WYoq/pw3TdMplpaHYz +k9AbiS+WFRcvlFYBkh8njtjwrOzBmN5ClatTkyno4VSk58ZoLCGN4yZitZ1HLm9HNnbywPHQXL+p +by6QaBMXkw4eU/MXkzOCX0bPHWRX7/phwA3r6owL+HOwtgdL2o6Wkoe/GVgp1bPgk+vm/DGTUHBd +XNu4JD/x2GRPuN3HnAaijjsG33cmzb/FL9HYbHFIQuqf3Xg+nlxUMP/yKZ1WNkImERSd8v9+PEjZ +iRcf+3dSvxLAuGvGqJpcS3FngC4QLtoiuBlf5DyD3HvIVPswkQ4G0QHt4G9bviDUXL83GqkVjwgE +P/eVXrvoDxiQbSudthErRT+ANRAjeNxFfIdV6rxOFchGkNQWO6jOUhgqC5HoORbVWkIITSfAUV3w +XAk0QJcSiVm9x5041+17YT38zwxm64iOgPJ/L0UF2421CD7n8FW2LojUl5xLJ9MttMVytfix9FJC +DOK3vAM27fzN2a1BEXsL86n7+2Wm/iTKF/ENMK7oqwpnHGeqoUX1clr7dWNVqLhV73ZKOx65Kgc3 +tkCe4QWiwdDdAVgUBSQ+mNeU8mNewpxB8m8RGLmhGCi9MssTXel2DpH9kS/xYjlx9R77+aU0Tg5Y +mFMs9jyYybMr3VB7O0qpUzv/wdAbIivNFF9k3qeA52OohapvPIhv8GggiK5+vTqirJiQ/k3t0oyu +49tnctqfLw0PNasxEBEWJd+w5xF+CfxVR03lh6eunJlf7BpvCucAYosbrBw78Ql8NoAzFa7TYxBg +KWwJ7YTcdJTZxMITZAi8f53I4k2gpdDOlCiYNsutxS9+zuyfKaEJWjoiZAdMQmlMAVEGQuMQppo5 +4fmy/Q3No49VSSXx9ToSE3D4xFw7GBV0H6qKqNUb9clV5MzC4f7U25SP78uEjxf507Ae7eIMkHFB +2IrXG9S+QqK++usICEeBczoFAE8UWGBCf8yrX8EQkOAWvSw+cAgFqIVSi7v4nowBMybusdIrhjua +txOtj4vOqqcXLhyXKJlAXtjXl12VEdbdkb8TKaeZIdidLY+2sxgWE37FIZbobUTAo2ojkzNEKhMl +2tsUU51ngLxwZhCyjYAWVQfRveBeQr/+istz1VE2yiT9y8iSC3VvrERfoIQ/A7cOc5jW2MPItwYm +AnNQAI0epOyqCui4X0DJxjLf4o96PC/DD6HGn5cQ69lXdsMmS1Blw8ztgeyYiGjVxgCr1Rmxg8qw +xeWq4qWl4GpbdWVC+WTot1+PtfHApBuBLq75sPyQIgQPVlC+xTcSv0SolRYIxGwvNAcyK1vZ3uLC +2XJL4gg6gd4+vBhEGFhG8iPlG3EojnG173RmJv6i5E9KNYX8oWnkRLI5kmqLxCNklRkgvjiEtkyf +ifgkrfKE0mtDd1AnIxrrZ/fKHkB95rqHuHh2vIopcHuNX0g7bQWtP7jUlpvkTHCVBP6UcFWCJUe3 +Bcb5XB1+4aWsgOJS5HpLni0jyo6djYgTKCAybeXyxLPS7/pWAndbHKGqzipMxmX5iionadN8O/ve +8TtxsBGgK7O1rMb2FVAZuEKnnLsEGtf1bzyd9DV5fILL7IcFClY0pZ8fP2MVqQRxmrnt562VYOKH +wDI643gpJQG9SOrjyAOn6vLemRlYA5CmU6TVJimJtgpsC1R08qW4frzotfAModDgCXKBQJPdSAvv +eD8592+ODozhjHxKcBZ3XMLgqARJiSQEaYI+1oVNb+DIX1MOoFNukdR/fEaErN7I3UWJzetgYO/Y +WKDQxkUUmgTOvGCK64gQ5Ut/GdC4VrIkmN+BIXQ0gPYdfEQLxoriZCGNsmqxMxRm6d5fLIGa3PHF +p+dqlq+jQ3JE8T5smdWENG5+yEq+vrPoVUaFNGKsa8vu453A4ukV8EpDJUsqUt7/QoFOJWDQCwbz +ev9aNWKEhrcJVxe+KKrpzXEGECxIy7LfIbUo+RLxlNFZVeQfjZnPMepsz3m5C31+tKCDlFFbMdVU +I+wX3e0Kh9U1JCi+AH72euUc+01HnwfS7iJFipSp3h7jlvsyy0uSlLN2ZIV/DkK9wxc9X6xc7rHD +C1rLxvJpuwE01mj3v3JtBoQZ6/N/NRn899f9qRJU9MjRQ0/T4RsobqioHpfeQrsCYf8jOUex5Z0R +i62F6UzClKXf51UYk5fFnftlxLjvEmSXByjQP8GLEZYY56wpzMxWPR9PVBHrrr9sPHYguLD28Rf+ +4csNH+Tmapp8fGgpdCLpvYBqcZHp/2BdIS5dkQ2ImBqmMbLUwy6b3uBW+LmnsAMxGhzBV4apB+zn +VEog/lWExbsPGCLkd9OzSyKZpO2+Z8qvomPOHhU3wJryKSmPuO2ywFZmYM6GPH/vWbXOtPe5NW20 +883x4m41wev7qEX7yNmaEwkRPBAwpm8lApfF1muIAwNMrMXrKRMVAuNqjpLV6Npmq4W0zGUXDlyB +CUPtFqTaWvB1yRrQr2WDugE7UEU6pj+K6sZ5rqk/qJZL+ThNSXpSycI+3aHgoyKIMfzKFfApYb/B +p9y2Cf6E2JTLA9f5WFc4B9XhfsWBZ+bxk1nuEEAsk9qH1pSQNeTSwTdlBkcl89+2kPvIlEpEMbS+ +NHFNwDT8pIRjClaJ0qSrAVfUSpo3FHyQoEFgVL1OGZvpI889lhKg8a0VkIpMgx1LUnJ7BQm45Lgw +tgUBwz4mraDx+wc1jhpUnakir2I6yhNO98/qLL4VApB8xpdYCN3qjqSXVh2dTt7N9JlKrCvsCNI9 +Y1IYXqRfF4RB1KyQuvjTJIsZ3wbj8JQcjBeA4Eg+qSmEXowPNdvtvlU8NjhHqm/p5Tf75TA8Y1pA +fchFPsYWAlWQMB/EjjO3b6b7ObMwaooWjKViDEmd+vbtyOAm71NGf5V0eDB+wkR6kvoZyN0usa19 +9kv34a6yuQ0VVDfsmYsemAXqFRZUrT3KN6xXPxHKQZDtC77+wrcLOGrYTwjuzQS67359LP+9tZMy +cPixWhtUZ5mWAQV3MJl2uV8tCcV7+rkLYDr407XW7s6s3j8goapQ+xxHriRmvqBaUOESZjao1rfb +omqy9DSC5N7LBj0c4dwHGrNbPLn7pvapSUbu8eprXFQIVo8oQPwPHseqTChuNERx55Vo4TX8UmNd +MYAKIH93lYZ50yUkmw70AF+/WeOviL66L3un1OhfFFsiMCZAlmWPZ7O+QMzpHesfpwGkpVr9HfSW +pkVGsDAMv/aWf6TRShF0Ma0p9LsAm7pEgdUROqfV5IqFK8BtdiY7GqxJm7zBfhw/SxoDdJd0Vcx2 +frEFEepyF2+QVlQy1ozIQzMF95z1/0QVSqI4kYsir6ud/Sebd/+QJMftBWhnEwljvFgElfmQKf4v +DXxCBU123SVjtcjHdAcbM2DjML6iGWPWJSLP6LlY5DgOUF4OajGY/j8Zuv069QVbFcEiRMGCbgcy +jwc4bhFdxJKKpx3SGj3yW8j5v6Dxd+f78c31KRKEcxJkCxQ1MK9FmKxMsDvNst/qJwGo5UeCZ3r7 +tr8xmVOcs/AvjD20dRpRbYsncD3VyEuVjHHySuV99pZUMDut+86P/Um69ywH0Liyo7rhChMZBAWx +sRW+FM76uNnI0f7X7s3cQH6Z9d586SokhGJmDT52Bs8x/diJ1mZ9vNpbmnk+unckQN8Rq4zVYV0K +fLTH1291ioM31TuYufcNz1vu52go9rSGQ4Q1vTvZRtwVH5XxgjwhX6VASWooBXKsgNZMg3VnMAzP +gpU3Yagn5mRU07FHCBqFlFPCxKn+eqRz7HQ2OPmwNYOa43O+DFJTYd7xPIlCYYyv0UvagURcQu1A +sfWWH0qu1wq/G2aIZO5p14H1mamSgHgpOVKc0FYZ1Djj9ShbibqTzFdNaj8ekicPDfnR0DCgCTkB +DFW70Z/Sio6Ga4V2BE0GwaV0E0tIhn1moKE3yM55PWPRRI3NBjmtFp0tkwpoOpHieNgIcsADuw9m +f7NApCad94PDNGBk7kn87NTRo1ok70ah/J+tzxm7JkI5/MfQdikoUl7lIS5V3EZhJbOr89E9ot5P +g+Poky9zL6unq1TIXEOSZoxavOur7Wqtm9L+XK3jiuhEQc/ykDPReqSEnoyTkD1ENHkfTrJ+HaMh +a0YPNaBZzMFrMdK89chLoxTggVaFE7InPrIvgq1xLcIX95Tb3CDZjpYZdNNJ18GilJzXTc74vz3i +94mzFg8VrCbk/CDKqYfbui7c1Xk8WD8DYr7UCNoQLhf2JSajocG5iDHXGCejLwVxAPwrqfQHxMaY +0y7sn5XwMT/1R1ys11Rn+P/VK5AuOtLoxvzs31JrqmwoEIBvxs3zABQVCElMgeC4qvSRjXNjHA4H +jADSuxm5EMDpZzs0yyHACLoe8scpjkLKygyUhoFWUFD7lYpCiR4sh6zYP22qWh0MObXmAwMGtfvu +hOOdkK1C+DPBn7TRTrtEQ5M1PFMj/QLasMjPwVycJzcqY1Pymd9lOZK1VV4Jqhpazj6LRqQ51eaK +aNsEO1f6R8jR8IDTFcUiZGQy90Yf/dVLNR64RQgSrIbKtpGoDUMDH2pkZwH8rTGvU6m/rPWeC8Yz +6hD6qfzULjV6pZQjKEx9MQlhqgsIpnEsteXCys4YrumGaXYFGWkRAC27dfK62ycYQSyOmEzlbBXS +A7dFrtkSOOqbaLPIre63n6O/XIoJM+ESJKV3hctnfMmFo81K+cpV/LD7pUl4Bh3xtFp4AzAh6sTY +KaR5LE1sRL1C2hfYfzmXWrSPC0G/7T0ljAT1qTiMkijErcrqZ7EG8g8FCqO4I6E9yMyU34SqXK1R +D+y0BAyR2mDQh6dmGDD7T6BY6j1eHgYU7ACCkoMX/RToOH6s8DpEnlpzFX1EtSBQV+kWU/MLmBve +F7lJDwF6noxqh8ieUidMmh0ez+W3Kdxw5cUt7I9ZnJg62f54aK1duFAMyEmPjAckUjFmBIJIthef +4XMVAOCUEqUVVBe5x0/N052pKdIJy4VhvOZ3LDIJwVlADUJAWc0pkHGMmrZEd72Xop/g0rrCrGSa +H4Hlz9hOpXn9F7smD/nTYVksW99qPYtjAxwGPkxDd1q3L/sMIFa4j3KHhPKZwFROgZZuQRQtRO9h +7CwM0Af9FQJ8+2AF6Yky4DJgmiRBdZw3iCd/TzdszCXyYij4IyJSOa+NZ0bnd9CQ8AXr9h9lHxeZ +gA2vCaq8RGkSlpJ2I/oKIBSkKf4bW9WQ89VdTDHRgiyz8Vgg0UvzAIUIr8ZIO7kgeldOYMt/qgGp +XWDYD9XNDpgZbbtigwwSJ0n215dPQrgmjBPfgWvNN6H5ykrjVa+jVitiyXUVgT+PRC9uvRyhsJvm +OvCldTS/Fsq0zUUtF5+l7k/+ciytDUYPoTLR19eZ3zT3uyzzGawaeF7C2PnQ9c5u2bXVrCzHvLzA +TmR/SZP2isSMyOMhmz8TwB55zxQohjvehvwn4Gle8NiousMQ9edRvc7Ay0Oepm2ddIRsZmjr/AG9 +4UBFZBltaM3tnhTa0hLLPcpm0ieEdIWpfE231mB52xYjlBwZIG2yMNOkdBfrb8y0B4I1Ood8Jf/x +9O5GtxkbkzsKAMUwpKQZKibQmW9Caan9EujLKn3pN2yhWZxHVpHyY2xMZTayX9qGu0XXzOGpzwVW +Y4Gk5Kz6SIdCT543br6NulOwC/uLqT7p15LrlkvlDE8bhheGOCZ2IV3oXGDjuk5jGRdCggUZn8ZS +zr6Xgll0IjLe+mHhCu4Ob/ZLRVYLoVGmcZDxkkqsOSbjswozRejLGQouipW18kqAHoFWr1uTVxxv +nnCiQ2yyc3cc0qh244B3hIWubMampSOzzFHcWrRxekDGy43dyR9bqRDIr5pALUxrOUhH89Z7c8Fa +MyZYrmJ1kfdx5IccWBpHcLxMva+wJ5d0l/sUybfNCOoV9CDrRD2Aqf1A1yEyELcsYC1KyYXa0Ef5 +RSpuQbXIvPpNVEuJiXN6Z+5SCmHLUnVtkvQSdHtKUo140lkSREOlsExfjS2jRaeVRBePbm8hQgj/ +xWMYl9zTxktvMqf/UC8q0acpD68O/e/+C9oU09C9gh6AWrLN07WYTRrBiwzQSL5O1wBpQCf3WvGH +gPI2/FDMBoGvsY+hw09D44OnmrxpwRtEZ5DNmNh2h6JQw1Oc029bVf1N5WjdNjC/PWMqZ8qJEz3C +FQDuNA/0BYL0q1VfokNigibFN/QT+miRTOhtj1BYmX/F+LIaCaMtKz7prMp8ryrPvrLqnYaQKd0Y +ndVV3GGxyn6BMH3/oUi+rQP28tCLW8lDb75AfyMMu5yV51pPXLCLJrTyMzoionPnQjk+Tz4z3Ah9 +eyEs0CePde4BpN2Vf5wFBmM3DMqtIezLjbigw/iX1lMatYgGD2z4prUhqyh2E8SgEg6THeixRKqx +7rfYBSJszY4yWiji1Mnva0KLc13EeFEz60WL70N1SC+FKdDW6WG3OsRu98F98Pu0NKDMvE6HnHpE +xWsgdyCKOzerTIqjNqXn8yF6RAkstPIvyow0wWZvQVDAFZ784lRLEkoCQ/hEip+yKLCw7XGZvyli +IA3oF9IuBGO/CCJlEl85Js3H7qDe/U2svmX9s4VKbqjShaLVsBSBOkT1rxW+46QGnnD5kuKtz1Ad ++umuCr/wo/zEBp5HnwCdvdArAWtUX7fJhHs7Fr2yFeon33fDlBWnADJnDid1yHy/kcn0P9Npejim +pPqo68HIwi7PpCj1VediLcP5l0LZKodkuKqtZkN3jt5LoaFIyNrs887xngjU3fdTGedMO1mkyg2s +Fi8zjrxsTNW2+gaBnwCnfrdIyDZymsO/K8d8jHpngnMigGf2wJrB2rj28LJwA+UzwmaScCAjjKca +uM2nzceO8THkDvTp5ITiOMtC8C+uirIE/aCFvAo19AICO6uJiZZPfcO0ZszqUIEIPDPy2jKZM6TD ++45OhrIzo19u7SsOHBN1d5e9uUsaTb4OCPg8rBTXeUPM98QMw9KghcxQ5UDw/ZL2hUZuK0JDz6ZW +54zfUDFJvmACr93jBxFvd/5h0ER2o+ZWk1v9szv1daM6rI5r6LWyMKyGuDSzSZtjgGAHOSfXooFQ +QTE5ixdFa5gpSTCBNr8nz1Oe14E3759dugvtgmKPbYh2mihvtlcIOuBh/KS7CUgK5e4JklKqat9j +/VVnoQ5R0a8LnVKf0eXKWrv1iy8bTlRDnu4+Ju9Qq8l/OCUrM6tBtcM2rqh/QkM44+fQhfNbA1Ds +eXEAPGFmyVGJDm6N63v6ipU9Wks/qDQhC/RBf+t6U6syaRm9PA0MfM8Ctdyo8qhTeIfyuOjLexeP +2gAUqTcAvfOWubtShu98EJNWJ7yYYlyhMPE3Zj3mbIEYokZh5M04oVMpYEbizsSad66EuJnR0H5e +wS0ug7HdRP4qGtsu/t5BdHDLz5mghQaK5Io3OrvnfrsbuNQqYCgSA9x4AcDmdsZ9Q09LtbKrpuEL +lMrA8OJHQGJ8mam9TNZvGixobhCfaNdfWLH24H6PuFKNgfS4QBgGgZk+Gd0Bv4zn/mDn3lo82q6I +L/611zYZyN8B5q0ZFSC8vfCso8bTMv5ivUw5hB/pW8E8hSct9/eKy1Owcpzuu8EHCiEKW7ugg5st +L6/4lMaSZsJ0AzzBmeoqaltmAc437Cyc9WQBYuOH0fmuxdAk+ZMJaiJEvYjpU6wWQzAhnF+ny/Ox +qv4m8HUQyhD7A6+9XTrGYD9oH7HEKgmFIRvKj3/D2xGkHusYrC6STwSK/S+Hyzm5tB8iueN6jQpS +/LkW1Ny9rN5NmsxQ+ItdwumEZflCIg7xtUso3HPGQmSt1UcfsFnFU4YRvCb9F9S7Dx4XE46iWtTi +roEd4fRd/Gd4ULZ/pxEBrSC6NMl/7MU+Mon9AB8ZmeC61VO5rUE+jZgsj6eWlNZU//lf1q/uJMes +AYMIOLvlrrhi3cAEWvBHUV6Ycx8MTMnKXmC1AJDPSkgoMaGmRsdBHPJSYtGqJdV7BNkdyfNHgnOI +28hHpPplZgwl4Oa996HzvwZcmXeumGuF0VevXUgxB85s+pMHWLzocaVYCVhaTEcSPcpEqLMHdnlQ +l+LpYXe2X5f008z/5vLBGwqmEKt3Lj7D6MvzIJrYWU3ZUJoJavaEigiCSCsC8a7geAN4XZcYczYV +p8PthV473LQ+dpEfYGzcDp9c/sMY2mX/W4MsSJLEvwOyyEOdUOrbde6sU3/QokMbHw42xKFpTPLF +19bX0O2AbiA7R/ze6GBVnNYhA+VoVXxT4qByRmk9rw1i4WBL+p8MJ8hyxw4ZFLgxs4VsLICwxO+N +9nxTPZD5kKWfBHXbY1JJjkaaV42f5xz9hDmF5arpOveOC81aO5Oj5PQwVVDRFkoTZjmt/wK5Fin8 +h11uMVQYFxRw02Chm+gSjOWyHCy7eRQdmEBL0EbqbmbE6Hgb8ohhB1BWMOn57BgXB+BYCLkGnpKz +BD31ck+RuDVX/sXHgnKhzrpho2mBVqUmf4KoIbV7QA0sRgM2Mqu8zmfoUAEpml6rzBkcY5bRLZQ9 +QEsCScaQLg33AZwyNs+Yi10NYTmv1UezHbkbVcNzYsozQ5qSGZnZUWrIXUodgSDEuVlct1IaziDZ +GweaLHg5j77dIhiZeKzLJc7z8ut9ABIjWrbN+tLbEuuUgKmhTOzoXZVVG3ckFIKB5r746iVN085V +S/VQDk6TBwWsL3ksavoGiMsW6e34MrN3WB18ppSU1og9ong2Exzxw54HZcCgR/XqNXFuE7Jy7/q2 +LeuhiefjBVJaaHMvp0AX6rlM8MlGXzl31oukjgC3l13FER+soRqolfk9IDBKlqiAifndDSTl3Ci9 +ZzKn7E6h10GduN6far21LhOh6cPS7u4l/K0unuVsBTOAU69Azu5ynsWARS9ghnaZ1xkQTMT72nMG +0iKwz6iSdBI778zTq2tO1wa1t5CY//VWIe3Km30Y3M89NTFsOKRP8g4xJusIrvxc4QwZeOgkO0+4 +JV5yTGNsYr5mzq2KfjAio3hS/2ywSsrBe6F/5Wm4SpY9pbFqdR6Jvts/zRKOyLtY7qp2fgrt3Kv3 +uoMQU8PvpWfQidkKYtu/ecL46fw14tZ1HZGVEMJn9GF97zvfBTKymeC5GT9PbxEHFHTYhYAXCxE2 +H+A+++wy86RDUXbsf4Zs3TVkRqE4Hs5MtcHjPPlxTtDukq9S16oumoSF8SxN4ZAjn6blEuQk2dgW +Bdan2oxvLT39EeDIglEM4YA9/2Yn+FP2ie98Ih/nWPOzefTJvWZ5M0X4OPhrKfsbXOrQI8Uyy/UZ +qs+GPIK3mCA/ZMkGTM1s6LqjA21xJZKyXBNrBDa+5dBjJ76uJselTqVjDxhQqf6oewFitgnD8fcu +E7EUUvrX4oIgi7nL0ZKJ41ZEBDdR4zoyEToofr+W9SRe4LjZiIUmo6TZX3kRGgIWFmpivws1xYJo +OLE5QaQkT0twHFIA9Mu3HfKFLIYmePrQqUWUWQ3jYcDhDUfAKp1dVA2zlrDudzHSeULeql2yIVC+ +9mXxOw/MX5k8sRIL5GqT1AXQCSWvh+KIDWxlmJnc+ho7+9cn1y7Fb2YTaJFtnQJmOrSfPkgkmFTo +WplLo+TMrN7Mrb129vYupG+bhS1dvjjd4XAY+puQm6vVYfWPgeN55BHOfqvNVXm2ti2uV+BTAI6H +n1Y7nMUfiZgx4j+cDzZkjLk3ZBHxOQQDcH62AztUTDiKLjNXXcZGt3gXueWZHQruHvOjrONSJrIb +EoExlL/51jdGXrz11yEKGbsZiHqoGGh2NShJhmmSl5u47RrfCV7Wg8bclCmZml1SJNaBa7+HSBqq +Wxel2ihN5QvKFz8qkwgtkU6TrOpkLn7vR4Ym64AdnSv7SK33PEqb6UCVr87vP1ZnK8aThLxp0XaL +O8ewrOSFMouY4u0vaNmXWjm+e5gz8gdLkDYj5/TzbQJSguQ7zifU0yTKtOVRggSwPoZgQfV02h4h +sGttXaQS1cON+mVBlyr/bMO0D2Edgli3U7TuNAq1zYjhCCqJNKqMxUjlxJnqdkLnE0KOMRmu4yer +7MXxp0ZWc/Vso5K3cneziEilM6o0VBuP4sKr1q7Ac9HiG1+TgUqLK43eRVmhnLYPWLo4FUPWwPo3 +rW+ZIR6joa9siqSCtTtitullaZUhgVw0b5uacrkBgQrXcbwF5TQKB1Zxivyj3OEn2StamJxDPcIc +c0SMu92TCXXtcdX/Sozl5kucSwtAIFNtyswzaSsQfIRRmKhq71TFKvea6IeSVtfxJ06YMzKQ7hOq +O2vi1yVVudEHcAk7BuICtOXija2c+/Q2DwHvFB0j+dFCNKG+tWES5PZzVT0vKXrSero98bwWhBvn +vTxa/dec06Nygy60Tay9G0OdDCeW4BKXuGf84x5JD4dSkjq3HdzjSAczTZaB9XmJo9jr7FphzV1H +d6PBp9zDAMfqzNpQ7R//G2EWcThLWzVezI1eDdkN6w6/Ls1nlN5D2uEYyJiqSK73VwUz/ZMTdDvc +QgQJWzOjSFqjZVln8DBbY3O6AvfEYScZanhqdx9WS4pETst5bZmpLMmMf/AJo58yxk0WmwIp6jpc +eXfc/VaoIsI4zg0XKLxZsD5AtzlzzC6nlDfJBOkQzY7fXb2sq6aTSZ0jtEz1riMiomvlmoUA5Wx1 +YJh6WCW1p/1vsOYbZ+KWybptHADFnZohdy42JSf0rT0iA+f6cyy5z2KUL+NdATeeNTaEIGqNQ+Xa +ss37GQY+9WAt8TBWOJHnkUhf6NxGIBW1Li87dtlwWjDDvJTkhAfRPfQstCWZAocBXspJA9BVCCqI +r6LWhJV1aVhSPaNZlMisYKgxPgcI7j6mkJ1ki2iQAxh+5SEnqAlaGG4MF800clERKaSAWci4Jh3x +C1E690k0w/QHoC7KWBsZdO2dqEJSBj9bcOvVJBn11UNioezESdSCArN1JeFVgESvNa22qUZw3D/J +PfWy52oni/wnhkqEAkS8lFqtgShJq9oFf/kqSuV4tuLtRy+Ul7zU0M3n6nQ/BflLPiC9nAKA6bR+ ++S1VJtN484P6Rn2JlSRJissonNIul1q54EgKxgUZOibE4NoMYgOr3fCtvhKsYFJIf7Zk96g25NPs +vzRFK1hNnBeHYR6HmHAOoDc/2SYXCzQCUaN6xXeG2Cqznsfg5eGKSNdfRdyO6MbqwuQiWsTkLPS+ +2jewdzJ9eRGH8i2LXWXyCcaEWxGKb183Q+P/EvhOrSV5W5pFnnn65XON7nEr0klznRvxO1BXu5V8 +T4uXGsJ/LZiinEdPXsdWhhvi4LSZw9htEliWr74TxQbml+8n2X/IvwGRpX1L2f1tAolF6OR61P8b +/H4L8ryJCMCfbZRQ+876lk69srPQVcF9cUj276ViUYEgCVJ9dHfMB+OJTgK7X51nuE3YP3gHNfmO +j7rXf+kScHnrKqXA9zY5nbYQLlLuXdcdC2ti8vKU3ZUV6RUaCWrtMgS1/EMc2mDMxtmgbF4oXlaa +q5g+NbkOr8DOM8KNbXC62PAys/nAKKFyleTMPZTM+LQR6zpLcjJSO+304+RLFnwwkz3ThlPjcINL +h1duzAruj71+oI/S/tjp7R0aQLD8IEt4geY+iIQdw53AQQqkb2xjJekRyOq5NYDmBjjo9gugb5iA +V7nuEGiFFB+k976uhA2UW1L4jc4EVj/iUXovtot5j1XX7dRa5wHwVxSViEpPpBxObnamWIil/XMm +HJHA4bwU6YNdgsgR//8tOLJSJoJbW8Q/OkOSv6YXlm2/V048e9JC8SNBlKmqCuhgfj8qWonj/51a +awK6Jl5QbNsr5Relsq7V26vi8MH2z4pCoeJ8J+ye0OnxSUebPXN+d/TXKVN347WrL3pgzb3xsUgL +PY/ALAzjIXMhzfTv1A8Z2P8paQUcT0vS+PA+lTD7Aak78s+1LXdZ/OSUk9bhYUYqrZSI+D2fFivw ++BaO2Hq+YlWi/MM5DdHKv+gX6nbBmr3amAIfKlRn79rMcqtFD+CSKdu2I+Dge5WEMcxpz4RIVFXS +RJXjkav/puUHxo0gvKWlkMnFV/YI8lIfutanBZxwVGnGxTLmiaol/XOO3zqm/X4GF23fBjNqvIJK +g1zq1v5Er9sXEtCFw0KZce3wjMeCDKvQOV27qGIPCspYgXdcISjvmmPQ311MGKeznMgVFk0tuGxr +FIszAO6FI5fQWw/diZjzQZ4DUQAESEXfTZvms+V0/o2/MvxkmHUuhleevd+vYBNcVR+eMF/kyJWS +jFQcITkTAbUnQ2oNvkseMiumOz57ZY6/4zSGJ4LJXlUjSTMd90sEGoG5Br1EcMEN+weninLizp9X +Q+lHwSTwBYrQL+NCcHK/aKSyYfKdwM3GOuw1OREwZgeCDdLm3PHVJdb0U7MYFWVutgdXxGVI+33m +Q3iW/SHLveSBCHpEpdXxcf9CU33v3CktWpw3q9hbbanRNWOHvSLQMrvl05wkNqo4Lzb8A1M7/b9i +Ub0/SDcSE5/BOJgzMTy3UKdtR74/qR4Ak+p2GNmHGYwX4Wfvi2omigO/9xTzNSPeSDxi3TqtLfSf +VOLFYBkGGsmx8hyCaKJWVICayqnpa/nP89Kd10cMn58TyEG6EPrvSo0Ke2x6+6sW6fG92aK/o3lx +lmG29UMd/Nt0Xv/+jc9ZX3cuD/+pc84HuSLfbt3kpAEAQYBVyCkDPhT+r2OZZGACoVYxsChMBesY +a6VPyWbPyw0uWVwGAXDSfga9mmqnNFg9UcVyoUaB3EkuqRoeaXeFD82QWnr9FdBKcZqk+2DuA+bq +MVuXco572ax6vRNmQBI+DijW7Z401d5LMtjAlNB9pnHThbI4+HzpL369KlPVKtLjzj6QVOq0kFLt +MdsnY4zau7vLMLjgL5NNRwioaHX/gm5OqpgbVABptXfsfAqF+lJfXUlOMudotQ25OtXjn0g479gN +thgy8Xs2fp67/n6u0EGQWpsNOCjSvabhQSR+0cm/PT8Zz9LRBvE6YmTUApR9GIXzA5pasoI+LO4R +gzUYvjAXBLfff45DW0IQJfifuAlVQGs8xkYweCUuS1I4zYfG1vhdaoBN8zZDSuv2xuDXtGQfVGoQ +RVlV02FApvYLOvrh3OFioEJaxWdUhkPTo8cI6BBUomX1CIJZYwOZgJ33d5LzfWkoNZnFJuylWoAd +MJDBmrWqhkVBKT5C/SCnk1EtT44rgskH0zUlgp9CyPk9rIc35fzZ8/sGWZBsYydOQciAlYv8AJJl +Z/v7DbNENydON86ACZ1gRDMQL9XirJ2w5rn3iasMBAjb3xQIrjAZAcbEsqdGO7rSbLtqsiQUT27Q +IwQIQQEaznIdzR1zNKhEQB6o75iMZkJ0x/G1cB/R3eseuHGwGDzciZIM6us5IMECIaqwDdwJr50C +p/q/1KfKb1a37tk4JaoE33lF0ABbxan6Y5hD71sb7LCP/eu200bFYZ0i7WecevVZ5HDIdD/TuQDx +953jnc2zPX5fHf54UlY7g8zFlv2I5OauCOlIQcMt3THAegspJ/MwWO1lg7Ps/jP4WVUdAPOq8uW6 +jO2S6aOeOx42W4bd/dRbenq+XTf5X07f93TwKUO86GCAtbcFPlgCW2Zt5IAE6gL+fSk16GH3YeIp +pIi3ttJ3Q0HfEiZtvpKxCSoUPe13AAJuoYCWDTxlj8GDkIIfivJZV+BOOoP2h0yZD8Ho4n/yRmeP +wahyuHo0OCctoyaIeuUQwzvkvw34zm/6IVwvSATg2bFdo25WXfxrURCf2OKp+GnufhJ6At7eAYjt +hSH/XsFdhsLRWdxpmX+6pidzeT6ZZFjonbGxWix75cMRD5SXqccWdYfHy5kFGztXhczyZ7WAxuAg +OgMH8lZ5L8tEMjB+biEY0DvcOA1CC1IiCxrGTwFihbOtPII9m+zbfs/rnUA5CS3/vHuV60+rga6S +rdIKiA3f3dmdQlw6x1S23W5RrK0rn9daAZ5S6YpWuqRdT6QBX37ONdolClLSoe7oVx/HcXblwUU2 +YbjKG/1rIVZNLqIvcS0CPvk/58mQ0zB6JZWhCTWHXqYtaxlUJaLOD6FA6dfUMsxCq/NN4H+SUDw/ +X00b6CqzrEPNDGXnj2+/kDjKL6pEea8Fv2YxrwunrOsxOJ+B9HjVMI+ycuhJOaQ5/PWsU2PzVq5P +xxhZ6n6SIrhQ/X6k6wIIM641jpC55IMYQYbiDMXdnf8pmZoCPwg6MivYHSUsnd6OjbURtLYP9Qk0 +hP9qypBSO42BzYGLUmyLs5JBNNHiZwF5ER7I4IVzHotjfn22hw1Z+8MuCm3sF9ZJZx/A0gWK9jbY +anD3+A/7G/v6HGe0wHpqrDZF1ftcM6L+OjwRegj5aPaJ4R3RCLWM0EIqYCZYfdRKZkW6YOy9E5Nl +DjTA2D1foEDfrBlXlSF/IMxWVLRXyLNuztZoq/Jg5Hq2/jMRLg+z97BaCFU70JC8szcXTC6Cj8tE +YXNNzqO3XWtnI0e+ATdO4ARa9Itmch067P2zhCqjIsLh/HrgFojKCTqMHADAnLW+N3uOFAhccdcV +uRbY1IK1pw0yDiQ7unhb7u+zZX0GRoU/OWDoltzXMeRkhd5Atbj09Gup3gjrgXcXpZHMWrQMpeXk +Ks9PrphkPjXZPp10svsv20hFwzztDwKD5Chb7YVm0fbtQ26xyDwCvdAXwPPn0/LeLA7qob7U+8iP +nQPgAxdwMmPlIidBiFDYfT7KZkD4nSHWy8hGT2oODERYarJWnT8FnNVYU9jOmZWWotwUDmGH5HIH +iCBbgif+D/+22OKSM9soBWqXAWiFq9i1oh6bwCWdX1gB6RRF1FD+LMCznAZF0e3oWWLBITP36Y2R +bW6cjI86hsMu7//xRYkBsKS/O+/BEih6bjGUjcLv5idnZobU2meFROS3pMl7U8PNUzhd1+VKFKpb +5ws0TVmb4JJDu2V15RmspYjipgJkpFoY+CIq/F5Be28jt783+JqBQDnMM00rJzywo8m+NfiqObEX +mp5phf0+djKHGYBVWNaefra52nc93KoemRq+xkEwYkQ133gbvzfhWYfhNvrrHhpwygvKxQgzsqNa +5YSPm7M9yucHjvyeT4FGncwtOIwsu76ZqNxNZu9Rd8A4VEL6sM3n8modfbPzc+6EjJlQYXc0q4aq +hs5sxxqXJ2xNv9QKE8YVP3UoCE/tFKehTjvQ3J3zKac+2dtlCPpFReKwhjRC16ElrQkAHgMDRvsd +n7i05sYMgnyd8u945ZyvzqRX3vhlUZtEKPs+u6qrGAKD3MXK5HQSvkcgGdiCsY1va5vNKZe1exVu +//NFJWq3gcATD/TzNcntoV32u9zvMEJoeEPZH8oeWRUYN9BMXlO6ChlIVySnxrr2BekKxISd8bP2 +B5lsx5ocpvl3AbI+g25NsHxDwGbvT7WjWsoS2DuZoFJEz2OpbFv6z384nBhO1+pnG/nhTPYuuQ8w +dnk/1ybvkauxG3Byr4IQ7jmuNmJurCroOz78WLA3srdBn/pultZ59B9C0ccbT3TYiY9Gj7414Mom +XX62do684Et2JXnDYmWQNXVMsrj3bhHZEyk/M0fNKvfCYdF9uVK6ELCl1TCYvIYSr5zSuQ0eNwF5 +Lp3rbwWYnYNVN8O2UY1Dg+6mJyOE4MDX4uS7RcBNUkeyAfcM/FDZnHSHSQifmQK5iv0kBH4RWi4M +kphLLuJ6Zpv1epcB4eFB1bQxfcpKxMzBqq+OprO1PdWJP4/0yFt/IQgbx6tsKV5wCz5yK/vW1tdo +J51AN/JpL6k4N8Sjw9zbJV2LO2hEeqyiTgtigOZtDWSwUlo+nK3DzgoAvS/XHRFsy2XOitwp/6KL +NowzGQBplN+DplMgYWJ8kP7Q4KWDsq44Ndson/pJo087S1ZYW1mqUmMzWbglJv4VsCfpvfzuOmEx +qQB1aHc7AFrk+vjIyCV7qYwSnhKp9oP4qzD+O+jfHWy8juXyXuWLCSWFFHl6SjAICN1msTI1VZEc +dxu5RyEF643aj+A/sEO1Qb6M2Y8Znb8xnwkxlHeYhe3ZeD2IPodxAJ0SZ14VwoIYYhQr6izn4v27 +ZpNzO81kIENXwpiyTebvfb1ENUoZ3dbXigX/kQV/3kWBx22837z3OaFyJzEO1joXrxJYKaUuYJrC +CkNO3rSS0eZ//J1jzVK6qIs/SV435fwwpVx4eJqbOpAGp9X62to4k0oMSDaNmVW2uT06zaXq1hUM +LTIns67beP4OCANrkKiJhphIgaYj8Uilm+ZBIIbDgz6jPmvLrfNhfJ3KTeKmoFvlkC4vY9vz1htG +a1f8coadoOXj97r7AnXsrykcNccLnbY6jFT7W6/cORFiPEWynt7LRqh9/I7et8PSQyX5teftzqYc +wH0JAMuNif+NSuMZqSxj9IT3Y3qEJ3/WOs7lNEVy3rAoqVP0CNlyVdFqJ8mamrX61XHbAOK53iPl +xr92SAaZ8yvlCVBwJjukQ7xzBtzOzyOF+guSbQIAV3phwDxeaioZIL7E5eRyWYn+k9swZrybFzGD +I2UL1qxP2y7NcMnqC56bfLlEBgjJ71gef2GqWp9eDSjsEZnrJ5Mn1KQqfQoemJZhmwKi2kjoJO1I +B3hFhDz024opOgXfd3Zwt+q33rC4BmumPBaWYaDJqvVOX+sjPDPYHYNYoqmEzgCpngYB3lgPg9ij +NtGjdfjHdNgfLJktwmuiCiRxYTQKpzZPdLnS2+bAuDnc9sJ68otWy1C7qmwVTpYNJF90kcP3A8Dd +tttiVoPaoNXvXQ9NxgHiImhz1Gy2WPKuagaSF79L38y2XCNIrbIYFftHWuhpv1GfjQ3ck4qSpsKg +/KRHKHMX9esmh9FD7kG6BxX7/2WyHA07Qc99VeF9dHCD2EKWtkAFyUiu44u45UVDOGiIFR9vuXmv +fplzuvAZyVsV27xE7DDK5QwT8YnrMg/cMvTMMdfj9E/tQdisdDoBi1EQIwORdbl9wmeJrTMqWy/v +HYXphUOiG+f0Qfbw6kPLyR0Eh25K7i6CrmqmsiQAVT2RuyTGKDdG1RRN3yAUfOKaR+5EMhuF3RZv +0CSzjmzBylAcSXdq5F68R1qOKKRyJU2A9BTHnxS1UhdX2TLheeYpXcDXQ7t5GGdqw3mBd9IVwr6e +Syd26qIVDJ5Nv0/NW6g11x3CWEnWQheTQXUnW82v5IhWlDCYrs9VDN3Bv8uKIy9d8Zj1imq+6PDY +YyK5n+2nZIJ0lCgiAoq8fyvgUetd33biuvAkhHW0Bjvo0m21hyztDFV6sbCKSdY52E3+n0sAvfZF +QZ21+rjcd+q6IpmZrSxvoUn3SaSX8a3PRDtt6w0WrP8vZ+WddmUi4Jtft60f17zBU0A/4R/wR5NE +VdUvhdpu7C9O5/Ebx/zoDUHxTgc+mdZQaHkSdsYgJIHNqPAiDgn4vmSNpEyMHyqULf3gckvDid8J +4wNKDilHZiTcjeTP986HIH4ZTJssM7DfzGbVGQqHjrzpxJuWQ8N/rY8Qq4iWUja28ucosGKTGjoh +7/0CEiab64bsSDE9oPbwp8CjhghJesjJWb2UA8B19adrLmM7Kh9thi3V+wRAE1t0qJ1YBi/FilHC +Szd6kwofLOj+HbaocfAl6im6h/T5VPI9HYKKxWey3PD2sim49CNfxdwPnO6FreTVKn8uDtm8uPre +wob5roSXHJkHKPLI9elodEWw0wv5dKNEIIv/PbvK4BlUdvd6n+GkZ2Hh3UuzoATvHsHaociPg6Sn +tzRxkueeeE1oMXpCJ0/Pw/LCNZ8/Gg407ysvrSOgeCS8x6eiJPHVhBSGo4qaziaaqQ9sMIjf31IE +VPvUkARNojWYaxLUsNu8hSN8tkFDue2OcIjfNgIhnefdqIl8oKg8POZ/VQkSmkG6d4OrOys9ZtlS +GsYHg9nFV9lMMd0+IFjovtH8Y7h+uENXHwAJLKW/E68XYHN4iJTH36jSRLumwJDg/2aH/cZFKoFz +gAEE7Z6+r22GByDyLLWs5GtGa1zTTxl3d4QYzXSZ6+5YiS0jvvl4pLrHAUkgwn0n5dc3JQsl3pEM +2rhtFPxtJ6/wLmLdj0yf/mKOEvPNwTLKRYlrLPI83aX3gktgDC7RJSsle69Nw85knhOwacW4L4H6 +4Lh4wYr+rmzYJHb+1qlBqXORhWiqz3jCjUw3HFW5AkyFkxzMQjhtqct1iRRyF8l1otqtY13hb2TF +r0JwWEZucyT6niWBAW3/Kg0uL6swQdqo/RNM7CrrhiLyTW2qBO57ojzFp7pHZY/LcXx//dBGy/u6 +oV1/l/UHgGBOw5lRr/FL90SuUSg2OMhBem1L8P1VEO4/BtdC88iVUB7rpcmjhkdLd2WF9F4urFdJ +0VwNd8K6Bstm2w4xPjKzqu9ln7zXYGOe7w3Ao3pENnXIDxl/GvG3R7CSYkYbZrjqlH7SKuQSKNCS +l6sFueIyyF4DK1g4ZxLKo5yJ1XFO9nXXi9ibq0Ygwirj8WkBLTVM4YjGHqnXQdkdaNhW2C3WB/ft +/t8RhVTeVxkzpUBq1aF8rJ42wYivixzFybf8jfH8tl0Pv3tdiYUfv1X3rANJCjmNh40aH87+g3wh +4reHnALl+ddn/PdiMIGMum3ftairt2tRZGs2N8ph01QXbExuv13zzc+uLbrz7Q34roZGPFEQwj5t +uvn/rPHnHXU6iBlDy4xY2ChLCPT5NbV1GfZ3grd176rs3jHJRnhOvEjNIX7IuvGQEusf3Y9ZZwKl +uYI6pCtCbNURgE6S37FScMajOeLqocwHxZ5kRElVVuhuwSr/8mXExqbbDlygUPEZJdeBnDuwi9y3 +/Z7iDKloIo2G91b9HpKNLPvpIRj27FDSH78mAJBC2V+XA6CSX+CQLjW3AAM2Clvxaz3Qb7C34BOD +0p2woXFQ4uzBOcLCySuJukpzgrsSMCHd1flMY53vOUfb11OrcsQb0N81BOji5hfk6PGXm0ff0G7I +gY78u6DERq6lam0Q4pMeCeiODRt7H9iFiTxHgRwzYU6Kux4kmaZPpyFh6adWPkU1dA+bbi+lagoL +3zkjKP6XKg9996dlEC1CJv0qfO8OR+6bimd6/fdjKM7VX5RamlZXJ/wVfrJcH8iimTYNmOoLhUnP +mFiY8jaFGzFw7T5pskHW/86ji/QXKIUlOe01R3LP0ghTcPr1hNlzUrZbVRkqNgZiHFaYISH0Fw3B +bowdGk+u3SjT9c2ir4C9aEdbuzaZ2WHFzDRY9mcdEZ2Cvmwvvh7H2LTyKKqoQ+r3opo9sggjn6Js +1Ft76oBkmkT5NOjROIfxeI4nfJ11K20xYanf93yTOmOX1M+NZIVqj86SapAbQH5QnVPIKq19JOwl +UnOIZJ1OxlQFWwx6tmWQp/Ne5+ZPVpm57STRmCeSaBs/IDvP4y6+IYDn1NJernmIgsBp6D4QQJgg +qdincQolipxxRtmXvuOfeABY/DNvoLr2FlgOwkSpqey52cLoNjttjdWlZ8Ev/5PIDcz4/c28IzNM +rrMs3v3YYZD64Bqq/6Vd6G7XUvxKS8yA9PyYqqk7v4Nr6AGY1N6ZCx+CueObiLLl7ecxXSFr8S0B +wzCqfpgPzjcIl/JiEbhhzuK6hk965tfQ66S4gsU/PRok1i/LKnQJQPkW9H2KBv72/YXhd8fs1AeC +hEJKctPv87favFDmlPXGvAHmCIOnAzZGUhSGgIOk9XQK26A1Pn/UkU3K8q/G6+ENa7ppYXmksnu4 +upnlehianrKnnwAT8qIzOXbyGuYK5iRsx9zTzU5LrU6SICYnHdQAQWfp/zikwMFIWPavTOXlrCqd +72BbjOCrECLxzR9XRJZTnAjKhpAjSjBRaJxc85TUHAyOTQKByl363oftiaOr0L9VUNoS+kmWk1en +2T+dSixvcMn1iAzeUfGKeljZHN48LVhJDr73HUKFFLCTI2X4XuAd42AWf7t9lvax0jS5qXjEv2xb +aQ44kI7Tb0+f1OBQv8Wo/ZOjecNpeVEZC/USc+m+8XDLwjEo7fq0qwdWxYl6X0WRGrHGvj3DeIaZ +RE22CYNHaLyj/vYV1IPbW/4KOTZkZhH1FdLXwTAW0aW7stMxu2GMQ168aMgSOg73AvXknD1ZeuXB +eReFPEGttpfFq7LWUBuEAu5OoqtK5p58UXyzXTM6KkzB5+N3zpKuSdvXCD6ZJ21vSMz7I/lPBSaP +8hh38NrwdvAevsYi6d9PAn2BUcGNA6NXz+SArwxiqju2+fDiu35PIibME7o1sV2YK9s7sItubf1u +0+zbzh7OAnhC45vgjDquza9qU8Doi5NP1J4uLIIagVpg6/hrC6msuK5t1daA07OBzrezvZv8zq1V +9b55E3mSlFcQeRnLkeAxdVnE1e5ECq2YROrYci5FQXs0o6K3ItrhEYEP5Tkkj4sBpYdwcrmWsCOC +cdTi2HekLuCGkP3aDRw1q+C0lDjhYf5aaejgx4hUtAQnIiNF52xz8Z/6k9p+jxAe61+CPG2EJ8xY +zA9z/0DNttYirZwI2pu45j8uMpflY6mlmydud59JdsjaoIA8Z0u6NkyLgvTj8/yFMzhOjTvKi6p1 +4mkRoRSpiJO7mk89S5dr9o84mZC7dELtWBq/f5rvDrWIBnBpMb1mipMq6zEOo4XEO0piq3wRt1Fl +KY0/yoD8UtA6oR56mEI0uohhgKhSe/O5rQZdH5KcjKWcnm3Tq2BFuOiWBZXh2YnfR37yWVi8PhA9 +WFApel/L/Hb+7L5TUM2fb2X5iwOh9WK5u1YN8tLN8xQ20gmlBRIjKkQL7WFGc+V6y6sILX86jI8Z +By4fGnMg0jtJ2eRjgS6Ed3VlacIXQW+HiSYMyXrModi2kJ/dkAOfu77Qm47awQrq2IHPlvqYhdxi +UzQQRVnDSLuYTSFeQNxiS8FIgILLpiMux7h7s8hA3CE7ZV2nq8s/q6HBLoCl+Q4DZ0kmZ2sb78wW +v8S1ELMU+qqttLogMN16cSZInqBq8doCyUa8O9vasrk0T6sHFL+Dp0TgLKvwXt/r15BLPiyhxn7b +YpHvsVHyk6vp3yZP4knITvSIjDKC/udE8X0l+g2i3ezMlgSyBDXV00p/3WxPZvVVLVc5403Z96d3 +E4N6hqgXrxLP5KWjnUB1v4X8rdfxEklS3dq5uHH29cW7EeNDG350EUt7l8bpaEskicxf+D0hzEJs +EHIS1pO82o6PXV+DF6X1XfPhixut8lcbpx9riRS+V9vwJBpjxv5TMqpZhsUeHgKhobfzB6pMg8oh +egT/oIH6EMjw0SEKW4Afq7O0ndE7pHU5yowRomQwE15uLa1X8QUZpv2fNHfHgu3PPLZcfPOMUNIj +ZGVpQq08PGZmEBIj4Nc7WT+NUEcFlCN6Fo8UqK2bqitJAiLj+QJZ1Ak6s5a5+Ymp4n4bpIY5s8R4 ++zewchS5aNr3/fRYNU9FOw8xmuXNj0rvw+tk6bfHUjLYmd7ohbNS1xzXA6//Q96sPdRpTvkrH976 +60xARFkgb4CrVwtPm8qqWcA4nvICFbZe9S8mEaWisgnC5+f/rbI2CfDTaG8i6pXxVZnW/F5r6BQS +afXPePz+EZoDaok5NEgm5/xJ29hb9DkcpVOAO2MdcD+N96/d0u3KWmCxbSOrFjmX/S1gvnKCZ+Wb +3IukyTzfWh/3lmRG+h37F0Wbr5Ortzi5AwnhHatSEq2gN57Cko3BHSwh/KubzYqzx/E/B/9KnGOK +0c7DpqCwq/omBDkrTjYxpRys25WM24VMvO4/dpkc9yk6Zbmmj1SJeAx3CWFKGMbUlnx2Rrhr6BjW +YJ/eBI22YkJFSkSJHoKOGL6AMzogK/kQYBFSsEoEDM8EHydDUwx/IgrnwmQ9vsMWK8cSsKqfxbMq +gi4Mte4Ol+uTrJi22rJX4JVmmo99b57jLWBMoTkWSppECpr+B49Tf02fw7ov/JvWJMcMRCcho5ZI +XH3rcVjcKRzXNARIxRtu1VpKBBaduTtxG0LFNDco1FQMdHBPq6poB6FOFr4Sks8/tMRXCKr6aHru +LReyGVGVaiqZ3VNF/4lzpHmRanjPAxRi9S8aLx2nth8VajdOTAfcUq9HpFk0M6bNhb6JOr+OA/Jm +COabLKYuypbuiigcubMxpboZOBSHwDkixONhA3PAiN4C9ov5HTE2jqrEwpv/Ykim6tdqs2vpvSxv +8Yg3iOQl/PsnRk4XNc2NwpQ8wZ0m1eOE8hg84VNXAEZjY6wCupTEoVTBotjgOGHUiqvJ++Q6vMCw +xTuCC77+kohaakQYuIZLesBf6I42KsKPKCdCMtbU7RQ+dagbCv4jCBARBPx9WmZRqriClW5B6lPZ +wK/c97MtFr7AoDZ2kSODkoCRNO+UkSwPqMAJQi9U+0mcBQ2UcxpI+keNngNzQw0t1dLpyx5P/OHT +f/u4yiUcqAE6Abv37NuvCeMAPSBxBcRevqW1sspRyd0PiRyI4JqWRFAK4yHdsf3gViNTywnHYsoi +FZxrk74TiwLwmyibUv1PM/IW7xb7hTL1g9+CakS/k/bSb3PUtES4IBiPZy+nel+WGskkMdI8lItx +8l7Moa6N1oF10Z0Z0erjrlJciFDoF7R7aR51YxL1OgV01D6Av31CTeyUlP+/FnmgmMwzpEjLh1Qu +UD+PcGi/f6nqVmIKmfIGXYUNYBC8WTTP2alX0sCs6VkKRY3eCBMCSfGIS5JCkCNwdr6Un13Qd074 +gXFrz4BDrtmRXtx1h6M4AavzwGNafndiid1MbDylsdd6anu4ubHsEXHeovsSVi6sr4w5REdKMOwg +wcKV/0iqNeY55aetuBHMslXlqJ6Ai+b07Tvmw2bsDm2zabVuNHxGEXFXezodsz6bJZQE7hyERHPd +IwH4zCo+29enouZzvK7c/uUlhea1rAt1yXzmTnSJ9FXLLdA7xQpyOCHm9iKiIs7x5d+3hFvHZrch +HJWCcrR+EHgL/MQs3Ep/ttyQOAvCkhEkUnCDwBvy1pkF0cl0H2m+YZ23sXIDiYukugOUxqYexOZV +hWZjJacuEY+jiiaOpvGgGCdmKv6agLFFN1JPMPDHEgi6d5b+6TiqDYhqZ++Vr/DD5bwsB4oy8HTj +AU2PelxMuJOTRRLVaf+LtCG+PXoSmB7gJcIouF9H0cKHDqrKLgXCGLpWVYvBpN5QLbC49OHoyrDj +X5wFSwUiBcKkRaanXffrjE7IL3+S+v8JLYmkOhXE93l0dm7kogLYzkBGC7Jw6FcHc+K/QZVue+qf +zTsyCPoO10HpNRF3dgN72vhsJW2Ytvvi/y8iVkGxjZ0qdVFuQNdRyUS7ZTRm66m+rmUigglIPFG3 +8JmbldsRPoRFNlkQTJ7OvXozYLqoPGfHTg+U3zOODOsEv8uFadhRYtlyAk19BKGRXRtczh26jqs+ +PhHnCEfKq4eaWFrN3qJQFx/7rGUdxyYyoL86ibfEh+Uzca+PiwgDoriRWpVD4qRQ6FR1ziqtelZX +G9hMYp3+NzZj+8BJJ77nbas3Z9J2AFlHBSSfNN+/35SJhsl2S7ksHs7+XvvwbWT3BVdtJbTr1yXl +uUH8bG0l96CBYS3wlwVirBBB7TKPs+L1bfQm036djezLWItsjQTh+J356JVt0zUnOGWr1f61vDuL +l0M4Poi7VRTTIX2kpBcPmUXsR+AEeI9H+/mDK3pyJtN22RrICU8HLVRUTVSQCkCSqn15pW2N+VQy +MW1Jmb360M3aoQ6rq8ajR7BDki42k62ht54MpfmFRc3MfmAKRw6FyUjCd2X/Tkhz/kp0Ca9+pm0V +XkfKEpaFfveRI8zU4LZVXXtJhAILcIiEeOTpPZyV+LOXTIGAYk3RHAs3ULGBfSiUPISfrVEw0hkR +mRwldYvCk+Twq4KJtPeCT8nRtyt20QWzPqEWysYUTlD3cVmejb4AAZwK/Aj0DqKqZKgwnaD0nGlU +p/R8O/keoqau2jM6jfpwum7reidSNLGpdW4/7qXpV1j8DKB/3L+qFlqI5YsUtXcNIZ6UB5iBWYM3 +0arta87Ok+Gc6K/3+4kLLaim2Xrv8x9x0eRO0y6ajPhVJhp73Vsux63eG2LwpiQQv6IWI+xC1bNF +6Vp+T+EmlHYWGgrTvZ6gkpxvRrJv8Qd7M/6RfAQ+rvqNjFaPspknvDxgAb4j7sS/2c9sb8XKMpyu +SEGOB1GNLYCE2CqxNSPDkwX1dP1PLvY4NbScR4kp2NFUFzT7c0geZhhprMC/rlGbmk6a+0qEiyHM +wC6S4uvBN4eWrxSJp0TUcOUK7w3Cdlsu5CQ+yJoT39iFpacpfY2uyCyOb2G9KsLfyx7u6xDs+Xki +jsAp0PkbvzDzfXd1VVTHMFf/0kLYLCaKzSBbyXp/6rFnb8GS8uldUsVE7iMIVxf1DygRnQtNvrWM +FiZ4cRjqygSsjcOR1cSM2QL/o9I7y8V57nHvvnAiB2zvPzthmkIsaO4h2GdvPyse1eoHA+tW+Zjm +9zgWbf5Oez7BQX858w/udcpDtkFr8+w2Az6aE66tdOSLf0AmtJpyMUqS2dxhTVpOJ7HpWet2AZ4y +5XYeXcJlFkYyjA8CTxpstWkpcJnR6Ej1YvJwRAJ3wXS+Hpf24G9X7sDEG8hTdm9WC4ug9JBdsPAl +XmsxrJtt15xIRcD8NeQqZ5+I6HWeTmnVpGEwu6ZbLuwqt1JwT6ptMBX+3BqP+rvGYxzO5uIxFasx +06f56jhis91ebPc8efVA/c9PbDoTeXu8DGS+WVFvpuTsGP1+D4UfXlPfbSaIZ2BZEo55jSIuawBF +aJ5bqMU/WwtWnr9GOmukprdG8aPDfKzogj/xc7e6Yml/MKi8UaDessNf+mfG0GvZ8CP/8RHsKbT8 +W4YVK4Caz7tQcGi3wCjQalwohpbB9rsrgpGWm3k31x+PO9vA9+jQZRpAbq/44ZaTHNTTpAAhGUUz +0bEGQ4EKc7CyoZzFoCoePr+ixdp9QJ01+A6ezqXL52eN0/FC5tWz45yBH2UKtYWmm7HDIupBLXeK +4T/3arL/pPtqTckCmT4b8sX5847VwKndhTvvxHcivN+gvoZ9Kv9Wmp8ISE3jY45J8tMFdwEeqvuv +LiAI9AH/mlNrauuwmwVwV1BqK16D1vacopf3774/tuf+FnfqIY3FQS32iSnShXRxio7ycsIoEiii +cuBwRj9Y2CVuye1RuwQr2cMR2v5y+jpPYdGasq887nkMJu2F/UMgStq3mu33oCX1TozlkcFLdyGg +V7U5/KdGGjXkmc47jI2V9F9WYwg979OtmmOxn82vctdsZtEyu+0vO/3YyJgrOGRIYULAqUnlXhm8 +AOFBqzdUNzXaLEX478kkHW5J56Tn6LYP1NM4L1r0AHW5TPGOTeaCFybsPVkrSqBA4TwQtHF1+qR+ +KAXL91Gpbl3w2cL8RkbYZKRWmAfcSpgDmaKG8w/qRXEf2aAuXK4vvLcNIHwy8/ZYJbQ123u3sA+V +ZZ7UVAqZAxkw8tGtt+JdXXS7zYfa8DKImpclX11M0KIl5LFwpHt9dPylxKSn0JayqP4/qnlgftyY +jloue4ekDmn3ehM/Da7SuOJXzBDfcOCIGx8qvicr6gL7vxeLW/FKzHo+1t7xyDQhvMVi+dfuCiGA +r6NXwa/lxlfx++cB6KkCd9cgf2iz+wSviaIoa9DfpvFGZCi0KCFMh67Qr0iyjb9qmsubuwcnjn+V +GBa3Mlqd/NYz41RB3/uPpFPnC6BmdgIp08GbjNLVydKeVsYTrUsyD4Ds5VHSXRYXzcUfXX5oqGru +WruZ51fEZXT3JD40gXV978G5a/yz++1j4/UvPwE81azk5ZZHqx3Ezbpm6EMeqronW7NMJcaAA/Zc +/OLhLz6aQz4aj3+2LXfB61hlnTB0Od5r5dyCUyo5hmqdmpasq2JTYmGXdCaoXesqWE3aJ9Ne4bQ8 +YrhQQviE6jcbbX9cQBqlPRTHYZbij/3NHd8WbNC2Ad25ny6nCC4zI43Dk9NOWs66I2Lz0abKNs1o +set90JASmPuhP7bU6InOLdavrahUrsZAutjVkYlnPYDyhGAmf6LYiamfGF3kr/6/6GED/czCSLXV +5rxy7OUyoo6k+ntx62b8TsaiXbZw9uyEyWDbFO0kYozk3IqVkVr2M3OFSK1l0r702bfzFoW42fa1 +sr7TAU7OcsP3m2DYd/dg/iwJP09WLZgN8w4YutAV0q79gi2T/9QX8twV41vrt+hfFJinIVooxfk4 +o39clXQnwzy6+jGzYdHvEG3SzzLtwxhMVYFywYujvEYsfYt+ZfqTCU3qqvwc9r2wsEhkxUQqy0AR +RlXu0LzGobyGvLu7fBcgD4AE6ERYFMJkPfc6MdcI2tdan4YYvl/nVw7MFdMuWTjIAbYlN/KtvL6p +60WdfyJ0AWqIIMkojRv50ZxU46r5CgMINcqMkX21rCLDH+arLMyl8m/cSW0+znmtTo5h5O805WvJ +53URlTHPInJ8e575+VwYpevmkr7Boplf3oM4kD1qCxDN67egdQ/zmZxszO1MLYuGW9Xv5XhrijMi +Zj+JfOvom4/xH7UfGk6wzkDr+IrXbSKfzMnMa4KK/ShWcI00eo0V3Y+4F+F7MjaEyyFwJBAki/Mk +7ts54RXGU2y53/NGH+fDICNkxWe2yvhRNMr986DzC/pql44sBi1dPUyrbFK6nBAS1FZrEGdSG3TU +l8o7xdcJzN98A5LprBap5Q4PB29QcelqJJ6XhaE3Rc2D2Q+GPyf8vD9ggIERZ/AeYyGKjyaaSfyt +Y3iWQE6qdAD5eOU7C/Yv5tNxjS+vjSKbEpcd39DfESV0XjSmFn/Q27RKtltyuTy5vl0EOwdvHnpD +17/wThXkpFs1jiZamLiPdRtfGCK2VsaRHaSApjOzAf84vcBy6L+fOdA7MLcBZCnAL2CxtFWOBJt2 +JVfGfYDMcFgevV5ne04RJHXCVWxoa3aEO/JCSUGCkO801RvHLT4acJPSH9SXoLFP9JA61LWCpFb5 +HVUzOrusF9oK2pnU7w2g6o3COwjRRZ5EnGoEtH3Q4VHa4d1bcC0XhKPT6E5/lMGGK9vQxXeZCYKl +gBa1VPvHuVNRduqolZQ2uvlQaT/4TGs0ItQgyw6Q+wpIvdKXw3yRhWxKB8LjW//R5IeEiYRVJ4ph +djHiMB3s+htmwc35M9xNmk0LTYipHefiGxvMGlp83824q5MTQ8A1J+DVrVPbYpg36/ghVfbPGa7o +Z5aXIgzYV+Vz1Wh4F+0inI3qXQ7IIXuD65Bv2P2wU5BM/KvP/sUT3iQzycd1fhJ47vfU992UBFmA +zWpo4xajQSt/gMCv88bOM5dTogqBokzn42Qe0qlFH/14S7xoH9QTrmfIlHsb4+jVZvCbP8ZG9AVq +YY4EYZZxA7CIxGcdLYyClvCI6NGN9L75LcsPRJIyK9frpvOJv8ioOSMs5+BaLjNQla3Mn72MdKY0 +cwmbcLJ1KmdIufnLN1GXK0wEAncdpepLyPqlkmhmHMbFdSsRj/pN8vpFQTeqRIkf4trm4y1vBk8r +M2VAuYCGHujJHzRrTfmg6jsLknQI/+EMW9EdlnRkhpnMiyH/SS5j+kA9bAcCPU1knCOzmrUV0+Mh +OI+/nDA9OHc0JV3d29PrNXWEvmub15OsFZxK4/VdG5Wv6v3mU0KOzLB53cd8ZQxrMhNZayFA7yXn +exP1LeRWBpRiWFTDytUawzEIT2a87xu7MuXUKXGkZKHpapSyY8f2AH/CjmUSSZUJ6wgdb6NtaXCy +RC99YCANC9x8HSDrITY7nvC7p4KhaYxAYlPnTFV5t1Z6ozB7k8wht6jsIJ8NCuofl5Rw6JyRU5dT +ESSssNvkcs7q0KchwngSQhSwgPe04Yii0Q9Fg7ssAIUXjvZvGnPHXo0XhfaGaR024cyeGgoVo1hG +oVgj9l+FuzNQift2HhYynfPkbu/M+RvFdJvHt5sHwwmys4Eg+KVJ3UouwkNPYXYF2/b2zkLKLod1 +CQe8Jj1t1nVdfgfa+FlDZvfVy5Gf1YGfA9wkH1WPRQEJvpSrbU8uBQMd95VJ4aGUyixjGT8V3etQ +Jakl7x/gOs8hhMuB3PTrFoeEfLI+xVRb2LikOSAaXQg5RNNfrO2lKNUWJC4fHXs/lP/WGpMnWQag +xWU8RtLffJHndXE/8Fwme4o8mJqTtsFB1mMHMpC0GUm0OVGssDq03nYST+ZwsmQr3aAEurdsQP6F +dggB1Z+U/Y6Q8Kkg2PRIjRSGVnsiS2M5dJlqJLXgkAhApEwLpDl55lLwKNZaGAZqUHfEF8iFpVNY +3fgj1xg073HBF+Njld4m0l17xwXlT4va6/HFJh8ZMdVUFu6ieD+V+9LV8maih9ylLnHriK/Ye+vp +Cg9R0mwADRNj24B2oaZKrWy6VtETe34v6CvcSB6uWqfm8fERPOr4GCcdwe1uqF6D3G9uwWN8lvQ4 +V236YT5FowIw8M1mU/FdhUWiwpGWDdtYFDZbeJTfiaqK+Bu8mMmhVBk5Abjsa66F+JkEpZi9zQgw +f8R3hnj2/RNV6du/fDaL/bzku0/xlXfBJ9PVLmOpZ9Z9r5+u36BBRs5lnziFB+DurhyqUCsf47TU +jhi2skURL9Fcy4lWEjVVr682WgZtfZ5ZhYOBfKEBWoVy/sybyxsoBtf+xSWmGg3NqcnYP3YazfjX +XzepqvLTq7u1bEXiVkXLEC8yg9T1Af8b0DhGudYcwnSwwLGDAeVP72eiStXlbqVLxBZu2L1I4u4o +zavCJfPkRgu7Jj5q1a/1i373YIXu5QqA2nl3eib2Pazt3sxzKZOkKP7tSL9JeBqCMJLhdlqURkEx +RjQ9d3+/vtIpQVwwJ9ldNUoyaL3RxrIT74DFmt7e2ZyWbkAhIDYQ7Tpg94peDMVToy+c2PNgYQ3l +xUmVl4zdh3lQitkOJjcrhymlxkCMaEeTvQgI9iywwuDMEJPJsW1sSEDnA2UjZaOtyuOTv8wmP0JS +PAwINy4hgUtGsX1pBjkMlPZvStipJpQ57kUallwnrNGe9zM4sq16W2EjJ00o2k6lfICg7+UbFhBr +5/cSqb2IhURrrYb9G3BKwHkJFCB+wKtDcgfdZ7B4/OaVPgG3teZAzof7FCEsMW4ZwHIkSfwj1OCD +jDJwPw5p460L2sfg+nOYtx/BLzY2/orNAu0xuOhW5suGIudFOVIVXdYt/sClrBGCQA0dbe2oWRO2 +QH8sInJrfk7SBbTpWjlzhDvIjHXaWHYSN84eOmkSeSYmIUgjNLJoKGhrZ6mukeLqyaHY0vC2/MnR +qsMyRj3zvM1wepmoazvkl2TM2Fh3TGTagA0VAMdpaSK18jfHaoujMswwlUs9qbt9UJhojADeGTkR +jw4Pnm2YolNqBBYT3kZVWfPTKIK9gXenASt7XamerUV4asZoRbMcpasfIHh1lCXn42TcxTx2EBu6 +Gz1tx995Ig/wkVCOuIUdUsF7aMR2rHUzvjfDsK7cUHL+NfjU3CsxMC2jKdBw02yOYVViuk/dF4ww +HEKX7OYxM+qKwMC124D84l6ZoVZVepH4cGq85izkqUmLeJiM/u/gyVDafs4Nr2Q70/92DTsfO/af +nE0v552CQme/bNMFUINUKg6p1JqpgSzrYJ2+Un7MNg76AiQlKUtNqaky4CKVo5jtewTMFi7T6iOq +gCCGIAbuTOyd7CP+qYoaySW3+81YjNftDlshkuNurLoGWmZpZFT3foyM7m3yRdigbjg/BiMVR9sw +m+YbBlqdVwlEY8rsII5b4HnZvRRv8JYJ5fqO14aNybvzAVmI9oZKuBRGaaZIIndcUfO9DzO0W3SE +HA6EFNm5qlivYYjDirrJSJgqqy+YmFnM3iIh0ZM5Qdas42pjO/7Vv7EVASaGCIkHPOrJw4tpi7fc +pyoU8bipDk2Ki/EQyorlZM+/mC7/h6jFTMQizd9z16KBfl4L0qhUC/aP5Apmy1jJL4SqZd8qwyrV +1oLTADNTYZ9rutMwdneroRF0NFPkvKkCf5S+YmetYTxTO0xDYetYiO/PDbeJ5wzmMz0bMwnRfDPs +vFp34+izw6GpV1uIuDPo0I88kBswD5OwxzEKCi32OMIWWPROetaUElqVmiwWDPTINdF1J/Ybvd/T +RVlWz/X5prOTN8BaLvhLW0dVfRmkdv6rFZNJwMABNftTwJ2oatz/fzXG2OYcbrElLZTUEKbqpWCO +ZSmHp/JpD8VIEZjDH9wr1inAp2n6Oxl0rRIa4p+sfVcE4uFIISkBeYFZzedAmzFekGvIYUl2C9dF +f50Fd0pgbnhqVwAWP0siml7dRNCSSQWcK3y9IFIOonAX/dkq9SbmEL6zbKKNbbLZ8SAfAHfsp9tQ +HU55HWXqqiBxtKRqZdhaqx8+Q0SQVhbcRBN/Upbzk0hDa9D0jLfYysDaFK4HsN6za67cUIQmzd+h +D7UJuJDLZw6onPV0ZT0525edm0vIc1YMpcHFiIi9tpshmL/60LiBqhY37lmTQ1NY8PAH3P5+p39F +UCvex1vJJHVb7X4f+1Uj3qPKYmqSwh4cxi6kbbmrk9iYKqnSmZHoZ2bk48mSqw6+jbuvN93CZhg6 +bKnbf9wk0gRWhkbKX5W+T9bd3LWvC0VHrTETEHljBsfz+jGefqPd2XLxXwhwsBHp8bEkJq+ACeBP +m546FFi5ydCB8tW6IX+dckHGVlGlLLuD9ieNSfYVp8Ifg02dBwR5G912J+HezC8DI/KuKDd0z55R +a4eFirSbjiu1Hjxv86cBkrrkDJbOm9HSVHWn88gAtoSvlOrcUjCPllKsXWVxj9rVTaQije++AAD1 +LeScDWFPm74fZMBkVAm64ksauZrDJy8of2cWHkp+Kc8hwAU/kSLTfBhgQ8lPV46pYno6/TFCOwjW +UeEt/OL9ZsnBJxvnTHEUC2sk9IzguHfjjpKcqFd34NALJqp3GPL/gYv/srRjDvRvb27NG3SlwUMh +VlXPJarTmRj+zEGDTBjQ+vsutSJbRkvlJ0nENAX+w21/9iOEd2qMs062M8MXf1Sy0UTeCz9qr02s +EcdaHgpJs5A3JlZukAsfSbhPCHwAFRogaHiPvQ+3G9g14F1TRuq8N9Gu7eNGfjE+vHAwG3yIGuMT +AOBlIHZqbUBIsuHoefRvllohPWTJndk5pJJDEbNacEq5gxmhfSClQ0CnZnkLNX+6bZtgJcHh57ot +yiY29+Umx2Dnnfek1CJtdaoC/fJV9LgVLNB5RAYZsrl/Pg4y1M+iSp3UA4IbPmJlxVf2g52G+R5h +PY4GaH8D0Xklkk+2G1VYZpJ14LTITOY4+XmtmQBu4+/ko44KiQhRudD3f3BvcaZZErLJCrw4HxuP +cgvp6yMWOWETModnsR9C4DzzbAR5U7w949ivCXaZEVEV41MMzD/xNJeMS1nDTc7qek+mhMxQz5yQ +vYU24QwKvjU9L4cQPX5pfOddSavIneqn6pyrWQmQSabyrywGZjMmlJU3+wKRdaSNPL4m3/btqsjG +iW5F2QVpgGkdr4JaPBT9xx3ExnbavwoSa/wLdRD1PrHUO92TBK+7SAuqi/ryZPWC8YR/5u1pwL15 +mwM1KHAnjEQZxBJFdGM7Y2uvoDa4FSbTsfUSySX+wSworoG1xOjG9J2trbdCDbJFjXgPkejG1KOn +IlTzfuHIgrGmsqfnM8hRlxB2xhMkePA4sr8zcTnajg8XEtmVvb8AajlZCPfHZVFyGeteTWGWVfYh +nuBrbL/fiPe+TJCBnLtkq12j4yLZ3vCNmdMFoh9Pnaaw9pYRn710tRz7SZXrBc9qa/moPtZT2c+M +yGNIuIjwOL2ylMTooY3yyioKGGZKGhbQVLjfZNgfaB5Ib1F6Pq9HxOk8CZ/JS6cs+IfDWNdSnpnb +9b+fYt6xKnbqXZ8zZn6dA9GuiKrermm+ikKk1ICH2P6vFNcy2ucPDVQFqFnfPGKpMgNnV0+59qOS +aBuroT2WduLFZG10v0jFuaRyNhbJYSEhVgqPiXaiWBbhKirRbl9VMgGf/heI9EsZH4cdfSrWyRBv +8Yq1p1Fo539N6Yb63FJnH5CzHcoIRSFO6mOd9kWq2koXvgphReXIwuXB9XcVcKcMWK5wm8ivN4ig +Pz19gbC6SUZ7JXy/1+9BJ9pqybnalSCXjc0dGhuslzebtFjmNCUY1iF9JA22sZZ4OTSFNxWxu/+8 ++BSmN7zu7rf52Uz5rnMgdhyqR3KdPmioGwZrSPGAChcGLxLU8CQfyGJpCJ32AvDi76EIPd95xwf/ +hfR75C+CamDjfL8kpQAuttfDQxo54nzGT+x5UQ8PnvxVJm/bNI3l8ESttIUCcexWuGeWM5BMZAwF +TiKBy9+QYTJYy1rPznmBZfV+m/wnwBJtjZhp5Z6UXUO1iahaW5Fr7n1fddTUxnJW1murLGFQQtjZ +bKGv5R/MlK4fvIrHdGDcSadi+2RxYSLYH/86HiZHGq4q6fA+nnazBGCBnCQz9JAz6D5PMMx7/YrS +rBNgOrBn0Mit990QCROFoBHYqHf3l47wtPjeLs9UQQvAgljam72gtDue4vSUpokftbB0rHp0w9gE +sISA9WvaSMjZL3EB/867Oa97BGmNXHajRuUCzFKxPa2nyDH+IXO3j+YIYazORFqHyZ2vZgrVOdCg +RuLGbhdNvIA0cowafOaALHo5AvgqXSs9J3OKrGdh6vUfljJiJ8Y8To/iDgU1FeHTma0oM197XSer +wGh8cFmlWvSpzuLjf1O+7LLufuVg3HYceyERuxUG7qS56DfiAeZvw8nEM1gAD8rDKZWbApPkhbAF +D+dYA99Rqk2EVMOlosxDV+aNf+g0OSQQPXzruY47sDLwil60iJwZOkFUBuskjV3ua32vs7MmFsna +P8nIg9YRXkIaUDlPFsJsg9uGFf/raMUtdvWFmF68VKbQ1vuHOx5ZvG5FdWM+kikSLYYeP8Z5eeBk +WsJI6pVRC2zuNG9YZMGdIHCL2w9aMdBuSnKDv/RGcxydDXK1aZY/oRiTlgkXrY7N14TwC4qesbkF +4tHp0Asq9qHziNNpHvvun5kNoHJA1qomQ3jcS9f+MkDaBW7T8T7zI7AyNgPbfA7jgYqc6t2NHNWb +cyLDjNlOpa6mDG1ZSacXRBqP3/Y1Kukh1sXhi9dQ1QK8QkbGbPDTpvM0hA8sVoTO32MO3mhU95kp +AP/ZXIFAnW5bahPUa82g97IlqmAq+AgM6E99auHB+5JDUdaQXN/3/JzlF/fzfHAD9SQdz1nyFxcL +yOK9EVNS0X1XJc/knvCmtj8E5km24cFjy6dRcUIHs04Oo2cDQUoTyOzHpqFoxkVnGS4lUXWozAKo +sX+fB07TXYD3oA++7edE60Sj4fVAm3wll9vr8aBJAwupZgdMQJaok03ceCfoWgySoR3fTHPHx+2+ +DotymBZEslcJIyBz6SimkPj3j4cubEdDsfF1p3sWg6V6QUINV7tMDhHXWTzleNDZqrl9eS3X1OQg +zTvUcvryBucQSwVfdNeYBBafhEj4TxGFaiykpsX0T1i6rmMogWts+R3AKoCbNcSyj0ntSv7tTDnT +1YlLezszG/WZ/pDeWKO6HD3CXXeHqkwrXxQR7oT5CKN7uGSbZc/EJZr7dtr05tWHmMxBEaeQ8bVb +EKqCZ7b1m9kv4h1jr7zpOdrygD7IdlRJ6ojo4wGu+EtJw5ZHOCKbzH/2korlVN+DD+RNaX69jzeV +qhWWqMltdPXgI7RpqsOwjGGgV1qmPw0WzFROO2g+VqwGShh13QNjVYAhgLmZE1rVQrnjyMNiEWMX +Vkz6kjEYqLH/LvGp1FM5Yi63S6bHn1Q4VvugKebpiFTVg6jQn8bNshp/FCPWoAgu6Qn3v0CaaqT9 +2MadyfAHXRAetoaRYAYoInIdns+0Tl/vgAgLF4UtjYid9lc7hQ82MO7IA0N6RlwMynnJzAy9dfyf +3YO63L+8XlGO2U61/e8QsYwFADKhr9yHnhdfed5WlRD5Vq+TTZuN3UUpDrcoH9Aup3cZ0argBwEj ++p9skOnp5JwUSxw/5kylt2ES/WoglqFUnIpXJ8dT0sa7CL/f7/UiokX3MA8J9vPtnqjJbBBlN7Vk +zhJcyJ9Wp1uahFoTDBpdcWMf+UKx7fOn9iToa8ra5NsTdL9S/U9A8xRoLSYoBSLnIR/Ox8wTh2k8 +gDiJsIKzne8b/Fi4Je4rxQz0zwnldvazYFfMnGl6gw1b+pbj+6iOwqL+LWDWQ2VnUP4MZqChAxmz +kzEZiwZBJPqUuQwE+2HBCXMvXGdLlBDfO5QOQV1HOtrn83kdUibuD3NjGwo9akWi5+WMhP/fkWAg +L1OEXS85RwtRppjkEpk8Cm6kqVB6D+ylooyzQaemb1qksXyzn4/umtmT62gnnCq8pqeAmQLRxfe+ +YnmOvhxiqRjNWyyBWrpaUuzNwHxwAUX9eagxDL7TWSkSwNwYFaqvSXJnZi7+E+C2V62T45X7Zj29 +kX045kjEkX9BZgiaZNY9BX+XxPoQL9+8QDfZQULW0qlzQnBbCXQHXEYW8xt84UeBuXb2iRw+QmfN +qK8RnZmOreB6c6f/M+eoJ/IHZiR7mGLY/0WURRysTNTADWyRZ3vKKB00hBn70hZx7QcElh5Ggrdk +vNfWmW6g83diC1Vv1gDjX9Zx/eIZ9rnGAqX2g3c+a0XRBoQ6SQjQEjGt815FgL5EnVPpcP0C/zKb +liMrplsdWZ8r9ldHW8iaVVz488NTgrUmYXlMHIdGwh/+IfJillARUkKRkfHT6X8wY+Jf8FoXxOl1 +c1KJUqxfI1f2iPrWHw/PuRqy+fE6h02dbR8sM08/WSOC5I1NGQTjf+DtJPVxc3/toTYSGYiSE2xD +HlhKO3CPjZqYUY5lHXwWANRigiYc5qQrQrNXDyEw38KwcYDeguJAVRmIpfywlIrnp+cxCJsZGNWl +M6OAhcHf/E2ylcvlrIs+0dpjBTITVVlrscYHiCP89byx3uV7I3LMuuEjq+W7TTCVxInbEOJIQuUo +HKEz59mGBD7Y6XGH3nYfHC7wczgE2ccECou0M/XoS27CSv0GbizvM+e9jeA6XZthalhuwJevkeYA +2cuVVDAvQQpbu9oXhBqMA/UtMolo2aHZ3aDJfNhvmtZ1fIQqFXwKXWsD0UsoRgvTyQtQW5pNbvaP +ruufAjEfmgNUiGbSmiwHx/uKyusXcbNgTgtk2/594Uxpu8sThRqH99WJKixuuaBx4/rhdZjJUGgC +2ndZHUfJA/G+Cs0dQjIhKSeoIQ3Dm/Hyqx5HWV36tAmN0GZbEeF9K7otO+ohCE+8/FjxqkDXAEsH +nsIqosjebzr/TuldfPZc4WlxQHOrfv2DlU79O5qyUOWNJAyLn+i1WJMXFxkfswZ+AVJftCjrNcya +4bLdd6JWecmPhBW4x8/zV/dxU1SnKBRpId0E5uCpHmAQryG6mCeRhKcHQXI9yfXd+DWMjJeHzidd +kTV09y5cnbcIJDujygef+c7ZmvvONjfQ7agogvC2YO0C0/V9o5Eps8x9ue1fOtMTR26fpHOSStzZ +yhC/++Kb2KkRO516+dW6VJQKDlcALcFEt+M2cKpW2kJ2F1U53SJPmrY0/Mcr87OjGZoo0JuW/rpU +7WdtSfbDMByCGmdEVW3pC+p3cRrydqC2hsepFUz0HcEHrNqdt1yg4P69CnL8ZlNNc5HgYSWnYTVY +1AFDR374DrhlWKix2O7snwT51DKX9nHanYrCOobnytgq7pQSKrz6gbXT6M3N9ROXaWjUVOohfAxA +4rjtOAaG8l0H508ZXp6m3imkH8I9OgWLchn9YvM6qv/c76/etSSp1taLIaddz56Lz12Kkv2AGEA5 +MFJMeBg4OjtWwYCvnPyRQPqHJeM8NT/+GqC8UDvd9rp8yjp9dtQ+cLbTu6LvYNZRrmdqjfVoOqde +9NcXZrwyLNTGzwtscY+T0tQLBxhN+Yqbtd558BQGZTTmp4HQ2YSAQQKzQ2qSgqzj5jogdYSZNKQH +FQYz/O33JEAUPKAh6FYCDrWdJ6glBv2G5j5yloh4ZlrdBC3101Kwm5uc2CtiPPGazqaEiRoWoxH5 +MsVDrrNmkP6ZlmvqWkMwPJ2OV2HGz3EFzvahHVTfHvoYuPylM9kwK31BfpL/TxkpTUQHoxaiqOWz +xOCwrc9QtiFIDD5g0XL6rcJtPG3tgZAXGAu/LV0H337akCByRk9Nu/r9zWGbf6AaHYm3apCX4TOI +UJGUVJ6VdZF0wo9PE9YrIof80Vq41Y98mOhHeT3/m7IkLV3EsSr0hkznC6wqFN/t+8FKh3MU4rDd +RVSRWh486GS9C/QRZA6vxUdZxnVW2UilnHsYddnsB8vyabMmud4nPodaXVAaAnCqZKZOw4JMOcEb +QxTkButhrhbsE/kGuvwGisbKZlQAbiaswNY6MhKtBo5pqP4YRWvvNltOFMQqpkcbzCpFeKTF77jX +MrbGS8IBxFaJ0xJItiYoIOEzVhVujtlzkOikwAiLq1M9I7cGa9Rd2hATSBbB6B/c+ms4NsDvFAhi +fGAjd+4mX8eA93iX4RgFtkiEB9HS64ofImrHERwtLQ7SD/2JiJcEAmJC/nsbamjYdsiwFUsUSL4W +ClOPLplyx0+vv76jy2DoW59rTOAaqs61kdDZjcbMLD08Es0FtarkSH3WZqYbF8MnaX2Pk+tm1riE +1w2RRP5maOkAWVZdAIC4AOZ6gE1edQ94Hr6xQJFcbrZrXr4XrEPJmPVZhEepS2nbtwYUxidjkZzM +bgPx3x4+b1cc4FY+EkQpelW/Z8gEF8Py8c2Um14phkYzGSoTx+RXi/FYTV1SuqlLDaKU6CWrMG07 +YidfZm0odkPvW9KUNrYdTgpZwN3W7Z98hYI97f/4Vuys6s/YhaqaV50MPlPtZK6VxPM5ZGF6ffJi +SuYyL2AU/w/DZ9LMiBK7Q1Dxl/yGEmOZLKUnwbPdprXguLKy5wnjWeXk3hOQY2/pH5wmOoTyBS2J +X7dxElv56aiSkC5MA/P4IvAu+nfI2AnPOympFjp8M3DWnBcg1McYwE2DpQiqWdN/WbVFMFrtUTLO +iW+B7dVnzf2hZQX5UVWiAMYiJdxjP1bMZOio+/a1Yp+XGH/Vg4j5Pld+of6gBrD0WzY4rjbG35aV +DXFLYmT8y7Do0JKNagUlh6ZYMIUg3kRkss1HG+zls9mhCBBaFRCkP9ubDVuXbj2bi7dRlxkx8aar +DaCol4EI7+Q8TzypR7eXBSijTROB1M4kCN/yeoU7m4HWku8le6QtUGJKSRS4igmckXlGjllkTfr7 +WHZKnAOGd6kuk+Hvjep16QS4GxJ/RFE0bBXZgecWT9NV8SYdzgZcxOqFGZ92UIBdOqmAeS5MYAPx +teBIIjNPu2R9Lxf56JOLpAOg/I6z82b8iahOXESfAAOoVxQeFyA6gSAnOaQRjHrLvTwuRu/CrGs6 +PmYayFSeF8lJexMVQ5v0EX9fWPZovEvHBK6BxxEeCG2LTaS15t6CKZlyw6F4ECdobgrrPKwsxnL0 +WPqhWzV13LnwpcR5S/u9R+92PKFqXAc1i5bocs9cbHnsmjSZLGt9je+i2R3mrzapygnpImPHDkIt +UnVsSUo1PQoOoN6eIEYS1tP2OgjtGmgyAjnXLVHxzTTulTW0NQYenN0PDbdEu+83DymWqQDsXWEK +7uiMi3pLmM7VReXaSCpQkXMtqZ0V1PYvZ0NOGMaY14qUeSavog7UXCSwUU7taE37OEu3B/7hgkIB +4b6w+NIKL0gz6eDC09QkLzh+xB682tlQDpH1I6vdQ19vRV7TrSrMVbkspSXm8EikwEnRtO2sPgms +BQ2sYlU6IrPq3+bs/6NhIz2+RZtS/AtZw/hmzCMmBNDLaAdNln0GnRvdpNwNyKvNWNWdWhqsm1s4 +/wgAHAnYRRhyYB8SU5AXPNN0nR8LoMBhOzU34aiJCvsaIg83IEenPZ8TRaV9H96v/b+FshVsbEz/ +eY/88Nh4WWo+T+clWQu9nfEc0Egn+JKKnGHQ/5WmaAvApKJg7DIp9VM1Gw/pE+JbgxEgwd6NFcm5 +Ubm6Z3IDKK32nfNtx2pAjO6U6vvM7zyBS30Di+M0BJN4PwS2bVF37lBQygAey9nsmcnCVGyOYfDK +01WzSqUkE8uqSeyjMWgWiYVhUg7iPfcUtj0JZzSXSySpmBBadV7ATVmZjd+VZoVZvZpHshFziSlo +HSwPJcrbJjT9+NnKsznsvLS7VS6Cw73RF4MW3Vw8Tigbrfxxw1AcTU9ZHPjdS6be7beQlan0rTv0 +4pWMWWqwEGkFlmx0jfXi+ivPm56k+XrLZvYVr/mEEJG8bWTHGlNB4zClTfJW1yAsCE3r0Knx7DDE ++F2OOXy4M2ZldVjXRE1rdBgBIfMVrsnO84U6yFsYx4DxHIGyksiMwgEgL55ygB3zy9XN6UAwiGWG +ZX3vdY+2MLWh3SyF4ywlE1y3yASpr+CE2WniPwnWgz3sYD5x/CMep+Jc+RdARMQz5h3xJCYTukd/ +8IAOL906rsSi+pk6qb6TxxAZUPUTU6bxNWP12acmg0sT9utdtnn1bZxJh6COiJwOT2pAyL78j0jn +w3bC/5jpX1QzT68uCzxWWKGvhZQttmVwq3VJ2DGLnVpunMY4OfuPTgSizxJzUB9/KVGvHMPHcmjQ +M0W6bkYLZiW+WFdPDyD4WZwSdThF5Gt8yWsesrVxt/h79jVcfZat41SWqya0iW9gjxkXQW1wmgrC +ObhtfLQOl1jyoDSMynVrx5mOVlt6Ox6FrLy8Y6VkN6iBld+LvQ4OFe7ZRipjjIvUDoJXacKwo31W +ANQd1YSQv13JEH5ZJiUnAMXUIutFioXf9AbaTtc1btVh4kaRv2itoL7WL1Av+PHOtZw8IkOr5uiX +sJC1fys/3HUg7mB2rV17ynoEWd6IfVy0HV/GeLB6gKBE+9BVbDflHkolrbNjnBKFynKCAQe+F9uc +iNKU134sWuwg+z0mftBjNho/fa7g0Sx0Q0IPwhuTsylHCQk3ChOhMu4RS2bbtWCQouJPHcRsLdb6 +/sVB7TeSieapi6Xk3x6lBLly0bXiW8aWhpq/D4vg0iUvfOhpwE3PwRXB8XtnaU/jySx5p7AoRMeM +Xp+VYfwyj8A1PZ8Tiwu3IkigORkHb1+XjTZO14ZKBJDvEPdWKU6IRUfgtUFmxd9WN2/zcVGWh/0Z +U6AvTL2UdHVMA6QeRfZ3CdkU+VSgsYqwrZHCI2S277Ll0A/louf8q+ORuJNtLk3zEQ/L7jCdVaBn +/9PQ9zHD4LMtsY5dJQ31H8RnMIpAwI7z9xVfFTJQjlJMw9RfPjKbSQmnHH+/je9EvWVRXkppZzDF +9knu2pZBFxBlC1biZBaez+b9f6iDrBYxzEFQyB8QdNlqigxQZPQKZaT0MoHl8l123YJccVQzNoxu +4KklEt9sm2tGONFG8VfSNyRPKY8FSoolI10IJq8V8yr+WoNoPle1yqTjRwsB0FdSFDu1BQ9sqhVv +M90oYCvciyqpPZAOjztsZvOlVy2eLch5ZTx/yxgdIebdWsuEW+3yqBmu675rlaWlS32CEZ339ni5 +62RnE+z/+Y07/t9KalGfLW/Vxe1gi7Fg1mX/t4PYIEjgetbJvHOok8z15LOw0OGT955a9fdVW+yE +u/RtqXPpgRM4R3zinVh5doOntazbaorMKFXdU7OTWPOmXM4CY0qugt5hIhOlNgKO0j54FlLJIZ7L +HblwsJw/qsBHyh00FCKj+BPCCm6izH7eKd3oDBVSR5LKxDB1q6g4hwCkNbjIXIkRcnJvtzlttezn +AVid3vVjS/IdMIq5xWeYqD/EVcRcShMyOjRMSuoNjU0/+vXs/Pp5+xdCRVm9jfxfjb+gDRzbU1Yx +1CVlsv/Q72nRN2+vtlilNKxxY9T1WoZyl2VHI3k4RBRLHng6W1pQpQCn39YfNoMwRUfpHi0DCESI +UCFFJpqSxEkAcAEEm2MesZ5WG9jiyIn28J6NamH8PosHncNUMeKSVsanqlR18+8HUew8+jNRJyZN +DzBkg6DHaXeC2+i+Wn1C2H0QAeVpgrCalb8Op/Q4V9ZXW0oC6QY+H6r8zhUy5QIYXn1F8cdj5+4X +yPYaOok0Et4aWRgFnTYHpORe152IkJChRSMxLnI7S7yncIEhvnEmHxVM12y/SLehGzhuB4bScClU +xD6i8BCTyJCRvBvCpJ7OdboUcFJFJmHTkNm7n4GB3zN9LsRriBDn8PH0Bzu8Nrjw4WAaN2Ttihy4 +a+djAvxbe/kR/OTbCaVXoU6tj/iZ0Eji+CXqkXG9vouIxJ1X/OYJuscPlW+VgNqI7qVczA0fOsZr +m679mFjI1limRNoyjBjvh8yabSS5Rr98EWmb8MAEcnsLEwwCTbvdYxlfOXs20kuC1398v3AIkHcu +sCCC72G4Rz3CIB6sk09wFgxPFaR/fEH4nrNE14y2frLOYcFgv1uXBBcyfg77TF1vchIIDCYMnEv4 +JzMf/F+CmGdISNXTM/qJ7fn3px0OEPFawujfwV8nc3eL2hR23z+0QXaHVSzoDBiWLkzQsy06yPoC +YQyDq1bg/5ZA64GDn0TbY432W8IcoIoSxsziItVQWZPDjphrXzKo2kU/sFve3Kga+slYXMYMC+ps +9EKN3ZCWiCV4iYwHJie3bhBgci6TogOwhcVlv1khE74naqhQQ2lnKzJuG1AOMhP1uclFcgE3Pwdy +LVS6wHN5goHewJ+Tq6+RiX9G0PHzfSFHomNvhziaPBHc2h91jiLiqaPp5Bhq+8rZ5RDEu8v0y3LV +X8KsB0sCQ+WyuQZWX3fVfrpQWCAZOEUNDgj0Ca6RaSdQAIy+TMB34ruvZ4kouUCWnEdsGueXTjsi +DVraoT5voTQGsQzCJXLN/CLPqDSZHFWv+Mjz2knxqstD77/TdthnLzyl2UJif3iw+HalfCkuSO1Y +j2islfBLeksbfYg1tzgkUoNVDMcGI0+0/2ZoJBknQtsg3L8+GtFv43jpBWLc0eXyPiQxe937TU3n +KLpPNsm0PpzoP8yiS5gNx0NHdNbA4VF8r/KWt1O5ZoWPGF2lW2dP7LWnY490l/n06D6KDP2aNkxg +18JOanW07ArrBpxSKCaTPOlBRLoDC1uArJFrkFE2IQGuVP04XC+Hexe+QAormOfLeG5637eNiDrG +J7hwc4orXgFIPuD4akASZkhsZzo8fCadusfXzlwgAwPeHMz2h6Ks0AU8dpXohXiROzjLBk5YKjDB +M0YVqejooh4Rca0F85q3C+sSqvm/kCbUdL8chxkcDI70Y6aiw1b5oQ25feiO4FDhZHCq9njR55mm +D7w3bbjaDLTtozmMQ/5pmXVgLKnw1F4/mzjtNX390hD7l51UGqwJBG9xlFt9Hqc/EaAy+676iUzg +2hmFvAb2jjA3t2LdyjFnMU1uW30VqplYS/5yHI/s3q6qn/H53mQmdtLHuySl0qmaASQcGXek1YI9 +wMderKEPADXmwi7bZyKBL0wYncwSNN6sxDwVCpHlexBocGnLVSfHpPpXQE+gBNpSPri5K4sfvkYr +tnMv83Wf+ZBDTSYwie97PrUM78q4Rem5Yx8ChxLzHj408XdpctUYAt7h23JBiK0tqKNlniHqePKO +dUERWtgk2X1SPeM9HwXmP1YYmgJ2Ebuihg6xlD+U9G15U04vlArNpzMQsegtAGwJ2Ks9nLB/hfOv +zconC9LICb1ZeFWQeqXg4aaxL9IWNHmzTlfydupht17z+qE25ES/DkhuLJI2iX5V254xs0AtXRus +WU9SAGMYNRGp+SfO3xKQsL0CqKF/0w6DuKAzC0p/BqGoS6O+Vl3hY36DqXXSih/+dkF6pyBpevfE +1LhWSXbxIoTg0Nb29yZR97P33LorUIrJE5NDCKfD4gkAKPDZVVIyh4NGvbDBQ9rMGt6frLmWJ9P2 +DMXu4WNsd+UI/JIKaQPwTeKQpFRI57cAh4ipJrluGNLQMPqT2yaVg2pRT1FMk7UFNMfMF/sc4nGF +yjbuF8BnabBE0R9IXIMnRHm/zevB1EZDIzMhYr0CryAcVmjfnrldXUo/LDDdjYNILA8yAeNq0tuG +8iwsvwuErR/IjhQR8yumfA6h84J2O/CAmhqawRHopfvm4Uds9OwXX9PnrKI9FBPsX3UecOIHZHRW +5fkBRMPrOWdtgHr9syJh/WNi/Ns2WY4s3j1dBKQeCEc82KJEbyXCrzHkCfiabyLtBL4XREvJyfGr +4Ki6EUNUA/2eifhZ2yBWO+nosq0+dQ5mEq8EZsLc/QcGnzy92QZFdvjOvAz8O1Icu9C9qTbAf4KX +CC6bkQ+Tf4BIxHNi6KZMObtODx3i1vPeLkzh7MJhrkiyBjp6r18nGr5/gh0uI45I4VOXB1D8ZK8v +wcDUqMDIkEgfD2EM5hVarCBdeVQgWZWjk4hkKR0o7Z5q+07sg/MQJGVsSqzj2Re6yOE/KBX6hRUi +xgxvmi7YARFqwsT/H0N+3Apjc3JBvpPNxu/83T1AlDmbM6Rlc1oXLCJzpcJveARpsOUkDwi3czay +ostuCQzXvzJ14FwdEEZ/BnrXUv7MIn3rxMEavbGEirxGa360xFMct7Tul1u+sImNIIYYcth/X6+a +Hp5uB1ij9QbJHG1PXpm7w60g5pwE022GXjmEvxNISl4aJ65hMhk7Yp0rSDBzIA6uEfNTK5ShRZ0Z +9H1/0To1n7o4JpO5CTN9ozCSCsaMOPDBaFdSZdUR8VlW8/UcQGCjvmW47TYji3bQIvq9LOfEnu+E +2TGiQj6BEs+pZlEIhrnlPVkPEImd4jW5+va8gdlQXO895jkvVa5xZ6u45SnvGUx0oaU7n3qwHMwf +goK93sX7S5nZTKTSWvtFlllFGPjxOVToeBLMiTRrM6SYMPBagw2QMzcmDnaShPKVFlAUsyNV3PqX +kEc7Bm0vgIOHfjYVtIzKln9754NtAJxT+o8wPEJuSXtKO7Nk7owu5EZycMXlFG4Y4ug1/pXaF9xU +QLV8WTayJmYnmb/9hjecCY0eMcx4605R9htKhRQAlzuPe77jkfhngcgbJuZ1q/RdzylROpJQbBSJ +0T2waqEHESWjq/b04Gp6+BVh9UgVWY02lNqJ3XPkiYp+p54lhU2L4vKd02iROPrfBWIKQO597rz/ +XjurDoMUx82DpSZ+lEUlvPFjjKnjAnDpwLT+kV5/Nm8Q28l5jL/h/tGlTjIL8mk2azcsBjv9s3Tb +3vrmKvemtteCnMIknEH6ii7uRuGsUbAKEROPBhhrFqSSXnxGE9V4H8WW2KVogUSfYWf3c9Xhfy7j +2jfs3uQhkIm1w3uSkvSZzPC/fQrX/A9L26ceN4CEWvnuWb8MeFmk43nuTGK+LiPHBC8emwio/6do +TEQaKbdIz8H/+9fW9Jrvn0tBw7JeEJCG+bvXdLUR/mcSqTzBXRoxMGoLQGOWSSDMuduJI4e4fHnQ +xq0YBb0KNTXUPq0kqb8DP8NODUYkkVTjy9E3SI8ZfcpE7AYaSUZR/Qe7mZTVhp6gIAm6Ko34HgIv +C04uN3p+qPSxk69QV/0WszQ+YM958GMUfhnZLpjXFo3ItmoSCD/YnMyASvzrrNRrQIJw8WJBQmEk +kC8hN4/f+KocPeSE47GbqLuM1NY/LC9ygzqXGPcuvTYcgUkasPi+WvvMqNQyAjmJGUTETy+ZAd6u +mWcLjGCCzTzCs0t52w0jnw6D+VOyuOFzcZeJPN6Xjyl4b2CV+FMMtH+09e3fagFmIw1QyUDUxYfd +wNiJCd/fN+uBR9t+LREG+6CWrh/w6GLtB6he1hHI7OBCLTXd/t0ndhF6fQUNy9wMWnPqKqkTAdaN +JBH8Ey7ICuaqcEi8wV+e1ktpZPFa64YT+MdkEKk6dH0zVE1S+jlXjc52Q1gzWnROqVio8/JaCvFt +JtjD2Ii78m5gK3V1cUQ9cgAvfzlq8OQ75pgjGxTCMupl4hLe6OBgbw8deLZEDe0ZeamhXetLhpWj +1IandMFQApjn3EgoHSLQ5LGyTjDz6hhcb6Gbkb5o75D7Vmq3h4U0Lc51TqJMSOBGjgoimMCIUkAu +ti7q9DmSP+UXBQxED2LFwG984vBUbX311aMa4TOozZNoyrPbfXmrvLA+6gOg7aHKFDb3tioIUG2/ +E0oZ2SjUSP72KV/4eGXvUjhT6xb4ILfJl0af+J+DoDrIUct3DzUXUV4bCnQsjxcK0+vZjdtWGpFl +2sDt1PSGSxjn39hQIMgwJndQ2EEuvazgbiddldKavLqfDuLx/1tMG7QsU/MvKHXd8IQQZCR5vs0p +OrWF6NZoILJHaZA+tQxtJnVmB/GJUOpkWMQ5LqkCy+lFHKdF8dQ14IHfcW114LRoHryDhSHm2XDP +M9bNdrd1oi54wF4r1ADzTmAd8svMHzf2pfFM/o78SPysawi0sVM3dtPzD9xoqMN9s1mgCdTzFSIV +xC7KTOkXJW11v/PMjfqMPpfm5mu2+i+8Ol4zFBW2t+XFSSQSFO5DGEAh1DofHtS1GTeOBDXwXCNI +fjYmIw9oCvFuy6fs5Te8mdbjb0XhY4GSPGtkhWPVwUnfBORb2IfhhWcPPGfiIjwFqgLvgv2tmmVC +bg5Fi5QI6uo1QfdbfJeTJSKAs//kkCtgparj8DXK+LznlgLurMlSw3fYXVALl9j5ScIzhAbIZa2w +1CBIFe4AsPAlNAgPVQfyteaJpeAC5tOjS/bCpsTMSVbuar7ignDUowdsKpIm7XLb8uW6SbnfELnu +7jIDeTEM1HkWHcuKERQU7fsF0gEjD13va5WwbsPPuu2CneRWXlyp/9AHpX35od8jGNxRENNYcE95 +zCiCoiytcca3i3NDEcDR2yazjR21iA9nAaJRHffhwum3gwaW29vF+lcwREEnNibh+7Kr6VA6HGJ7 +kCS6C20mjv6LY2mz61+pyJzqfP8HZfdNs/agAOBUl3awzmWjC0KnXaU8pRB+6ukn9suY+TUHtEeE +3Hkk8FssXbb5gmZK4NznctoiN8dom5EIYmifBmvroa+TrJrD3j1PH7LsARb+wRCqws9IjllHDqK9 +W3binL0bgPy+GJoug8E4e6vGBZzxvQmVNYGcxjgWV1cZ2ws3HVzW+aH236w0kt5NVgSrbtwUu6XA +4eUAa7P55W4/Qymdn4Co32JPTrFiV2RDUvfqICPwT+9XwMUrnqmgeqIm1KNG4gFnziYvtU902dHY +BRiiAnjbOYtzjsS1JcGdEra/0RPUqXA8Ew2BMgFXu/8Y0HNgrJux5IQZPRO+K2n0xW4OX7JKQOCc +l9TMJRYyKoHJDvp6liJmchNjQKNMxOuOuEfbRm5xtvtTtQEkbnnYsZ8D3AI7oytgLCUHkYH0fIAw +zWG5lguLTQaR9HUo5YC9xyfFjQ4V3cI2DTpftDs+et8P81WbNwsDef3GC4mYxUeJKmKwrbQaUpz/ +17caU9STLhlVYWJLR2GaOwep2qWY3DgriP9yY9tTypXoFKwu6Vu0WnPQS8aws/8aaGBmjayYkJH6 +KOzPMxnbPGW0gwdtMCqgwhbgxw1kovxfQy6F9sBk7tV94/rfjsTLU2qs5r36y7IP9F4jg6p+uLnY +bytGFSWvzNZM/CuI/d5QbFf7xuvnV1dTKuceg56NQ6NF9ET40qohzLwURelp/GcCtOvNRYForgjs +7i5PnwFJl2owlHIHTlCgJpsxSlWujKqp9Y6a3r+G6j4Y1w0zdiE6OHo7nN9ZgDyBgDYYaTYVZzAR +1UrrfWwm3skqZnWzRlDqt/PUqmiJa2Zfcuom1r9+QobN/6xR0DdHZB80ctgchCNcw1igdkMi4l50 +pQ2SOKGC9BuQLjWuiwQyxv4wmsGBbsAPDBl2PXnwjYF/Ff3DaJNmXr92r3HFWa46bkAP+96mPUj8 +FGLhh182yvTvIkLO7vE3D06OZPzGY98I7QktcTosDr20uGaMKaQ0dOdjXsLQT7iN6iDNa797LSUD +VHkCsI0GP5KSyznL+CBIQIfSYnnI2oGNv85sSs9jyFxIpRQ7BVROIaIm1o1HiAbJmBrHp0Xpt7kh +GZBLu9XX86j+youmo2gh+n6gjyLccMBTzUXDpBoYn9De3/elJA5GFUr70Fm/NrZ6dYibvw+AUVae +Gku02UX0xuO5SgUnWTvl/3C/OhwvhZ7zcgjEUlE2tpx+vxB5stYSPWucbxFrae7ebWCGWTJnzMV6 +wJIiIPdCMSfIitbO/SR+gNAwLo6eOPsBeYOjSVguQJxRZrNE1Ttf+Vy4loUuiHlHtBwW+uLiUR/p +ypbabNa/34hFH6fu+TsTnq/s/Fnqva7EpVRU3YEMi6FLi3XQ/IXhJPHFxTEAPSPoBMeY/fQ7crdW +YWJhTEnobM3Bbzv5okYYbgh9CKmzmt9cGQOG59FunovSszVFXJ87PsaL2x+TUM3GtnwL78Ti+zJU +HSQTxFXOnS3OoCZP8g3O7RFO547QqKh4xG9ANtZS2/BXifIZfM4FcGzep/dlx5Jb0GlY8xDY8TWB +mQZKoSouKTOmnfElFTyi5WWcU7Bww5Yy/FGrEpjx7w9HhgIB473aH2wIlovYUJPWjuw2RijIR+Ot +2+q0a5BrphqLPI8hmBWJpd+zwTduWU07uZW0Enmh41DM6cUymLbxrv2tE2nyJA+loJb3HlaO/eCo +UCR3ZCBRfu+6bF+3dpBPOQPgzSDBuXuzodq+CHYRyukAKz5HTXz8NoUD1i8TijHy2GP/bnKejI5t +iY3o2YYD8zuY8dDcOVPRH2RnoO0ZUSlf8Zxh+51za0KOsYvEAe2Te5Qh7mje53lTw/5xo5/HywPR +JpAZAU92n+ZjWtqXvTRwfWFgEkMFaFGECj/j7OegzaX8h4pX30AobKDXsHDsWNzMWhRSQsplG7Ve +djSNwEf5JD5S94qaHirYNcAchvu8Aec1udTy8Uu2R2cph78qv2Ie6Ga9rJt7okBdorKVVnPoQqgb +x8xzXFdWco8/MICyEdD///MoBahozQfAFabvKcxPBhgwSl9v42lnepvL4G3kyDVi16o9qD2DoAiM +S19U1KBv9HffT6NyIi6zJwhkxKdHiYXrBbHGfcos7EWRPf/LzLPyxb7Cmxw51uDC+pWJgV5+zecc +Q2WV1Ewxd7RB0Zy68gBNszwjChrWZSBDUXacpvNFlG1JMihuD/DNDhro106cIcl1P79jFmWFMqJ3 +zZrywFywpGdOCzMMU0hHyt8FrRfT7vttbi+4YPsMO9hj6BrRgF7X9dH09FBp7YdKgSohA+j/YmGg +PnXhbNWNBBLcWq5tkhjKv77QRV5Zzw8uvawj9XMtvC1eycrPF0ArqX8zkNd/DpGYIs5/0vWxOgE7 +Eg3rllDJBrFGH6//q/ZZ/jroXVvXBhh+9pmCtExYLTzgBmFhSvLoomPcesOReKsWucz04cOmcHtj +PVITCv3fhnzddzHKc38sX5fryNlPNp3/v5tnkZ/Ujz1rhkK5KYhdzE/wC7YE1xNbrpS3kbqR4wJz +GB9fHr4AvlF51r963UoFCpfs/EQQ90mlgGowC8O7FtHQILI7LGoN/dvjRmUAFQCX6WLCgOOs55Fr +tIm/YZnr3vOtLmKjL5HD/igfiSNDYj+5SB2sXzBxkOVV8Zb8G4J93254HsqN3y2hsthglfwR7BK5 +r2lAcgrhYU/W4SiUBPHeDR9LjFQg5/wGWQf7DRxY4OKAJEMXisZ6mUSUBUPmysGvVZtwpMjiSaXm +ZdQrPNxK40f3235XDdIenzt13fRV+SHHXwGKnh1buLBs5Ykq4JnuHijfXCde/76bGfpF5VbQdRRR +qXEd8jt6dg0HXSpq3QKhDYwdGqa4gtgaRXQuUOYVGATGlrHm6z0coaO6uiJ1wiDjFFtHnXhs2gpc +ovn6F68u6ahZ9AlF+Elee7qbyL03mohB7jOpxcbRN4MK+Dr/56SR7XOGnmHpzS02ljzSsMEPnsbr +inONBveUgx5rG7TBq1LcXCKFX5yX8VSVpi5CnJfvSQ3DRdUhia6/PiETTq38NgZPNJ2JeBNAqYn5 +iR6erP8LfUhPVcHE//jt+JH4JBZfZro4Bz0gekNg0QNyyJTWYUTxXx2b1Hp1OM3X20BvwUi2Z6Sr +M9F5VLVkSL3KtRLq+o4FfVjboVwwL/4SbKzeznq8AIuaqsicA0VsrWDR3Ho9KkOVvG2VvLUlM7sx +jaez1ss6QxK9HQVKgaOIgfvBw7wuJaiGOEiNvr7X8gsLJl2GGPNs67azRCpt4DyFTUkLVb9+Zrc1 +PzNMMmYCKuFSTgPMDJZOn28u+DXSwDSW/qCUqim34giFz/iaBpsN5/rHX9WSQcvDfiw2Qaz0TmrI +mF3ld/X+sQF/viUGgWDSuQ1F1s8uYLif8pXV9AzyoTAbjurFcekYFzTLSrGZkxm/jX6zVk81nWMY +MQe0xk392CzXyrYBUq0hDahuCipzLI2MO4+hPYS6ITeJ832bwYe+kZ8dvcIyM/LKOL4FcM8aNVa6 +j1H/xO9RUXZHV0YzVpQiSuDhyG2m2DU63fwtX7ffsXxYx4m59YnFdHmSMMW4WxBzdAF8GpG2ZJP2 +W/nflyOhPnlYxXkKCWVxTAAgBTfeTpfl1Wk+qI8UlicMYVkZwPohzxHNSi4OG6Ucnlz+We/XOB+Z +c6ZtiozYMEb3pHVkV3KGL8PoKaSPcl4DgHgEkjH6hWkwKtHJ5MQQOSz0RI8OKEK/eMuZrehYjHpT +j8m1Eh+Xa4xyghPj8gegnu6g1Xzz0f+dkLY3ajLJkcsPOoVAAnLgt9iYSGr2FknZdWONT1NyYBbV +UBb3DpSnNoj4xIq3WYcgGOZqmTnJvqZ7hIf/8fybvavXVAgzjOB2PpuezCuyDybD5qO1YfNHd2um +fPByaILapjqkkIfCL4qMYYXtOraNbg37ZKHTZ9lb5CbBgYNBJzmXsvMzk/8uR2pFrH0LihTKihIC +qqhq+Iacrjmjqn10OAdR11e8qs/arjpKl7nhmR1g5rPyCakZUCeDZ4Gkwn6vP6BBEFZpMEotp7I8 +rK2qtaWFANcsnzi69NdoiabgDOpScrklagIKnzfE+RZi7CzuaFik0R04sMBCrsTqWM9bxp68Nlmv +cdvS9UkBpIiZ2UbWA4qVyW+xUBDHSlGxNdfPU4LbJ3/h9A3N1fSdeCInvi3V2lycUE/kb5jmB8By +44fD2mf8Zny2+j4DvTBngj+27K/1G1FQgitBCAsDeNmZGszmHj9uV3fh7p4Pn4VOLi2uXQ+/bOlQ +02tf7bsAQ+l4S0j6KB2eE5bJs5cuz5mOSK9Da2W6z1OxfT+PbvbjiJNILihLDNBFRanJp7u45klg +mR4uzu9ZciObqrOQ0kzUO/x9Luf889dKXZn9y5HafyT/EzmXlhhNdYdiw7mjgEMT7a7r8lkhEiHT +G2Csfxht1vUoYXP59gJRfcWRRPLlA12uVfn0xwacwJFkmoWbHveLMq5gDoXAylJ+s+Lw2iikmoBm +AuZDoQPl/bSBD7RMvYZLi/+sywQOsHpR3vNMXuoza81yKSPTTLA2OvX0ORaAaymoKbrDuHzMcjx2 +1UYAKeD9r15juEdMUeQFrKOVUlJGFnZWxOGKufXh7d+T+UapYt4LEJIMsQ7sQk2BLUqzcv8bOEZ3 +CIjSVff54eezVxiV5A0eYFsgwHkE3/SYUfHSxmBoLVBznTYknkbpLZNcFHg+jmTRpgvGq+8UX5VR +TKILFGP4+1ys01Ka+Z1NadkROft9vwTmcYs1qiUn+qum3ZrI28YlLffpz/ZpaTsjl8GX/KAIGiX1 +ws01snPTaPJuSCKikJx0tWpc252cL7SocFF+S2cSe9b2t7XSHumhzPTK4Hufhu7vD9gT6qV9J+bb +EIZIatswpYL9uMCmWrB3DwwEqXvgO8oeEcHYO5ySobXImj+DXK/HJ5S68ZLPwWJJ13neLn9hQFml +nDym67QwTo6v9PnRRsXEjpRwXU4Y88NDjcT9cct5RIC46UY1fBl0YU0W+c+VLwjRwXVO+Xr7QhQz +memIj/lL8AgGsoMTc8flUENDdWmtBpkN6Va8sFr55jUErclfR9Wc9GD9jz1zyQ1I5b10otVMYp5z +Axd4Z2pG2sPMfIHgrK2nToEeCpqcixdIjLsoEBgXb6WHpJdIRKzaPvsV4uE8n4WPqOt2Y3P+MYvw +av1JFAAFGMLTBZdi56Y8SFjB2XVNj3HUYbjnF4tTv9cNwG5Tns3LCJ6Y6wSYC1NgglGFLdJGGoQH +QAqGSfRFcEyI/NeBTkZOTD+TYW92MwigalCJ4tQECuHNHQ2I3OCZ5d5ykQTWd32KuGpLG4f4GGbw +HVg0Vzy1DnJ+sF5nWxP4sFESzzv4tXpMY4G4CgFUKikQCXOAUnHlfLkztL0Yx5gFocL5wWWzjaZA +6petvCEUPSIEe1eEKEFdbHVN9HF8kemnDbJ3Vs/cVoxjKptfQO8AV42Ljob1XtJz+tHUJBTzrHeJ +Z94C5oDW81H9rePTWq8tPsqOX+UUORAVIDRKUabSYSAbgfLh6ZHY9ATBlBmXPfXBqwpfXT5MtQMF +B8FYizLl7fM5xiWrnbr6euh5gQNV2P1RBlNch6pboIBcfo2BM8hwlMGTj43OSNdGLa6YVV7tMUeF +yW13gxaXQb7Wd4xZHZx2Y3u4VEZryIHKrnEZJKdAnbXs3/xs9Oyy39HwgLGqiFWqfeJQSPF5DwCg +GfJOcpkDHYHqOYhUnbYl6YYR9Tb6sWqBP2b0K2ZuYM9ITfEYzpsmVx4ymU1jXfcP2X28qLv/8XMs +nHzg+B2j9KSKcJTMfCI/YAg4sdxDRQfCaxTeFnvMGxgZcAJXbm9V++PzDCgK5ruRdTYA41RSjjs/ +tEKip3nOsVrQzDJUwrMoc3uuuxj1GG4lCTsKIokxdSXkRs1pigidSuiJd4EygP4EFhIENxy1ga5O +Xl1d5Z+J9vezLhbD+RpEL7kDb0vxJQ8hW34vfntloESoKnqhsMTFxFvoDXaHogh9GkWG3zH8KBf+ +Bh8nYjCduh4kAHNzmVZiteBlMivhNNmxUq2os32pfxj4t3EyM0HfTpeQMJrIJ8McEb+K+Ba80fTd +e2ucXvsMGqXgmPI/b9u0P1WKZmgumwZgFEYTci86Z82xD53gA+Nl25OJipPSyx6VQO3yotw4/Kbo +/grg9yqsVFo7u5oh872UiZalGsNwKd9qj/9pvK4pjMA5AWhowMof9ccnuvjYs7zAkpty3nEYzGcq +LmKqoxPqAZv/HCxg4m4jQOXCTXLA7CWz9boKYtLlTxsqDV/4MNXJYupSXQBK1mXRYq8iDJRXE7Xz +gMnvUFIBIsAVKKIlwp61hLiHE/cJA45NfX+tHbaQlwoX4U0mZuW8LbVsJkNbB78Fw8iz1XR9Fi7i +TIMyv5Ep0EAChgGXoMMR2axqZzaZTXf1KlglaFoC0UwrBZVMm7TJsnHSICQsMG2lYlga6gCOPssW +clpXsrbe5w2uXgbxissHiPim1Cc59ytywAjyZtmqg5zicCqdLGOmKy/zKQZRGP3NfVDdcZa98XKt +gW4NY6XjrFLqk7tZ2eXiq9dPBXZvL5gOBWf5zHsoFRfiw52pBs0O5jVmRxfwriw6TuNirH1cK0MX +/6NE5rhqhfXR0FdenpIJJ99mhvkggUg5ugkxp+s0Xa0CntSaxr1aa9H/Wkqx2lz96AafQM9vwuCH +5UWa5lUbtQPvTs/YK+gMykgqvjoBEHaIGQOEIhY4QQquHwPWdN2FpzYNZPjWuQxHKyDD8LBKZ+qk +TS07h/bwuvSaDGCZTBx2pmZmQOsZP+mJ/2wfZNXeJATMU9/vy9vqXW8GHFGd6zqpQTEm0RQi2p4Y +PMxUnuYbebZFJqAelQ/kiPiek3dy2TQio+w8L/saDNRxO+yqw3gEV+g+q5VmpDc1YN+ylNekwoSu +zJcrIgKm2HMECc9zOYaVxk0oixSvPdXzL+A1ss0VL81NvZC+owKpnZQYiTqTzotBGLOSJZuDyYnm +x41ez6WXn3sdsKkGQUG59byiiQRevEqEuo8s5Ekt75EEn26lEmVSNtFkHPuyWLvqBBS+F0tl+T+A +YjIYJxCJVL1XMkKjrKnnOj3flEsf4UDAEEtS8ztD0CkOOzY61wRCv6sSGM2LhBsLKLEQToLeRO1Z +3Rw/S7dAyic+XoYfM3CKpn1y8ruOW0EZ2CSoY/bBVYqnukQk9i56/J5jlfgQu08Q/YRSZ1yZYd4V +mawL3/5EDOXJudAuI8vqYHC1RJ1/eJ7IhWiO78p5dcPQi2/E73MdBLh92CYjzrCq2gEZonPz6vTH +vU3l8wR7T2KuQsDXXHvIf4MHRtd7BOpV6x3E0+ujBj3ZruXyLhxdnTstXPfL+WaDjudc+AdDiWih +sORqRfJL8KmZbMyNec2NJnAGuZ7n09x0OOzzrtESMc2xGTLH+Qvq92yaOE6Sizt9GGwtuxL2m3SY +De1zSs0bGWRJVZm93jctKNnTE9QNYXQRKJTJeo6kUMeLcH3Ts3n6JwCThRCNBU99eSkFwUdhmuBw +8981SmjfFvue+tzAKHD7p2Jnnbuzar83JPF4Q4McbLu6oj2UzG+GO7Frlyg2GuQaUtm4WdRZbHgE +EU4ciOSyyjncnJiQPFvRkViGMn01M+rz6QvfFmsOu4bsEGMTaQKh12dDOzMq/GTCey7IHoEdiJQB +RWBn9+4D8QIXMjEN7onKIG92rI/vwV+Zbxx2NN5tBMjiCxc8aYTIfKUGalolKP2dshpKfvxIhscV +DnU4zuXKgisxqG2Uxx9q6HcAALLf1HkOSLStBcXgi7Kx3swWqnKRgwiI45iXbB4NH2PDe38Cb/M1 +xSQ2yx+W5JVc3R5CXpLg5w2rvwXEsWfWBvvmyFBglEimLcA4JBbC0Yaq6tozaBNmANDW32pWrq8C +L1+Bqll+HJkJxJH7HRju+3e3kXV845CjTFkQzypS+38G2oCtM6nXph6ZRYw5+mvBOoM9vriCSizR +DrdlfTyzNrgAhDSvVWVkJhBPu14P8Vpcj6jkqLGROIhdZY38SpnrsOAlMRoS4Yf89whPEq/hCc7p +4iGhAF3LN8QJ8IKnqBXPPtjM7AWnjdCHHb3Skh5JHNe/AOAns8TcVqpvESy+6mfYgY3XwRwOa049 +MA+M4ctnHLTTXSrTObx0iu/zLKzD8fStxnJW1tIByJCE91DYf47wQS4mj5G3nMOABewT1HV3Um8A +XHbEudQYCwAw3cDKQqj0c4Mq6avaToHAFcBiDMifsW5L4Yju4PGGqsJGQe6YGKrKzHjMHitZPva8 +T8LAT+pY+n4JgU8z534MorVvVdQmckCDBOMahZiK+NakRIsRTBBpvOGSW6cmsvxcTl83RGoQh7KL +y6Spf6HzVhNjnKPDnnvKxAIfo1WigoCJRz4hDGy2J4hf49xj5OxSVv/m9xd/GFKlTUtVeOloN+yQ +ZFSegojTXUNlpk0n+xrCgMPWOnA6oqyP3CrJmQiUGKGW/vSUHNQfVfBhANDBXvK6PwaXX0FaLGLp +fBK/b//mUccVpennJVBN1Mv23OH9Ka/FNujlxrq3+6jcSrlExIWZQnrjJwYYJE4KUd0ThUS1yDAt +DamtFVvQxSOzTdqu8/ESdl1mmKxuO7vDdkHHj8JAaSZ1NtZIX2aIjps3I1M9uHD28S2MH9ZUAcYn +V0Zz7LvwgArXhqZyPMoULLgYIYmwqWlJdwrQTFfVcM+aQJ1p/oVSfPQ7bVx7rQHAcxNwRotHCXmP +h5+YuhFKldI6gJ3MgsQ4LxT3aY1eUdpyhNbB3QPuJI8i2zbommnLMXCAu5vnTPCh4ZDQoyhOGq4R +zNyhJsRBy1C5bj9HD3+fXecdtBIlsQoOFNnw7f7tXKShRDCxJ/+IPhR3h9HH8kQ9pG4lgexEiQFi +3+2MrKSDZe+ocgA2QLd5e8TJkZMKlD8y5f6POwSNbSYBcIRFB3hr5qrB9SLSLo+BK9B7saKCkdI2 +InLXaUNf9dQTQvpt1i4Pb3yYg492mqSqJ0I/y8SlL+TjwYYqmVevECBA97GMwcNSLK+RvC5ue8Ss +WJb6V1S5ViafYvKuSlea/UMQbLYymeH65+mcIHKM9WRO+60yaHzTWv1QUA7im6A+pxGRLfZ7v4b9 +r5BghhPxXXv2AZMlq8lzSP2ozK6/4iN2PrZa1hHszjEAzkaxmDLRLGBc1jUO+hE2Gj3L4CiFxEK1 +4BsQg0n241aXdL9Lege0HMo/Bl4H3FNUuE/Z2LCpCP9MOizD+71168g2fQLBcj9aDJXmcDEgkiP5 +8gT1MraTNP2N5ucp8SvhYxbsQozZ6/9haEzHHnpkVwVYzRuWo45QMfu+rcIvhDGgyxaBb71ZO0Cw +k8TQW+qy8dN4kHD2oXpv+uhR+WhI8nfftJ3gDBbw5cbdplDKIlObXwYLw6xIdnCmSas1qnqc7xo4 +HpYDEw9CbKWqib1wVAyH5hQAD71QHsTbl5uBFQobD/hy05Fv32XsGmueguGMkyFNgdWlb0qvBU3d +vlOl1zybtvFvOFOmQCKWTSREclySaBE9rNh1Qg2w74/x1IyApMb5wdSNmuTzRDij9qFqHRyR7srT +NvYGocryQ9VI+zDNm5zeaHKIJ4pXcnji8BDQ/dltLBtOpx6UeICYKjfXzcMnlt9YwDXRaodZBBgl +GcqQBO0Ftyqf3m4LqK/IgJMDrvIamUKvDAKYwOSNcLyiH+yKCQGqpdoy4DSzItL0UMTg3HH7JZeO +EazT+xi5V84pB9Se0cSaZERh6jmdyAUlg/9xYWJyJ6ENayYl6p1IOUon6nKHTE40uXcp3MFLHJCY +D5iG1HCh2InGoKKylNWAA+MKm343zDmkq1CKE34iT/Ukv8gg+1u/8kcfieESwXVVLbW3eX2YvRsz +6oSVXnnIC9FxGuDtdpdLoaGsz2blOPb104ymektCT4fwlUmlufMckPtewTlLNShLCeasmYAOvqyW +FXawdhCn4A72LFzzi8VvhF1PRnjbHXeu4ygvm92PCYqJhvKSvQt12gYZb/IRc4nxI+t//TA6vp8L +M/knHNxqTHQIVOHSapT6E2eyzcdf+GaoedBEOC0SwhQqC1Gw6V1YiLtWEgqi/HLhVkiDkk0Jz3r5 +PbNrGKfvlTq1DQjco5GxxI/cFTTOx6EXcLmtItljeiJwacQVCgu/szqMOcp7RcLuptyO9Lhg7mo6 +KSHGySYgbma0QHg1twrZDB9CcR8d2prgMZJwjkm4dMizY7sShvRqfYufF8JLpOjwYMecR1jR0PQt +kZdVIRzl13FJC09ZzwFg2hqN55AKJhAXHQ+NHp8wZu7tGpHEuhlwlrRDqbqEzj6ufInxovJ7vTq7 +cJKCniyieexRHaRNKyIvKjToO7wsM8S1PZkmkHBrinARwUP3k7UWUGgqJmlhbVmn25JlM1tKpZef +yIbn5R/3JrbSXIaziat2j2fxeNl2DM9XyntyQK6jewTn/U/Qz5cswx9zxF2WnA6yko1l9W/yIAKq +GCdTbHWFSQoJ2YtNzQapOIkuF+2rQygcMbK7yIYsuAUqW1MZar9YpNlbrx1ycitMa5fMytojkUHI +OgeyQI2PS53Vfwjtd8fZTn7+mtK35/QuqWH4hQgeVqz3SeWa95YWxh4OYYQv8moFsGqRE7ZXDJT7 +MYP7/FgNm3unlfH61vcx9d0bsZnKl0xAVbh4tIVfpB83Jb+k+QZvDDzpfdF+ciZemWsKpWY5JL0j +htMy6aandDb+nzzhbyjYT/g18TpkbtqdcD3zlMfiQ0JEBTfNLcB6Tin+k6g/da0XtqSou2wLjDu9 +iXF3pSz0r7wHBTMOWDPogWZFz413o2fqfRc3No7zDGBlpZT+ss4icjNeSqokHRelDtDG8J1ZG6sR +I0+H/jWjkACA0gyTGe1jBnhQ5YG0r9pLC899Xbto1FTWQ8QNVYHMtdr3zyQg/ktiY8rm7f/NXnbu +HgCDg9BSNrozoJLiPtAbhVF4jDt7tKqR5c/AMgZVAc4Kwte4G8AG7c1TjLrr/Dg0cvwrGdodKb+Q +eXbkwpnhzXOXZo3W31rhPWCtv8EdwBf2maetSHqASL1cqfllaISrKxj5/jMx0Zi6D5qDavm3XWss +bszR5WTJSKW0psRnRjOG0QTMq5EK3ZO8qapnVMr/Q7ksd0r99iapObcDogLdRAIbo5X4Sx6jHT0V +kCcSJK+mV71qCO2XKXb/DESTRSna9zatysvKP98GL87at7RD19ZxC0WvJTNNNQTm4rC9E8cvHUUN +Lg9Ype65dnQdBCSvLDAV1WZzOun5Jga5IBXMDz/8hVLnwg6lm4J+L+ogS60RRnNhICR+sCP9Ul6U +Vg14fPyuR5+VjdTJ0eh2cTZLlQQVlptRon+n22Iq0iodORGAcmy4xiH9ByOOHLkXD9o2yPsFIToM +JhetWeKlNsLX3Dj1bD7LVq8jhtO/jMhv/0mAR+wpxhVUAbYhZQ+hOPd6JdMZfL6Eykem3ZnOGu3e +Wpxf0mfkLSGmQ8CPA32lN2PdmaOqcjTt/0Jp0I+g+arU0hd2xKBHGKsEd8+FYBe97qpx134lIfJy +pJ3TDyhjb8WTVFkojqr38DHUzEE28oQRpYz8YRr9nvWhski7lRTXQkxz0GWEi+OC1bkhVquZ1/tZ +/41xq9r/vlAGbfIxUKKdRu2Qw8b8Ocmx+Y4mLRdrgbjcg3Vn/xsOds65y4VyLBPkHdLBDN8T4zWL +cDhGWVxSyTkciqzgQ9oez3K3Kb8jro7aawp6uPcriNwWJaIGC5MMdXfwhgcwVk8FhO3MgGhb4BiV +mAshoFf55Wxds/7Fg0xDBIO9prtm9gYIKS0gmCTHVXpn8KI+ugqfo5DLgZe6+35gpF+qaHDHOk4X +bv3svBcHTauPfHOHBI5rpgFZbvjTSSDT7gdFAnqIpWOiQ0PtqK4krZnsyfBCc9Zp5BTQzZEwssSm +ImJrS6lJfVQoHP8WEDitfUbDafc1TNFZwI4XHlSHeTijwgvoj75QhhgPLg8X/NKwjTcXopoUxZsQ +6TlaUwwoXiStrRgHOJi4UeOTD+SyPey+2kV62UytE+KSjFZrmx9vQBhxJetx40XA+byuS4VFt+Jw +KhSe6OIXnTRaI7CvMFGFRL9sOMX6eJN09sxZ3ttuYd1HBL/MXlQolIcvhZ0B9X/RUfA2owDNntJw ++ofomk1hplh9Dd837G6KyHz1on+qRvz5jLRfAlymAGxOpMWeuUFpwF5cciCg/GSEAuKYj3MkCRG8 +hO9Dsq1dK5ZgJ9MVKABAHQ53xaKGmY2HJVWf4mZzmTGnN4x9dgZbxcMm2+w8SHg3mkht3+NIQFH3 +z/wSrYGOK2jTUsewGbk2NLnKP3jK62Of7+Uud+CO+T2Vau+EH/s+FcTeOeRPBoRFNBGXcbc/nTma +dSSvnYIFjZFJjf8tkZWmA6Qh2DgauxQbPKPQP0P2WfIqTQZcUZ5rW6DE4QQcjLrHYk8V8/YznqbW +fRHmSlsk/AY9spbVWBgRKrafVKdsYFbTV4Xyh/ugJNlAP+Eq0ftLdLtk8DOTUhLX9shHVv47Bp/g +d/40gTkskA1GLPAb70gsZFaqmPIG9fQDqPkzlj4LtMVYhA9IHcjeXvWtVoJ27F3UyeInho0BVXi3 +fN5s/DswM4XNHhHckg3/V6UF5jGPOFubugbuiP/L126qMJgeT9KU07sSO2sB5aSnw+XzBVdC59Ga +Wqrghe+kT5d3M44SlcLqGtbTlO1rutfAvny0Nz6GuF9JTqXVcuYXo3lLpHuEx+/LKUwyqizgrf9S +XVWbSIXNAi9irT2wUSoDVJKHdGkIAe5yar0D/RfgevWovJ26NFMU1c2fYCgWuDx8bBfo/2HFOxgy +vnyhhCtkV3uptWhS4gpYs5R1Kib46OV06A+JQfObR4vq+KqyFKFxkuRw1DoDAAB72J7pX/nCfCAN +oeQpSQgkjHuy/Fw1S0bj0q3JhmX5fOfajjJpWpo2KWHp32ntSt1OxXjr/ece+v2lHNLM/qyTUlNZ +Q3X7cyig6FOqlW0YbGwslssBMY5m8LrkZ82P/SW3vDFatY5iP2cpkVAVNFzo6jYjNvhX43rjV9Wa +lTdt2H7xk7uHLj6DP9kuSH6Ii/hWQfjsGTU5hPvQDFob/v0Gv79UL1g+CigGl0YpDNOOlirneyOf +4ylAfyM4x6EwvVbVaZd02dLa7356pM2OooL0a1YCQCMeiM4gfTsGEH+YRp3X1GwIZ813KFoOZ966 +pETukgzQl2UDFzhXQBChmECaBT3dnfShOIdiz/E1h9XOhAePFdl0PEPgBzHAfW1jl4ELyvqtypgW +K7iRFjSV/oQEQyEu8/X0u9OHMnYrxq9rTIWtx63A4sXwj7SILgb2QVtEiL+HbTpdmnYkq3x+o4V3 +T7xVg5YQVauOpOo0IuinXq3n34tc/MqM97EGMXR5sSur9RAni2Y0GfERxaqBXHtab5fvAl/3zfYb +ICy0WYUGaV6SWY2RIyyoRyK8xqHQJuiCDHQQ+Z3e2O4zuQ+33d7cOs+JIPjFlg5SApAqmwrWKrLf +AjPqsY1fYiLWOF7ExRZmdApS67s0tKKy5RaRM2LMXhzTpVhz1vYDHo1mwI3eMxfAjTQEt2HSv76J +0a5ARA+R5rVp12SK4A61qwVWLO2DSMB5aZ/4XLE8cN7JAUY2AcZGW1PgQkKKZ9RKcqpz2nr+RJrY +HqZdzpsTU9cvSut+0aaI9qoMndLr0g8DEjzyRooXGiRqEBNfKIMHiRk9QfJAO5bEjAeT+wdMVaT+ +d39OasJTTvnRs8s4JICEHGswiYINi8g0zDTSUnkaMc/HffT03Mx93Gyr0h1sywiDK3puPX7n31BB +0+O/Mc2lSUj6I0TAWe3O3VKnARbKiy+OkJFthKjB+Ttg6iKGDOZNCEAGKwkPV/FL/Isn1v9QtHWG +NOblwFpVKKAG5qytZADgRsL6bWoidUO0evfparmIn8AlGXrZcChMe7LcVppAuSO9l/gjU8Q7pOUP +xPJ642Np7Y8VZww1VZqUtARLoQoEI3pRGrYz1R58arkulUU3Ri8k6QDgXzJDEXtfhW+1GWQU4Pwd +wZpf49KZ8UeHgn7Afr9qUWmhXbPQMEFwXdzarB8BSjZSW5uiEiLcY9xc08zFKYqZ9mtvcXs144cf +gAkkD4WA4GLGdSMmiFvaklcpIp8vlIeaxYfpqfhDUiLmsTNhqeS+r8zHmfHO5Pc5DTXTWKqg/GsL +AQHMm6uouls6NbhvKAIaZJFGRVZ8Xh+PZ046D74pKUzL2ve+D2mTe11uyBAOP+DHPR1GKMGesRPd +GA/KMbRG+feT6A6vQkP14uFJ6viLulvXF2YrYh6OYveAKIkyc04161uT+9jdJkMew5xgL1urazqt +uVlriMislpBHVCaHM2Ni5WJmfTI7N3QqP2F2HffSpOBBreDfqeDFxAooi4TlIe0zwwgb+XzHOSlf +UdvdjZfUhScG6a0D1hq05mYqa+mB4BGQkJEeDwDgEPIPxkp5sffN2oNvY+Ii2dllylH7ns+YoCso +8IAqOWJMTsH1P8TecgRK+XX8EnHre8BQot0Dgl3YBA129ivgghPIYI+bsIeFBkdaJKDkb65eoc3J +AC/oTG8J2oIityNz26ZtX4bJZQaSGdMZa0w/xgnrST2m+9JUsDM2okMseXLE/MaYy2BTzgIL7o7X +Z3Lhtkaom7C2wJEr/8cTHDIPOHi0FFCn1yUaG/uVO1hcAvCZOG8ISF6qAzyXrHF6wkp3ahj5naK+ +uPcC5Q3tNN3f9AJBFKbQmvYNc45NOK+1/fqrzLJUeUa/I7tNSlnM+F1gzBH2S6ZPrhdfBgu3hRM9 +0UJqOcKF9cinT4rXFC5x6EbHmkmUvmMEXksbVqNswuI1EQgass8fTjCIgJv1QG6g11WQDYjodIxm +AP077f3A8mNQq8BAfC57q6QAS9F3I3twa3cxOocJDEhK1+gQw1+en+8RGU9mjXHkGPcTBvlO4gtq +s5eTqMbdU1BYZ6aTMrXD/+3supLltuWIp9FZgCLXWkwfjQNlX9EUrrN2LKO/0kt8IcwawrXdd2oi +3lvn7jMXvJUdkvMHm7gGYJVd8yhvF7onfy9r96jbnZYwBCTgBzXOsrsiXCvfoRQC776buQuuFd0s +5haI4FnVI7P95eeSRQ2NJyy+0jg9OHQyYekTC2qOYbDVPhTdNZ/PNZoOtgC8BznSZDgEY0nX2x1y +GWgtf/3XHmEdaOUE8T2Sj+lQPFEXB5HoT+7dBK9MgVXN1KX/idALW+wRJ9Q7UTUVd3VzDT+k8fY1 +CzLuBgyicgEZfm1aRviO375TDDcRZjymjp5N1xYBum8MRkATMmmhBTJcrwSQYgfacYoX01zOZn5g +K/i+6VYGSJ/789jR+Q6CqZd5lVKMh6JsOPueV91mfPHEVa8WXHtHa/l5ZdepUnVexZLY6OlrKqib +gJ+e4igqwExS5Z+pRk2ipx6Jrkt5TSNEccp99FHmH7CZC0kt4I6iv7H0ThRbZcOSs5FCLtALEnD1 +RJD07YVl/W03x9lxEu1unXrODMOhSO4vqDaV/dYOOw5xxq2p81k3K9L219VQjylhNfoXYWcBmKO8 +ql+mgi/IrKTos23LidATbql4cs0PGtIFyTa3wkJ0Qs7o1V1JJvb9dvaMHRjK5XhOSaOWly7JSL3p +lqzM6UyF5wdeiw2K3GvX/VqFMUg33H+/CzlaUcIb/UKuLEkk1Ug4aeL8k0nYHUa7o0lca7dKorHs +jfh4/Fd0mcVWpAQy41G6d+11ntG/tf8hjxCuVxvjSSDirhc1sahvrDXdboozR/d/AKlJv/12x4NU +ZL1k7t9gk+QPm3DpNkZFaN9HUx2KtWVlDj6HIQf0JTvb1XbCrbjfoeabYl+fXMBgCQSQxDB3V991 +tuB2yaItOMrtnjcs69LnTQFvtS0ngvhln1DklTGxGak1lhNM38NftQ2Uhwsth75q03u7S3PSDajO +OhBwphchSj6ci/hyLkjqCd40l+mEE6KzxSrEbw67hs9fXnZufXUBuGLTSbhYQWIu/B1Vz888Hujz +1y+9ZKGzMiNbaSFefAb8iFGPADad5XI++qiWuP40IuUyNQ8fIeaO3XSnxOYypB9yMIq/wNMdIfue ++xcmS5Mwp7kxrjul77RPXiHW7X045eEDTuNpjgL6oh+hOXBZc33f93TZeIuZFjKonPvLEPSssW9w +F0zeszta52D4J+cLfYwrv0JZrRGY+yXJoRsY/bDNmDtAYmBa1Frb4XrULSSxzaKQdL7g8t6lr0fa +Ah2aRJR0QlamBeyrxQsGlG79pGTiiAF62gUBREfv7FDljXOU7R0KBbwkMT7OYYJhowkr6brrfdqR +IUmh6gQzha+D8tFjZfBbOA18eAMyZ1xBdo4tYVyhDoLJHhTjstL6CcVao3/OPgsJLs0O7kN8iIR8 +035XAYIHs/LTnWWRXgBBhMI+KbcQ559R3n4chbNkxWyBQz6pTpR9jUkrPeh0k+dwS3cpJhdnIkQD +aZaRHMN6vAfbn1C3UlFefZn4LVANCToKMHr2NnKY8mGuX5ETRzjAPRaQr8VFKxBOMM8O1CpTYcmg +sMcyEpDJuPt13lBtc7mj123z6HOy7le7x+A6uq62Kv+JLtAAIgs0vxFiCB4fy5t5ZKdP/s/Ov/9i +XsCmO+Fv6bw66LZ/LsAwnJPrd15gHq3DGJQvbq3Mp8ITZ1OryaBkIKi9BO0+qJYRiDofebq9+0sL +6Z7R+2WQWpFE/LWU07FJSkWQDZNYckgIQKtjUbl5rpPuEKWe0Z9aFBfOuVe0eOF7WjPYprkRsOul +BYbCoZIsWwxC10TOOr1T6Tc/ZzOv/VsOyvXwaOEPVMmAzOMLsuEKw6RG87tqZqBHsqx6QaUEDD9V +olKAuP/zU5Xjmnvwvct+rFyDQX2QkoZ0CI5vPpztGUNL9nBE6lfJ4IzIUZFvlls/5+Dr0Qn1Jv+6 +mixdm9YWXHlJOo4hzCYIVxHP2nY7IBDXT/LDLr1zYlq8gmDTdWbGQQPgcpJuVYi6Q/xfcTFcCJw4 +Ns0YxNCv2MnMOfV+0LMF40Rl3fAX76FMqart8BE1REw22jFNaSwX+A+qWg+1/T0/L4s7h3rSmd3L +mdH6HBlhAHnKyLlyANcwCtjYX76rR0NPkdMw27/vyDdN5P/cLpsyJBqffV3KFDXORx7MUZYavJ3v +DYYXiNikqzvAqNvbysU68CxMR2S9Db8CMMlt/DbEtP87S/ehdOjVe/NhADYRD62J5pC2QCJL8Z/n +sDhS5dP5zdQxyPMspCz4SA8k8Hm9e25lX4g4lQXb1F4X7qjW/7e/y2pVvs/tuPMSuXUiZr1ruMJj +vrjljfoD6pfqj9F5d9bXS57DYRF0pYPBu+VUYBTTmVcTKMIH66tkKDO2218Gk05wtzGWC2ISPMWD +ixlWjRRlFXg0/nJUFiubk2h6NZumT/cd4Z2q8UsbUwYUcvIw7jgYzyKFFGyiBC37PQzsXdiUtE2h +LUM7RlPS3DDIlY2v2n617PgqHov91mSFTArcu9HSegoNfMdugsMjMAIKV73jirgqjwxMZ5wm/HdQ +P5rnYBsw9+di2hJ3LtOfKMYZvy6CVwX6BVViOSbAv9vCHlv345O1T1q8Iu/e2QnkqCKcYrYFO4UJ +KUYxdiXNT8xFtBh+ucanQUIfHimk4ZpSTZ1L9ql4v0iwueCjV6Zdtg9aiXZUtGBnAVmhYUl7TCQ7 +rPYXFqo4Np5rI8rZ3stLDyQ9R10xRXqkUJ8E5OVxyVWw1JsrBjK9PYaQCh0Bgk04OIp0ubco4sxa +tlCrVrfvz9Z6oQl+QfpcvNUFIt18BYqSgLXofNpkoSzPcoO5lOJqXCRPliIOExnZRjCpAh/HGnz2 +7uEIhHVvI/QYRBYVNoYrq6kQKhluGls9YrKZT0T7AvHCRnyG7w/kfb5YfvTZQ9Y0urh8qgmtlHfl +Z4zUGkK3TF3/oSl+m34aJDYneNd7eeb89td1G0bMhKyqs4MSNhF6aED/16qFeXXJd9FhmJxaVRyN +xJrfLKmG1/osVHDLlImglkK3CtgLIcfzqOFe8L13fDa0uomf0lXcDS1dsQlw5AGKghL/hKvi6gUH +0GEnQ58guYXeh6icSk4NpioOMUN2uiSVZ8GOzxFxSYA3gfRtfrElgZ1v2K7/CqTNEl0NDpF/dujz +J3ajmf9xoXr2PuVyC5DQJ9oWIX5QVvplawcYn31jUgGIFDMrxkOlhIM6pWt5b3PP3p5T8E8IYMKW +DNc4hXJCT/z6Mv+FN0rTrhmuJC7frHDbw3Ku/ZbN259zsGD9A/ld0xG06HDJj/Kg5UFIhGsHF9cP +xe1d1LBLF/SOpKRSZsfEelyNBNmBJSoVCMqfheUkPfz0ZYtqR/u51ztK1TjF72ZdzRFZQgp4KHlp +Kd8LSyof8Ck9MQ/5A05KYloDr/HbQRBHK9CJuJ4lXfSmvKnq6me6Bohwf+5J8oQME4ijAtDIKjFR +Y82NpN673YO6lUtH0N4bosXxjJVgLafND7DisjHeoasjJD5SdjUz+tGD/A7QM+qONZ57JRpz7gWy +mKm1ceQydchAi8YmFGQiHAy0wdKAJS087k895SGwkLNXNCiGxLAUaDJ68ZVbuRMkz7s0bY5em2lO +S+ZtbEb1EU9Atbx9Mzd/TGQL/2pJ6Bz1fTRQhLDg4Aj1rafzgjNXJkbUpGgZt7krDJ9Iy1ZiPwWF +/XrHYkcDb5TQ2+vt4GvBDofmhg0tCyPM7lByh8ngGQflGMAXILEuZz2vxvcnGnmhscmWxV0qLPt8 +juWFxilFlWg4f0d6t42qhlhRIEMebJ7WxHWGREewUhq3W+rmpCUnd+GANUSqhEiNI2F6dOEoROm8 +VOKBp+rSVO2M8+fXGcJJw7PJoA4FdgHSip0hGmKyJszXKe3MFGINEFyw8cNVUSfZJJ4qyYswq0oV +9Icj9yYFH32vZm9UXquW3gqBimnJ9cqJFaCg5Pm3c30hXtEQmmDXQtp0v+ywFk87q9r6wk3HUXq1 +dKIPbUVBOo0WpwblR3zNGqVfSZCPLsMNTnjBG/rMkeSELziZFfNxwe5LkSVYf1havugIMZg+MPxI +nBHELpSxuCm/i2+/eruoPQbP9hGJJkxCKfsyMGQaI+XhyzHvdzMCZQFfccvwrRyYEgbknqHKzNg5 +4UxVUYbTqAbWXLsChUKaIOvkDIyHMHfJTXfs/sf7926N40YNlh6ekybTWDEG56sYV3rEVF8nM0el +AWymCs3v5kpFGXRtluPBcF9SxvMDjkaPM8cMiU266J7+YA9jCciqnF6aKalLEuUrTeGQbsscLgD3 +8k2D56dNQhFyi41QLUNkirqWrxMvlRBEBcKyOaogyLLdmJ4E6XVuy6mTXd4QIK+X47SJMZG5XkjP +KMDI/oNmPIsel1yHsp/KNrsKdkesktzrS8DjIDB3i24pPsXNXsfznIoa7VcpkwQlFC/7c8nmVDaY +RLAV2+VUo5S/If7eKM3xpq84HSNbYnnY0P1jZwiWBZnrM30xsTdWST9yTSaNHmN8anc2eeW+0+1c +jOpody37ckhgUO06A+uGGwYppSXv8furhfqJUrY0egQB6EPPfvTvw2CwwAITV+H48KCaWZLBWwMY +V++zRIiLiXM4og9+HsHePtrlx4rPcvwvCDbI6h8zUhhPJ9n+7nlJT8T+J019ONhsu4f+r3F9IkP4 +hHd6WRenBAw2WvirkuHo1Q+xTo4bOR3/3rCtd4+hNY/F9nR6EEdOCjWSnMt2/Ko+Y+EkY5rf3+lO +qOXo9yPzLXnO14djWsBn9EtT828VuPXrrfABkmF3r9GFy2fGasV+rwXlMhjnHMbK68OULW8YvJqP +jRr0WANjiTlDgk+s5ybbBnEbiy0YA4PTYHhZDbNoarZCg+rryhmz5rGMCf216Y9revtqKCJsOYgm +i3xcjCHVN8cic1ypZfe1WRIwRgHQwe6MACQihAozAYpa5Xsbx5RBsUXwKU/Kmok33SgJOEBQxgX0 +dn9XjL6exTkkQJohYnNbFXH0AiAMLdsJnX5OiXIJNKesKUCl+YDAZ3OA/ozxN98DzmDg8rmhYIbR +qbVqd2WtasHIOgHkLKZrKHO1vOJ7A7rFS4PTTqfbfCk6I9VyB9QpIw9TnVJhkI2glNYwVzkUY8oF +m8DkMScxo8KrwAfsptppjlD33xZ32R0AX73rOQ8ZpVHCh6hX2VlfHErfxoT++RI30zfByJpXUu2B +OMfWIjmLlGbpkkCie3fjGZXOcpne4RyUvJ4ivQd+sh6meTNPlB+qJd8mq+UtGPa+YBzu+s77Tgl4 +WOdHopOdaF6QOMz756W6H6Ch6fF3RI1vWaVD30mayeN2/aqSJhLxo0b6URVVp0rNAFH9TJZBRfUP +nplgBuh8XepL9CZzyGPpMVSByfGyqLsb0xlNREMPFsdbFIUP0GRqjFlfJjhkbWlnQvPDQlvYFeJ/ +/0SFesluiX5Xyp//M2K+lroJJM+KLDwfJrGIugGRX5O5V1Mt7K88qfO6rekI/6CpDVTArMx41f1Z +ysczd9NGGjEwPN2teYS6LC9QCfvJQnCYwnVwNDNlI4TvxkToqzMp/PI9suQ0n0bIOcm6srmsGf0F +gl6/RYiGegj7B0ypwk1avMrGGfy0Kpg9iRn21I8F3P4LhKljp7X3ONSOSrnPi34YF9D5277T15BE +gNOhxrd6Mv2Sf4UfRBQw+AEItrhMCclK7FunATPWveVNuQlZphKBH/KTYIOb3Niix67ATssS9Bvt +cNlkDzOBgcOAUYzRE28Wx0OR4UauaEGeBbCoumifQTrKzyzS54R4M+DIb1f9fNtTzOV34etIuBw2 +yJe6fGlvMCEHYTWmZcyjBOU8JOOBmHILQleyEn98acxHsIrEoC/99iTx499XCvO/Ng6ZVAqyx2wu +TJcs8MUf0PYmWa1T1vIwUVjCx0Wq73eBQZGvEjUbSZLX9WTcXf56/UauGbt06JqwQ91yqHZFJ47s +9LwJ38dvYDQz6UKoOawszGtqbREW2rh+1RiUKveryrxEKateWizxAN4AITqeyrM6ZGj5rOtLM7q/ +QdBC8j5RkzTgE3zOrfJszPIgCVt+GFykOVdPzhH9EFjl/2ptq3yRKStGj3BPT7+yIWPtkJER70UD +lNxVc6VyAtQhccvE/lWmu+v4DOaMCl7V1m4nkRBoVEGwRYt6qsqnnkA/NEq/NIM0+7NXC6VfZ5/p +/B4QrOliIEA7nMLQ4lnnmKKUpuDNZUrMrZ53BvzqHTyqB/2B4MbeRg4NDJQ0M+Ds4jCPsacpKa3f +50Rk2908jL9njKqqytKruP3bRIz3FEk9UNl5CoLqbCoZcZL1PIcDgMbIdGiz5Az+nD8BEhjKm/0p +tZ42FfYYA51xvJDHdH9gxuXMnXVWI8bXaQVMWNq3tmJugQYFO4r7GIN7vbfdxyoOrAoZYtGrmdLg +AJWnT5QP2LxMdkqNVEaKXWlEhwDbgY/A78aA/sESK7vYCg7qUOLvGRwc9XfgRikbU8KsskzqIDUn +ZuoqfvY460rmPJDtePb2jATkeRfK/QH3i72Yp1xIWHVotORs86KjcZiXv8T1c7+D5qcOE399nrGs +aLUyvE9fCRaNh5AqNLEblhVRLAgiWL8T9IhA6Fii816PGy+Vp2wbtLB3FytFdBOwzBAtAG4ZDu/z +yutrs5guwKcgJEelXFLyCzOwPWnCK8HnPQkuGIyziCuX27sqGpRcjsxPGQaIh5ixpQFQd09aBXVR +8O1inmHzotyovEQ2wQtOuJKWxp7zLAmjHJ5/tECjXr5WPZ/savHPuxbfll1uhaZyZCQO5wbdbcl8 +JBJUASy2y1GvKQINJM/AT/CQAXtYemWrAJ7VG4e5K1vakBdfVH7e6rlwf/SnoI2CLzv1M6iEqS/Q +pXF/v0FU5pzS7byDpJk8GMGi+VFMgBWN1XGeLVCrLlu/7emJsb3DeXqn3cfax/B9pQlbnS8ayqsM +Tzmw+qNnoa/fOAey10JBzo/3oikTIsBk6Ajcm6BmT/LIFzfF/1b2khUfnhHc6v/NXNTaXoEwvbov +HYa572s8vjvAyI8CPVWAHE/C/kGKdaxEzQPEHJIL9cGcpRdblzItG1lp8ZfpiDvmjhZeJqi3BkYD +6EYUMvgDBpcwhskiXohCq4zy9U76V1Zy0248N7OQLpJFNGrOxdH558sWbdrQm3GpbN2WNO6M67Up +nkZbRoK+AguiMU3CHYLVI0kJ+lRAezOUIo0NFd/Qb/QWofSnbHP2wPZDwOvIVsX3PMb76BE7ezdi +rEe/UV+p0iSUpwupoyWVtKbFcPJklGV2Tsf/AEoeDsBPVU1lRyDAQuNeYJ49pjPgm0XUHARPnVxK +rc/PN4CzHWAPnsRkJnslZNkb8OykeT5Vk7qXTEZSbj2wvpG67SC8/+JJySMIDwftywLyGJz0ZqE8 +kqjB5bKiVlSTlnFyM4N1SAc+05wqVCfHI3sHzjOGnmuGfH2De1wQoWZV+GVb1g0Qa06Ym5U4onCF +EHr3j5+Q+S32aR/P+jWQOjv3xn2eHOmrXz+Gzxk/kumB8N8famcL+BSVypc47fvT2TfS/2bmzpVB +YT0FdnPY+Pmw/v21yBuys8VHr3BHWThzrXBHbf/elsplxC9SToM5HIdWciov7Fu/+pDpt+cxAF7e +hpNqTKWTjxg4/1zN7f21BPmBE11vwJQFOWcaBtxxumAiQEOga0oOo1KqofJlqBhBSmqXcEACH6bB +YOElrhk2X++spAQcS+BCxSnzIZX3nerriym/Tp8Ut/NjeC1Fre28kq1iumf3v+nT5NRrEblDuulV +hqCryNbkzapTuRy38cs9hJNSmdbMhIsW7rxZFgafYrYwEuTM1fTM9qoabcn3qmAQEDXKY4QkGi8r +OVkh/GQFGUDzN/cKXFEC5XD4bqmwv21nInw+D4oLaiHBgCbWTg/wGr0LLsXyCp7Zt9QBahUwMyO5 +qGtdSJpPGwMVb7qdyk7e/V9+hLIo8RiztfSyDCIIgQCd3JkiBH9qiR7U4KmzuaKQSpZtx+6LND8c +HVGUX1/hN/nCh6DYTtRIjsFbbsiwxuTEonTsWfa56eIIrRdgYFczkYaLFirW1528oiOgfv2iUkhb +zr/EiMu/9pLG1+AFooTUqqy87r+Ezws4VVB6FSpFRzyfD/nHonBtJqdwuTzbYbfqIiGnjK+NoYZe +tPRSLk2bGgPIP4Digp5Ex6InSo9YhW6Xw0jL0OeDSUjP1Q63W5S+RI5ThaO/3e25BJlQTjkRZtzO +34G5uXRSVDCo3hAKso1THdkMZAS8KsNk++bxBVuKCB3CK3vdjAwu+jRGufsvl+4lAVPnHxRfyIRY +A7jW13V5d8B75RHZjB6CtUFdE7MshE1gaJ57PauDZzcX3TudfPKnfcfZJ593vGZnpm+pdxecyJTQ +tpnnsFhBPp9Q/ynn0QWGpbM/5BFGgvriy01prvomdwat+7+kadNDBVSI9UGBnGzzKsD88rSM1ySF +QQRLqDwcQNIPK541oDnHN/AHvuBVxiavgCoFnR9gVJh+KLTVey1HWSlGIwpjtQIVNkwmblW7kmfD +kL3vGV/rqZ88wMrXAg1B1/xatlVLXFmRFMkbup3pcVhX/QOgkvib2HcPoFgg65EY4qtBserHWZQX +8JjcdC25hqCbBOCYHarxVZzg7sEonFaw2Ffu4uI34vyazpmTzp/KdPQEC0HHwfWltUL1lBrvGPui +6p2VzlAFag3TlO6hGrtsOOSP+SurlJk6Dydd7nIwImmVLWoB9BYVXAPryrir3SG3slCQmSjc+BtX +SMz47PzyvPqK4RyX4C1Y4evXdet+wyK5SDem2BIsXnNCf8U7o4TVCQ9DcKyZiSBWrz+nawkQmN4O +9B+QAfEZG2F3qUsrk1D+lGIFwMRfSdsOAdFD4P404nf+LeqDluI8P+RQ47JzJ2cQHnNRLZVCXn/U +juS2xUSm0Y3rXwC5Qs1DSjfvkbQcJpW0IpYXp2W9SR9pqX0RvXJpQyZLPu9jWcvWPpIJ1/IdlUvs +btcetGuzNhcqZuPui1ELKTVsGs0P0/paPDM70BdQI2paROVVSozERun5sNoGew8cVKgIy2hnwCaF +Exo3xHbR2NsoNqUilSlTfjbvSSl5lEmGTP0QWDRBAboGhstnenD9PBTRLk1Byw2fiXRXOSAw1X6q +0WzAmWnG0dQrk5sG9QpSe+iJ1KPciEj7XHjlVT9iuPlqJjPFOi3+ilOhxcdNLAhnSOa7qKEqoqNi +HzJazorgzZzIoeLoTsgo+GS1j+PA1gjIbNTNOhyJ71BxXAqdXwhNRE6fmv2VeUHenlyGxrJdkgBL +KEGbeMV3OXIEcs8MUilAVKG2/Olb7zQtcHwYc96TWQf1xEwIObESH1Mzd99du8yvDWo8ZP6g3AiE +t9YIgJpn+bkk79EEX+g4g7TJpkW/EcOx6o60mGojqQjmz7io8WNzsPTvfG6p/9f91hc4AWSjMDu7 +4qdt7O7exP8H8qlqd3CwhYnI2VtDagXGWdt5oNRssOEF/UUtsHUKk67E3iIWDybBLZCcSJ8KOY68 +ZmkdCldREWXijbk/+afM2sYjxDZCDYDWd29dKHebhzvDzve7r4N6IMxpikrNySgcuGY4mWPsKWXx +JY0Sc35tAUM6uR+xbZBE7K4+Mt579qsvILjP5p7oBJtNAU2dqgMX8Fvp7Q8jaaBiYaqzYV5twrG2 +6Ck44wC69sXob9Rnipu9Vwp7OhTIn7PmlT2gCe4cvp9DMw0qyJWDDK7nyxapXub09q1oF4QqSyOo +W2FbQNS9WKchCaoFgHJeQfIC31AZqnXy+uR7HjoeOMuGrfmI0svbhdMyPv+QsWo1Qd+7MYXMpX3E ++owJI4Uyb7pvhTlEOSRCVR6Ul8Qt1zu5m+bzsikhAlYwrVBu7F0AUOIfJs5mOUx022vTFupLnHPg +zF+Q8Xh11DOsH1zts6+hsX4/wOamVqtqZFbw4gaKwqY76D9xBs+GcNK6KuNUSJ8GiM5KoStjb5CN +bg7Z2iGMEas04XMuE2gTCEtmvsjYVwvHw18lgjeB+3eU0gnfJVmaEzBJGF8xFPfucfnfBLyiVtsL +eokdZd0L9e16ZPsmUSvtbM2tO1Q0FxCo4JYARYeDUDj4WEw3NNMKzVB5XhHzr48PomjgRvF3xAmj +lduQTLfLWcz/bY3yY3vkrOk/cYKWmvX1bA0nYqlt5B7jZbROrBdPnoxU5naXXk+T8Fw9sLmZ60oC +XaJOzlv4DmVeX7E068OtgcxAqX7xLT4kox5f1mndNku9AyhCyC+5ERBLGb+JYDZqYcQsebEZZYuz +8YGACm1Ro1KxvBANVVnzxViAD0EwTQEQgK/r2ERBYKGWDBkaisiDCVsTziOKxnINIq4mNucV5wG7 +kt9SGIlcv2Ldxsd8i/AeGZljStne7RxzGreja2NjyDBzpr21Z6/wa45mdms8XiIaTrJsvLGKgqK5 +EBJCW+k6aaXRBDKAxUFa1XpJdfZKuduaOZOHJ8nsEPmAWTfN2vnD2YCPvKr58TuJ4v4S1117QwPR +11zkFacYXr6JQbIBS0rj6XNkgOrINi1TY2rKy0UTfrVb6s9j1soJhThZQGkN/QQGI5nUajvh58VM +dswXNIf2tGOISVv+1EUeFricGkM5J4zroWdQqQckM1nn187Fcs77GMaef6wBPnfubC418G533oKf +KvLLj7b2iTRf7/ivKr3e5Qf90Ca5avW6/l2bppXW+kcTUCjaegaudu3wHZaKzBNsrwLdMz0koHfi +FN7dnMn78Xunztprtvd/X48LhMQ/2yxAyEmbfRUXvrzNcUuyb+Wgad2u8+m7dDvwJN8S9McuJjGc +gCrIJGN18Y1o1zRe7J/MKnjhUVq7YdzWZCLZ3Yc14VNwEuuejEeRA/AjULkT9XU43iAHQrwy9mq8 +5PASfnAn/bJKRdfkuw0wr1NMNhvfT+R/VmbX0YTw2fIgv5L625WdlHINYQMvjBSDw6k6EV9E4shZ +/ZezeoLGy50rDwtCOHYQFjR0HdFB1KSTjk+4Hj5AG/Ncv3mJFhgi2JYpRDjppwGO6MExLLhe8EPc +MGf4sMhzVsH11F67+6x3JYZsjhqLdlk68jcQKSpHzPSJd8zHLJ8kx/pvCK91Njtib1d87o8oCZjC +pnt7Rd8/VzgYuBkhWQ941TrGT7IlZeGPPsA83ZOFcrDXFUhT1agbjewP+GkvrsswHQ77bFqQw5vJ +ZexcMCurcQr3kOuwJtTAQbbonhc1HEt27qUJSa3gPjXLzi0vnuYgZA0WWdXzblvQJqkWOgP/apzU ++/Y9a37+9Odahzmzx1kJZJTQ8Xay7igm9mZUraTNUqviAg5LzH1D1jw3ZC5P0BvOX1n3coRqW3T8 +If/TRq/wGjnH6IDH2TivfTJUGzG076LhNu/9krtVViHd7QUEweBPL3dKXGGUD5ureST1YO7zbVpq +csE60v2rh8ym/R+pfLaUSKhraLkf5EL0iSMtadt8t50fw1dMhuTogl6IP9FbN5Cgm6+gLf5IsAsM +RMz3RVIHsx7MQuTyqD2w4q6ug7DbKIrZlhayn4/V8zpdhmDruQS2ECg5QcA8tBAmPi5Bt89KQcmH +K+fKLVbIO8XT0OHytdFTsEUt0ltReosPwbJkW/x3b5XkYMPxZPfU04+9sYgNQCuupMvYAjFBFT6l +ChLLxm8f+lpqhl59rSSQZNhGPDAGrJk2I1CwEqcpSrU7cxD0A72CwexPOvMT4kN8pMk8OYNUT9Ay +EAfMqQMLa8KT0X85RGwSeLfdXcrCndPw18RlBXDIwOIg9Oa6b0xMw/tHYVAxKETsjjx8sbMmXhnG +GHJf3k0qIM8orrEqfeLl5suDDId1k7XuUR6TFKvXQyrQKrhqDaFcPG3YGzpJt9oSjA0hEVJ9+y8d +VduM2Oja0eBGP6QKJ0QdHBjAoDqFU5rvcYmlBqWlcrD+zL2vLuSFqrwUR9zodPqT3CgG5KdcJ//m +eqpx6t2CPiGL4WwgsrMmAShgtNz3878XLyjoR5qN1REiN+6TuFD9A1q+mnXdiyQ35HlV9ITg5rRX +GPSabJsT9gPyTis7HLHO7i6nj7aVnb1NhwgKc8PgakZfqryGZZku5mCKXnDsqCJCDn/8bbQnljPm +a1ayPs9AYZxqkME/2WS2EZ6e22HKjnNkW4vuUvG0PcdEILaUcjMQsBruJd+BvjzHjXrklJjlH/Co +MnC5yR9PfOtV4uxjGjQo5MHEm+LHJPmm84cJqRu233aPWy7oTW0bTHFEcOoKMwsGInq3RbAox79z +OdXvMg57ORbe98xmAyR6nw/wfQKEdtwh5/UPzJEGIrG94mjy/E3eU+90kfbiA1TfismvIol4Dyqh +IRzEV0W7z8i8sTKTs0SW1Nl+paaRosQgK6F5lliutFOzpxKwfBXppnN6PbWWIj6oshvLvipsZ9zw +SDTpZMAK5NB7SNdMUVRa5X3OIbNA5FLDcorlH0nk6PWTp0oHVsUQ5BOYXw9aZnHwp5ColBYcgHrJ +SAi5W9u7f4yI2d9+Yn+Huu7xMuCfcsFrFzLDChRfSFid1iWXNCiWQcHkZK94HLG7gQoiG88V2eaW +A/3EaUCJv5zsuf3Xkmn22RABy2zyY3tWaTP7zi8q7+IVRmnqedUFlxz3mp+OSK/LtvQ/Rulz+00U +iN2FkuycQHxaQCS5xBtbDIwBw+6bh2egcsM2i0M9/Ib7ZCdVmobv15c7Bd0cGzyQFLM8llMXMb+f +3/ro0UlRWTqrYpXB+pepl3GVUWPo4G5V/Ae0xNAHHzpjh69ql4Z9QgCwPaGm5bFo34C5bvxD+8DT +VQLuuUxDy/X8hpBbr1BKYAZfEDW/axHEGR1aTcvg+qVlxyvJRCPNqMyvlPnWB2mVXsI1swS9kfot +l2OW1jKNUmqE6FHGWQnyyG5Wz16jos/703Xbgt8J/EiwI43xGs8M0Unagyn360evGJx0mMLQ+wVx +iT91Yqty+76cx7JrpHP7Mv+j7Ykk4m4IRx7VMKJETneasO/kWKg7rphpLHqriLKXm+BIZ2285VFz +AdULDGca3AB8W+dbRc8WAL+5eHhzrmRGzSVLYvV3o6DnBlnwZud0DBqJAT4Bzjz6fnGdeoKVe5qV +DZGhGBTNzbFOQcQdg6emo/vb5qtLu2dJQdp2z1pzBvvZEy94Q3G/An+8ZUbRZjtP/bpMFYaeemn2 +BZe+k9agDynJ++c4uiLvyHOrEPw9sTV0UV1vXbKrBsTOK+G09Q2+8IDgwOtNxH1Ku5OzifW7upEq +aH1D9tqyWwIOF5+pURciCyiQ2qVeCxhDOCc5D8pMTJIVV2CmMOz2x0UTPEleHhZApBUOEx3j2EUo +5yOmdqMyIFsyJAIPA/ZJPnTytQEJsVSOqleh6AFV1OfW/XxZ4x7aNeyoqHxveDQaoUD9ft9dtrAn +nE/CM+aZC3j17EZ7DAV2aDDLkwxc7fKuRQqM5YJgM7vv8aieE9EzBqpnLuxUm8fgZOUNV1vBg7Pa +7Dx6lMTdhOZdD7mD6oeSS0a+qn/sM9SViIeMcwTwcWCzFd7Z1QrRJJjrR3eOwHo1W22nnhwE5L0L +FogpHEhkm86s9Fi8k6hamsEMLkQ/GD06X7IwWRHZBvir1MAKoG6ZIDcavfIu47bG+vgJdDM2liHS +J6Sc6CeT2ASh7beJlkxo2U6vPARAN9JUwK2Iw+mKDnG6nxgzhVEG36U7xpUicZKh6cjYXP1pHgdY +BRkYLzlHA2VXNWJCl0lHP5y9bPH1Z4/AyC8nPRJkkS4lAoHKJGf/Sy2i3zrbjLw6pJNiqJpNJShj +JbLqDdte4JjZJzIXR/m5aI1SV/sn1WzV83xFeKPozvIh/BbGH4Tbl9C9KTb+r1NwbvaBvt94tUWC +NP7foHIjcZoVaolonLHPBDHDOiLF35UfKX3n20m0EDVpUo1DgdQ+HBeq8jWcOdZc1BAyLVUOq64h +xStmwNZBjnS/3PTioKsahLwCDn4RyqsYQf/YOhZj111AmdsQYyicVIqYZU6MaTKvbP+VAYImwD/Q +2VtAxULCLs8SCKTmp9oA81pCccjVNCiXRm98qOIGNAeXNs+pP3J6RPmqzyOzxG4G2ZJ4mTft1wNg +YrXX++Jmm15IHf9mLtPVfYeeC4dRgxqFxCyKbWzK5i+GcKvMQ0NFSpzOgrJZKXukcsGEkXWr7CJn +k2/A8aDe00I/3WrUpeyOna9hL5gkZbIGM4Y3HzoDAcQCa0egAuOdggppHbykDoWz5t5YVAu/3mYt +8kOQjYuy+81DP/MBAFKO24F4uKd7EpYkvvah4WOFM5Jtgr0ZeEyKBmvNG29wYSAXXxI2jlvNiKC8 +lrnxrx6l33u00L4BqnxfEmErCCMDpK/+urlyWWM7agEgs4RDh8EOvt1ouWCKpNhF8T/bFZ2kdwGk +EcLbc79P3ig8Gfa7/sGC+VW1m3Yjd0K7rRjSqfX2UtjUyhHy7CYQxFTHyDRN3WWxKLk7GkwtlOMe +mzrSYuyu05CIrcUo+ACvyKea2wKyXe/wZbKaSF2jiquQ1uhtgh5WPnvQwVsHh34d2ZuhQRpq3v8m +RlZfL4UXnnUhR/t5jP4nOdqoZAh7MNq0wUtubUlNc0yvap9OEILyey98c+aGYAtksw2Rph5NlEjo +mEt6mYMHg3ne3X7iUkBvvPR1rI0gTjfjGVbdHf2Vuu+RSAMTtZjEjCQyPKnE1GI4SaBK/waCoD8+ +gC8oVZBYUhOdrrPuid19ijIf0pnl7BbmPNGsLwSI//agFVE1u7nj4t1LqDNxeU1YmXDaMdouPMkt +gKBcPWjBCxW2qk+RhV7RBp8l4u5EIRT4H7va9PJb15B2xfq9fks+3/vKnqBoNB8DSv0KfWK8P0iE +6fyQwD4/QU2DfNxcqpsYeh+xmjLDcb9P3MI+BP3fNxjptnQcLru5CpgHJ7qC7DjSDzPX+AJRq2z2 +1g+7s6Ex90Si9GHnJX86T+EE3MDeHarefTO5rU/O5R9/VGK9um80rTJZnngDpCG2X57InW7qU4m/ +R2s95GnABUH+221COXuLK9fQXbsrIk0k+0lB+7gKRZGnwYHWjDY5WkLReCqR5tau416hY84zF2JR +JqNnlaXj0mUNPXbtWEI+sf1J0tEnfbw3UM8czghvnXGqaotm6LJO9h31PuyXkEHEJrED6B0vYYse +jzKCiJiovMhd9VN9kJqs9W4QETNQsmJHv0eLa7w/II7ZfPowEf0FwZ53r1zC9PiND86Y3yhEYhOs +Nubt65BUk3BfjMQ3Od3TX6IE6FlCXK2qIGUsoSNg6kovv0odl0XNPt9vbfrG+JA0kIBu/H/hdCDq +zOk80rxJvRsR8lFsJwR5A0Kb1wLt4UoamnfIoQgvlS+AB1Xc4KUmAbXGnDsiAUiZAqMRTFfHft1+ +YIHGcKz/lfBIFE3igz6w6boRglSeSCAFet2iPOi8i2iNPP8EvXyZcVFs9AiytN7Jk8A/XF+erbJz +h3aPcOYtbICnlJS4pYNf5qxd0xMW1N9UFd+YqlT4gcmsEB+GRD0o3E9Or8N1BPhGeQNjHdiV6Y8C +JQXvONiE/lgGFMrjtU5Lovx4xCEi32iRsj0OyLHLQKpck7SqKY4zNU58rFLBwLExyyoS+RMd4884 +6SNQd8H5eqnXMADSG9w//PLP0dRnisiu+lqauAThd5qflMcvSjVkUweuY2vUvFzglT7PaPmmlobk +nthexeQH6bW7xfOGW6Pt+cbvjLDVqmn2xZagC4Y5QXmrVqlpwS5Bf0NMADiZmBT68oO4KQ7WI1ya +axeg0oBc0tmjurpWMK5paN525OnCfzPwiH9QDV+1UyJ+TJ7+gW2CVA6gKoNLRhPYiRjZ6G/GRysX +MSvmktBeLS6avvi300YofiMtCRbjYEdxUD6Q9CcBhEfCVpHXANJdXujX46RlNteFLsOAcL1Z2TPx +2fL9xsdAE9cKmAUu+LbFFziQfjGoi8QnuF+b/eewvDo8CNQ/5acXROYHbW0UAX+WhUuFWyvUeqfy +TjEnzyGM6XT/SlZ8CKhUKGa/ySAbOQBUclXIhfjNVhJPfelBF8VhnYVVTWrkuQsvST7rS8UjrOL8 +Db2lTymrVvujRwjots92kfyj5DtbiVvObv1a/7e9xLMJVqREC8yHADXNVL6yh4OPxzHrI6xhti/X +q1UGWn6zCoL+FLI9ZbPDq1y1IE4tYnQm0Eo0JH1UAB+iomMIyiAfbmkpZp+XmpkxnTynU9hedYZ9 +mtzMIOBLo2MWpuzE984zeZNsOZJYTA+q3tzEujYeNTUxDQ2Rtb+P31yloHjVS2Ll9PGvzHXwG2KO +9tqsm+hM4lh+g5g5NPgq0OZpIxbwJkFq7WGQhXKjHg/vdyDT8KiGnUOersephJVcmmY9qgvc7jea +/7mi4PZVy+Z/YpTspn4KmHnrCdLETz+7hklI2nsI5AxHEOBbXtL8gLZQhQZh6DGajY7SAEyJoV5+ +ckdnxW47pqmHqtiDCw4NU1PNUIodUQQ9fERWkoDtHm7Dq81yTorUYjpyFvzqBokB3pBSWBmxLkE/ +rjMWKYBFAWHT2LryhRBFhenrnr0xfVrSwQlElFEsMEnuouf91l7FPhv3VBAbnSZXUXYWZgRx3hh1 +Ogj8iCquajGWhyz7ks63n+JOPdGO6iV0MmhPrRGWXzCToySjeGmMXvLSP9SasTzMbmXZwqADX1MY +ATfXGEWM2NyoJLkw+dNTwh2rPdwCbM2boefVjvyLN7EvYRTRBzPNVMo8mP4KmBjCU6HMdJGE0E1/ +WE4kaJcZX21AV68jjlWLO+hiWmRQ/6IeCJxz4DSAaUzQArRlcrFom1VChWno5RMlDIeHLDwhQdyp +/50kgvRGGU+R/l468R02CA6m0Um13MoCACn79XR4ukMV/nyo9amluLZR1iX4e1mHdalzLFr08BlB +fUq1m4hlhsWADjO+NaBOr9NSk1VwOggWwzHeG5yrAP0w4RQRkle/Mdx8Pe34GFoG8U5JyyfNmudR +i6BtuzO3360snDz8kvtacFPIM3hrZI5ud+LzzL8YUk7pUH0TJh+kHRDJhcVWfWo103iZ5slr9FpJ +BaGkBK5hP2oq6jlGc3z5sbWBmi8xxgrVvbqD2I8B15mcmZlc01U2S3bMcrUAuXTTeNPCImYThfMD +6iKiMqVLwnYQF64qxJfYlBdmYHYwoqAHiLbh1XH3ylN7AROwABmAl2bzlLHL7lx/NVdV5UtfgNVr +EmJiiV4fqadDDCzFUHdRywnkLf9y5/IEyQtVKwTeFaHsKWJqjsXJuMJlXBDp47nihVpSeFw6dkpo +NE5kahdDdNRJpyhlsfkTA7EGfdy+W9egN54sB0a2+XQXeC9BdlwV45j44/hyyvFtIfbuftmWACjW +V6bEgAuXnn9pqwm35GhW5HdogdcYexoc2PgUAqByxBSafeAT7bFTayzF16v8Jeg6JsSvR1rfKhUi +uNsiqNMrGfzyBvTu/FkAqPTe0skRPM8x1I31EHzYrU6RtLONv/HlF0QaJVToIGIuWxToSBkbMwSm +kOdOjkxOaU0C0DDfNYI/9i5MleaWOsqqHp+w3ZK9Tf/+O+fcMao006YVxrcnNIMYy12y8+dg0izS +uRTq6+xnMtDuK8UckR5XlFJj9l07WXk7cwL62KZ840lnftGK7mYgeUz5vo3Z9tUCCWnErXTXhYqM +5U+n5UsdW7mWBW1sNfKKlGSrgL6upSLXeUKD8Y9bo7L1o/vWBCV2Ph7cH1b0l8ruZ1AMjZ95TGks +YsaPoLy+SfJPhQ3mY7YRqJIauy9O4rqVbjBmtbJe9XpOIud60YkegHTXewyX6rozPnYML4QwMENi +WiXFQqUBwoM6aFRRf5i9Q+v3d2fwFZdrop10gob0cHCfFKdSHyEOf//r/RdlqGrYWipKZFXgRzQt +xcLu3GO6vYS5wCN4CcG48KQD6TuLeWOQIkY9roazngKj1PtNh5bSvteUfxb4ghFMDFN2bro0mK5O +JnJSWAwDV5gnz3l9QEq9HhL+7qChiHwjBriCNQlje6hwgLwpQEBrkZn9jUGd0skXDMIRPaeAipy1 +rhzh2Y+nsq900nvuLcyDJBDuq+LH6O00YZ6CWz/tgW45tLGiBBCNbEPWe0iv5RNjBGyIvbyc8IhI +7FtScvgn0NOTLd2GoNDKuYSRyQj06sRE88gmyRjSiVza0m4hzortTyAhfh5Bu94dZm5IgPht3Hb8 +/hoTs6wTsLv2xuQeEQm0EtLMFy+tEltfDAGLRqEYoIM5ZQtO0CWgfxlfS6UbBSCO0xm9aO3ztgt/ +GMRFxl+OHTCQs0IhSpA+xCK7ECtYPVZjVWjLQEmWEgg/SguN0HGjee5DnMcfNYepjBDqS1Z+F8sl +75DWfjd8mRnxOfpH+H5MfTg+tT8uJVhS2iXOaNPoJEETniw54OjJ2v4crLjv9iZg2KMSQVNxV7cp +GndJra9dmlyox9CEyrRy60x364f88KkxAgli/wj+RNwSfqLr8klVlZvAvhQ/0mIYLi8fNZSDeXtq +XeSzfO+4/s72rNGL+tPz3HItJKfLX7UzFKRN74TTqBNWgq9LB+vcH2ox9cW5bWKF/RqtFeLKXaep +o13nu+rTJis7pf9txDuFDhgxnYYSugE0nYJc3V/JfcrWPeooFDo2tSndRevtp7n+DWrCv6np2lUT +b8c9Dgi0teMCfCQL6JJ3gbM4ikVUS+0TDRpJIaPXLNjZM1+awr/VS32j60Wr/N28j2pUqeMxcS1w +0wij6CaLfbkkWTupoXRDFRfjbl5VOj+S6X5+jW+AzAf8/G+FSxhKbQodWNhPHCXx3VcisZccKRix +ABCiTsQ4oXVcLnrpcEZCfnaKV8AB7+V/zDx40ja1yVQCRXhu1hwS7GU+MiMZdrmsCc0lfiA0AUqZ +7TAqRkKeDfJIXZ1dPLMNpmTOSfz2XEjx/tBk9Iff/hgSdKsHnllv1PtSkIhjhnGdXb6HYU438tEe +ls8r5lL2jHmjlK+JrdjNaltePD6zYxeQ/oZR2xBCWj3hzAFB/YPo3sk/5pHIvzrbrBLwpTdG8+YE +S9dtsqXSTbcHz8jtWnO1J2U/KaXsPTMhYKaHEfrr4irgJYYbB255qr3qq68rHxVRoUQF20anqV04 +o25EwnpnM/C89az+SUTdGVjiNkjtJzkDtXLVjsbF/gVSkUEAWs6uik0fHWHdz/gkNckMn0LEUec8 +jqhvkqiXglQeqUVVicuaXS/qbPGBLpy4eKAidmGq7uuuwCkHDIvOx8vmp4eToFdw/XFZg3OauEVY +C6ESWJtmHgZvnIYrqAjRvTdocUMWrYxy1cLql12or/om9GKJ5J9B31SMldFVOBS16rtbBxS1nVtz +Ehxczfc3FfMjqtB81CBPvPSodDnP7qZp5Rj/A22Gau3q0DEpOpKN9WLv6DRweKiF3MTgSrK4b+LF +KnbHEZpwA9SonEDzGr9qVkfYWsPs7jekr2U1yzBrmr3UDJXi50lETaodeRszf00D0fpWxhK3Wdiv +WLkLhbGO5JN0FG+aoK8I4KAdhSwdBeO3bGx2xYFs4Xuey6gtXJjoViAM4FYU7asfiGSAIroUXv2Q +QBUSnfL8QayRiwciEzXkvio8sV4ppWv4zGc1eBNjMWSDEl4owAUQbW6MVElR6vYjBC/Gs5sXqRcu +yOpMCCwAL9UE5xy8omUNM6vWubHRvhV6QSbBc2+HPz3rmFW5AHVgUC2MAdDu0ZqEPYz6nfR9Q5Hf +NVw+0WzIZc7cAv7GvKSLmXc65f81vS0wkSE8upuldUd8uZR8fKTTBB7v396C3dr6eCnXUabSvO8V +78vY8YS4e1knMH68jJDlxmuQfGQDbL2bkCf7a+wctAKqaKFWEGTMuVqlsAQkNAZEt+b09xzoxAsc +g5KbN12xRV0/FLL7pwkEdTt+LUtQ5WpeUZ/+VEFkEL6EnKW33WmtZBdHXa/SaoPreHtPDR5hvlwF +M1VwitLuXrnyk5adJPQspltRlnPj2OxCDEw4y+dxdjbzkraKoVPGNP8+FpkkB9JbGni4Y15BYZEb +WJdUn1cKPmKv797dZjmyIh341VFK0R64bDky0o9YWgIuSdumMRnwpVeV+ThagYXO50C8hTiGt2Wt +Lj4LmSB0kT8XoRCRt0d4VWcvNlIOlwSE1QbArDcrejHzO2oEv3bcHr62UqyQi4UVNM3fpSwgelCf +yTicvXANF/Yw89JPh8yFK/nI5+KDmMYuASxUkeS5RS+KAD/CPeviCRfkLvGzR0Rg2Q7YL043ldCs +MGCgVUYOKYA9qhGwntB9INyBC8+IWTx6iDGwDGXC+XeBeTmn3YKUfRsedi8/Vljww9fgUP4XGWfZ +QBhUu19jinS71pVRMTamMTLnXez5wEsDYLwl9qbRhACNm9e9OOsvNQseJGCCOyoaj37OKv3WJqAK +qDl4TbVETnKz5z43Z3+dMBRR+mA+VPyMEEn8crhT8+xkJ0Go8Mg08MHPLaOhhzARZkuLhsAulhap +F8nZhHPt0C0ssF/1wLmZTwbOEBofz04y0+HPb2i1kqsRGkgB3zAMi9cZ26y96RQ+ivsPgX36R9ZQ +5Xo4i11tOhf1uQR5vogpu6MLqMt3kpwr2vTF+/jxyDMJ1uKMNMJmStmRMsW4eo4eO3UpZqJn9v9B +lbFSUd+pVrhEhNO7QZlECLWrq2/EtxIORQx2OmaGjbFphzpINU2AhmWFInuki6kGw9+JwHac9iIm +y/qAEx/2cBgNmn5tOubgnua2Y6zwVzowjwG4e3ggBN01HkImC4Sr+8vszaAfe1kU8Vcx2uLchWfJ +gdje/6RJP5/uuFMCbWOKmGSpXQjZuismc4mzDYIfQWUR3dC7R/tBo7FHla1TRK9KIjE+ugJg5Le8 +1nnH2ZyqTThyIbnnn4etTy/4bMzrJzrQ0OKxHNWHUOpN2O1Jb0g3GwHLkj+iD2BgpTAcwYr9rRly +wXaI6FIu6okzIqeMutnXLuUwyLpJ0mLFGSDtEiJG/p6YTDjWweRncwzJfH3G3+/jL1xsqzXCJjQ/ +ZIJUOzXV8mQz9eQ/lBedSAjxboAgX/iEtaYreaA671UTbGvIF8hmYVzw36DSSpA6eBRqaJ5Zh9U6 +l3N4FfD/XB5EdntwMb9ZNyNCOQOBp9yTJhCe1KRG54NTqCE8qXYIylqzK/mRCtUeREVo7AAuCGLF +tnOF9anq8y8t37vuS9oK3NWW+7OX9ZU4bz/febOyUdk69c9yKm1FL9l5JdBkkkfTG8cHBDv06Lwn +0ftbSPC86ikIFt9BOG58tfFHp2rhFFVDpffIQiWVpeGHJg35SVeWFgiZu3NJsAJL8PoKGab+dEiT +YVU9HEVPpnmIS2fF5TZRH84T7fSnm13jtvMFSFSOGfYLIOnTHod52jxquDoefP0aMuXUtoprtidz +QbkuOOWmsPbEik0zkXYF3Qase1aFD9dJ2N0U2nI3M5AfzXxBtVfg9ROSWyxNlSM2iAAP5lLRxNSB +QPPZeJ3n0hMQA2A2ZlPl2FCEOwLv9HxDazt9Eunl9FeerkiNPXK5XjPSGfvVC4IR8Qs9A2M2UwMz +97qTScW8tStMDsFFqm1ZT/A9t7PFEiffOIr5gMfg7B/StNud+cUiQI+SqMJphip3+1mUFMroTy4h +i++1fDOLQikOmu1JSKdZcQB120iFtrY7Con0nZvLmfAsXZx33MqR2zXmpFfd5i41QXproEWJr4Hg +uJb2GI/jNWrR6wqh8IGrYbPWWwXI8n66RZjsnQlWwO2nBLMrm+nD0lazD28k5SmzKVIByMgz5xEE +RoPhXbzi2bfdqVh/RRO4xkBUv30CI94jtlhl9TOSoXimAqhRwab65emqm/jWRBrsGLm+V3oPM2gc +QDcKlOZxbgnLb21CGh7zGT9DXmGPp1dKLQ6AFhAxP8OHyqduGFqLSyjEuu7bIVT1u5pXuEPfHKK6 +0NipN4nvK7ZBKOW9WkBnn98tVe2KH4PfCwlEDtf89jSeZwixMkDpEyCmYf5cdtGKfMf0/ZXBfgFA +hSDtWLFqqgQfq8XV1gmJAbgiueREllhQrbu5KLMoS8c9Bsq3dCXaDfJJ29F2GEG2afO7i67N9efO +c7l8x4HcXeJxUeFDQvbtABY9zYAOfrcqqZIGvqf291QC089Hw+bHO+PmEDZnP6w1GdqK5YfDrzLs +28QEXw10z3DOnEoYlQh0B88JjKFgnYSP4SnKcA9mTbgDtYp7L0kLvm+HyBKjqjRX7oCP8p8E84f5 +qNMqlGdkoIzIrUEsHOl9ymtutWItl5ZY7Fr0KDXuTxAUtNGTNWSe2afVOODe49HMAk5m2gNMbLUM +xeQf3+jxceaG9s9AsrcQU9h1LRZ3yCMT8mHMP0VQ/7S4deaJ541do+VX4PGDKm+GqdmUVcPh6aDA +apLhgM9bS+f7aDJ0Z4+WpTqLt1FExj1PKeHgQ668uzttY1TXkDhZIHRB9zEcWb4E5Ndvtn+LSEur +rVqeoInajbJxs6+tRTEKjN1+Z/LedSsuNmYz+En1TWHNJhFPqvsAtkgmTB6Stuzh7qA8cxvvgUM4 +/D3PsiQArOFuk9oy/j1hEBJVGDhnGMNmJ3B7DZ3DWTgcXHozZYQLpUs/QgwehJ2E1QQ8NmMtNxmv +NeTzl8H7s99y6uK2hbK76NsEDEYhNdd8AvNMdcw3qSgzwQ6u1QEYQcU3l3fBhqvX7MiJC/YOjUvt +lQALJBQb8UOW9hh+kpzel2xljOzvYLW/rjs5T0vzwveRSugEh8B2Gqq1SbZDHCKP+x5DqIQtVT0b +XkZpFf+xhza0UhE3ShSTCvhr8Fv6MUAyVUs48WJ7VBdhqUUnT9r37/oHD6rM3hXfFkrX24gA0Er3 +HI084OB6CC24VbwFq69vGjjDoG9YFBH+kyme6h+0UQbDzXQvX+2AMfausFimWI5fY2ik6+HrHyDu +zpFt55NEuQtJ9w6xOu4OpStu2PuIT/jTVnpDuFJ/tLdw4rKpDAw7gb2t8czAAeFg+AQadKLZeCbG +dP9w/Dnk6CewFvdE5UB7q9Pn14kOL/UAukimlU730tFsfBbKrunKBnZh5hJEB22efNvAi243zym8 +wSajx4OdTV5dAxiI2UUQASrpl6wUCQq23DjTLdMl/xrn7gt6VtyD7bsy5dtzW+dLi7g+Z7dBSz3n +f+Z1W9wXQ7cVe6TRmTE1VLoZOHt2r6+RgH0kKZ2vDOBk7V8+MAbMDJqR1MDCa0s1zk401BAl5jzz +91i6xZew01ZhM8BBYEzEBosB6ZUXhQy7AiMGG6y+at/AyCrB+98V5nG006STIflj0gnjtrx4sOB/ +4c5hn7F1ViXTVYROBDG1YG1CrSxT7SIGkebW0wY8Uc07YHVjupZFKv0SRBlYUGzyvV+b0Dvq1VQM +DAWSluQQziF8epJtJrmTZB2KmTKt1Fy/RSSnm4pqMrhqZjAct8F8mv7c749dp4p6q/aHojXPTu2W +SmiNhIhULRQtZfg7ajrh/ZjPJPcF3iL1zBHwI1uKvp2D74qFVPkhgmmbkgl/Nz01/b+rlr4rzrRM +Ez5tjBEAJ5TLfpX0NXzeiq81mEWfbA2qtHupEFh9egqzlw4iN8aUOqgBG0TEp4YvmgNCax/GdcQE +iWyJcuVeNPL4ESPsjAZ7McOsKMpJu59fXmXOz17NOcmrdc0QO4XDLmYb/FbqGG8c0cjjtVDsIq7u ++vayGTw7ywKaM5gN4+kF2XkyB4zAtxA9w3gkfIpTqQFSb1OGU0D9BAax7OabIXBcY9ylzprbH8Bc +uzlDmANH8B7ACA71tFXWe/as66bJqw0IJuVQJswy2gmuE1uU1F1zVOuE6/Jr+xFvp4JJVFsSC53g +Tz86KKxSqiqHqtabP16iifZlx02MSwIBKsneWFaySDk5Zjc71HPzYHTFU2YAHVZptliKvtLlOf3+ +TnkMjPb0bf8AmuQMr+Kl+Mkh57CScYOWN4WG9nBcR8UMu1NtHWvCfVh3yuSc+8T8ANBjeWAsDsLd +hbuVASvEdiT24wAOTAHRLR4+nycSgloU8BnTdyizg9zxTNpXeDfxORgvhGiboYYj6w2Lb5+bakKK +5IzqtNz9HqoD8cpa+rM+lquiQ6Aso/YGsBjvE72lYwL5iZEMb0wxKDqoiVycTDGdlLXCw4y4Oc3b +Zx8y3QBFEE6EK6xKfjAyUhiuvQhT2LRe2RYFtwL5xuGbsbu8Of7EoJweEPk2iidYPXrrzt8vzXio +FyqLFTk1JZIU9XZffoIFZyjoM1bAsWLC0KpwSWpuSbUL3Nn73i94b6VNA3IZ23kS5xDan58VwaTc +4zl/ukdry+VKs/P5G/ww8Dp9wnOVqgoBXyP5Gv78oX5wJ58bX/bd9OZyTOExDsj7nMd+U9MZr+yi +3Xj2H11Z5f0k5Dw3/FDVX4QQfkCa/iqyey9ktWMNHgwVQPnUgycttpjWS13xrYXBTa0ru06/NUvq +ba8zDFi08qba+XwJ+ASoBlj288P9B+R4naxvVonkt4okuxuZpgPk9e1Cr+lNCIkH1gg3GnRoC0L4 +8tVSPvjISgc37kKmYCWrhJSUM8LcgaRTj1sglnW3RV5gstNFgeYV8qEOprJ6SRdlT+PlHIOXtovd +nbicabQzGGT69+hvV2mtqKqbDy76DC513w0z0dJeMGwTY5YRxUAnw8lkXex0PNujTQ0iyTUJ2a6z +9s3PF15I4Xc0BiE+WTxJzNN9KtXDxLpnC1kFqkhtQsFziEMQ8shAb+bosJp3N9X5zCHR325gvnxA +RSMIzIb0DDbN+cx/ozr/VGWX4DEB73m/WApV33a7Ar+5SyjIyIiKZ3BdbHfy2mWSl8qM9laVgSrO +CSUBmTCt5o9AiSm9ZjmKqB13LGt74HkmOmxImwGZNNVp1caYhUt/tCu2FgE2URDL8QMbMTeI7zkf +FS9vQg0o+uaej1XlmiyYRh4yKxY9+2epps3ugVPYVrNUy0u/A5qzHRTAr6jraLBgj99k8vi2W9lu +ajqCo0xZqfBwtl+jWB5FX2s2Zjr/HZocQVPu1U+zSDbF0B/t1cYeGrfYBfEsBIhougOT4McGTJKS +i6fLqiPf9kRwANrDdLjxw4QsZoceRT63AbmdT7gpPFqwPcuxj1NDxU9qjO3Zc2fPuCMoyo9RnK2I +IHyu+ffhXOMyhWMbI4lP4s+rkQaTJ/ZTNnIY3SbaIq73rxgMuqBfUmCjax4mzC6UCt7ePIJqHfUl +9iJGUNGs85DgXDlx8bvyzJVdR1wyfRWkHIAO4rUZ7ng5+Wn725uwnaaIH+P/eCRnlMG63SdQiDWY +xWArPURGbwi6W63OMuxuHwoyrDKmDhgoYL4XIbmHBHg+CCG5dt8n2A4o/D+lrfPo4vi+S8vnbrZT +zKMmnNRudMO9va43aVd9S25T6bYwQZ296coQ+4Z7ZR3V/cblgrvCj7HNC51lcDsdLhSCfembSRuS +H0uPNjRQ5jfex/eqIbT0itjwJSugSXlJjk6SIzjbJzgHQG540nNtYvieyaMWi173B5Jjpal0G/t/ +x0P6l0pA5BgAwWz6cCihEYQfEonzkOJDa2dtfnh/CXKUpe0N0meEN1HWgANyzNENZWch/eDKKa9r +3CDpv3wRLx26nXGSEeXyWDV8LU1XSTvsCJkb2pJw4xvwoGExw1nOq9WoCKrptohQ97xYuIIXqEoH +Rvlp+aS1MSzHcOQxMKneYzz9xD95ph9rglFzIqKWvfH7v/orU7yykVS2ksuGVMc5v/MigxKOxoFT +CDv1zkpDPFY+mfbpomzbpe1xNxbUbmzaQc1ZnQWI1cLH6kP2UMBYjjd2K1HZwvl9g61YRkkjoit4 +AM97FTqaRFSpDvR9sZxC99cCobnIjA4ASbcdnii1KJ2IwLT0p88QNEnFjk3ETvK+UZtHq7/qJ3lv +YsTOtb44FZVZZtErnKgsOMoszdeMl5yEeG40qqKr7PL9xqXhqoY1hReeAk3SFXSmdflEwURzwZsh +kn8uZKlW1N2ISZ7YipEbRZRdA55ED59Cxjybrj/bHBuCA2XaJ9slCUFQzfWQIdHH1GTamDZ7dbep +uyv3Y8LovEQCCzY+4xITEuoHJuBRR+1BFJCXdNr+xDco8KVIOFjnC5ubJzZnzMupQX408ZSJ/PeU +rumob1t6gUm6DFqVbIE/4o+0Nv09EppGqsAlz3bq1vUeL8fxHBR7wc/9fO4E3+dyj72AY+3VK7Z/ +cU/I1Be1ZdLS3CTVll6h+dSC3lJ/GabXBE0yzSBLC8x9m2hlDGoBLIwLZXG+XSUxtBAXDus10GaW +FzH9lvyaZvXvwWGDCpLKNQFpxh1huFsZav7OrtnvwcYg0n5OzYls+1gSP0yRu3CZMsm/D/CyFFXZ +UC6T/spz99+L8vPHOB0tJlzaQTd3MFPRDrWREy3VqDxqym6Jjftt+MMJIg8xzSfmLK12WLrAWGqb +DJihCLKjORQREWpnZ2F7CgWlEwPY/Bu+mLyyJprpG2jNY1ceaBkQ38JFG784B9mNyMJEJf9oDRBY +YsB13Qs7lWuHEvdaflLrEv3oOLEoO3hqVHr97XjQvQj1uXat+D17FE7lF+KjxCMZfw84lCJeKrTN +4UxZJ+LL53WLPoKp1/ly+mHYPqkpNdlSRlNJ+kY6ALVWcz6MpL1AdLHQWrnYFVzWKF676tWyRk2O +bLH4N/c/D3fvf09LNspGmHlXRRvTyie+UV1t2AhRruksnPioTHgQrEPOKXKCKIEY1jgogKxLo9PU +tCE2Lye0LVXqvL2cfb+LF7uwjwjDDnHppAZTxas9IQj1aH3Ruce6zvNnvF+cbuXFhBtYONJ6f/8/ +3LYWx0iLKVxijsxIUbv0QemVGzOI/+KgfCF+qNKvZRu8bu8dpk0Nf+ToAd7TABtaAi4jpJji8zwB +oafw4UEO1xo/SjBlNeVBJg2peXIvXeistcet4oE+TfJ7nbAtToWnwphP5ScRMuUJiKgOL0UW7JjY +PtJbM4QhH5JusjTDhLRErW5DmPf0AZG/XuKzD/SW5okDvO6UMmVqV3Gu9eBrxSobEzOQKVAsScSv +BXAOXVlibaQ6AazGX17yA/32t0zBiR81iTzlibh77ux0JALZPVq/2AzT1j+wk6HdIgobHsizmvRI +FgU+w1mFlespItcnS8bXGh6mxn3oO0oabScjYmwMyBfXs+QKRBktKEDFne3tUe2kLZRDR+GwmTTz +m546fBdm0Uq289Wc05/Z8nH1cxTZxPE/6Oy1hK6KF3BGvP5m2z4fFftR/30LQosjiD40BHRAfQYL +mQ4ANe6t/297/VgYvmeXDV/9fO1268gWO5x2AsSEPMgkzfF0uduPD6zBcw4A9U0aU/NzlEnl6E4Y +YPvIxGPxAIUqPoYq6+YFsv8NyUphly+ZVESEq40tGo8LjDPxEtVywIuxA4cQ1e7Jdz/ll1wTKUJ8 +ksBrgQsEoYdtHogedrwrJmgBAlToCuSKp4K/MkmrmxPPqDwL0zY1Pwsb2bNlAcWLqn3bUOszDJCu +Cuz4nxDMNDGpXZ3YWwV3egCjbLf4zS4k3r0qDeOQRKy5eTHmfp6Z5qbh+irqxge6Xgr3FNhVtoyz +wIoRRwYw0zbc8IsJehVXVNPxmg+plXlUkYP3UVkILbTd/VIvetIHzIYYyH/df/YbBvu+wKKUeqCD +2vwevleqyEKHi0d0x7E6rmteXA7txNl7myXZPEmZm0yRtqHmJNd30FWdCz5I/81gGX+MBSfmQk/7 +3u90FWKHoKuRK8vD7iG5GFDApKCMZjYoh7zhbjM+3bSJOownLY5uXYTZ0hGrsL/o1oTgiDfS0ak4 +jbP+nfdkY9CO+gi9WIOiMylps+uPsXGeLcqJHaZJ7bv65M11VKIGlGWCcrBGDBLWa4CZl8c8n0v+ +STrxCPxZUW1NmSFdyvbFf3qpdVw1iBO9mcQH/W/ctKeMXLI+/x3DYo2uJs8FkzjrFHQ5xk0CRrsQ +tq/cmmgItvsfdhrOapc60EWwKj2SlQx3O6pdJCG4gf1fXfe9tE41RmzrVLBnKYVV+67ZKJhd9sRp +ryXLbRWmzT/5kIop3ueq3DfdZEu98TDZljfQaLNciZLRJY8ewSW2smtMXyiHlKabuj7hhgNRQxF5 +RcBm7NywCCIZ/15ucAym7F+eNJwOiBmLsWh9jhv61jMKwP5yfH+s8zzohr4toO5ZEh+lqifFrPXm +ZWF6Hf+96AZNEy1dln0IjiDrE/j1q35IN9xNIXBrVIgWVszQPDwEqcjPaMdfQ3ssc0/O3G9yvfD5 +beHcERXAyTuL573uyv5oyW0hCuwM6t3oGNXkk6dLXx27LrNjjxaTURvuujJppGQ03w0ed1HMPszJ +OgjgIjAdEJ9VyhdR6WEfwBPFD3LnQkPotG0P7e/WHauZ7gYd60B/nTl3+NeckZtAdQrAEWn3+4Gc +eOK/3AqBs9Cl+KnZnkdM98JHpjypFjW3HRKet56SUP+IFRAZWu4BFTpRK58f87SzTZuq1wmUotKz +erR9gqSjVV23MN3OIRYTEainXgVb7Ewk/BmERgDqLE7eLdI60CVO+mJwGLrUYGB2eggouDbD3PFZ +aiRfugmsbcuLbOmPnClYjzwqaZecggAIwda1bC47WgRF12VDZoaopJHcLboKigCojDobQVc+/LrU +VMnydnpgny6nuBrodsDL5ccm9iKEUVoQHVotLx+mBOPT+KEWTQl/hWtq3tBq+EJ2vqavCw0EKVkh +rp3RerOT3enM2nGP1oo1wKjvcML/b01bLlMjNfPEDFGs3NrjsxqEYvBm33Thl9NozRzzb3L3TlGg +TX0ErzPlBs6sDWncKvtACitUmWZlt95sFMNr3Oi9t7PJh2eGrwfXJV0kk6QZCuHU7cGb5Jyl0m8y +bNQ+8MfNulXUbPo9ZZGvxKL8iPGqowgRziBEaEqgaa6wgkuYVsCv1xW8e9H4swj8enUtIlKTHYVe +V43PLdaQW2+Q5iQeES/HOnGbvJLCWtPOVoUifRJQ+esjty2UKkq2M/QKbZUibPJnoNgynTVP9mO0 +npmSPiaX4b2hSmln+VbGohqSsgeIeIAM8GO3akckFAycLxvDVoD7qDMrF2eI6CVJM1CGv9vNuFP6 +LZSkeYkY+m6CADqXNS56+JAF2pWfymbZtgerMtLs2+5dM2sVi9wZLnl6nC2r8WseN1jsd/W09RDK +RJJwR0BQYC5TkDXDhwFOvFHwdIndzTqnsUNhrHvRv4shBR1RySFk5Vhok2ytKPvxwtbLP8MweQhp +LC16nAP5JGx6PYutMA2ghKVUrOJniEDPWksUGXwFcSy3X3bKMORivlbrF7O5nFZx41UQfVOBipdc +THmBfZaw3ZuuDT1zbsxxmY3Nkrt+AsXwnL1+OaGxbnniuTqM8cX0WP7sp9TM2lKzPJnAwh0X+BYv +xSMRQKiMU7MfhJABLZMpAObTrQkbdBqYnAhOmQoK058nT1O5TqqkDRCXWQ5uJ+KIfrps8NUS5mhp +74oIcuLrzebJoGoFRq5TQpaGQz+z9vujhicGJGoL2a+ZtcgC3EzcwtU82Z1C3ndNB/EJuOoXE1PF +hqK23o9GY5/6yCzBddimSmccMyW2o3gA/ksLMXB4Sh52NQo0hVUwuHg4P3424KfkxyPxdlCb/OrO +Kp9SUaOO+rPTfOdXSzgFJlTLps4Owd1IsIe0NMQTGe0Rk4xys/2EBkwAkruY9gUh2kNGeyYVTy0g +pwuj5D0MuvWWzNWL/t0eODseP4LAwzM3r6I3C+IJgd24DhH4tQAQx3XRzHlwwcDigM3O9BeuWdZi +CSe+dRLS5NLc6q6pRXJK0D0q+6rlwWtvo+90qA1OfUwMF2xMAYHOR5A9lH0nI1xgkMOi5FRwiedq +2fdjA+aS1n7I12ytRPo1Mdk6auzsswUvhS54hJLm0d8LXFtYbl65vkzCqa3PXxJYQ5OCqSJIBCCq +iYzaZQnty34lEeAnGgfdVCxjiVFxIVxzpjxBim7Mkz/4Kno6gnIUR+UYu2++Xx+eu52jU/rI3BEw +iRMMKx+PEKUJeILOLD1aa+iipRN10+QZ+b4r5Y2mykNvyq4qPrB3vplUuE6I/ls8fu1M3gkJOoiN +Mzi8jgXRRQfeTBYo8lsjpwBXCME46mWpEHfRU1Mv2X+OMfiiYILEa7F+KcBpr6En+sU4NPkIRbAM +hE/przprubruXQLR2urOGzGx6+FJ5ci7fUGGgraLenG94FSQIHM41LRGzTcvFBjmTR3HGQUAXKjH +K5HIXqfQ+L1Dw3PcYzkVdbmc5IlYNgq9IQcZ1fpeSAA7i5nL+jGvYiGXa8e0ssigBNek7GqjbAeh +LdMiV+uZl2IxGkmF/LDvjM/u9+HY4CfkDSgaOZ0Kqg7dAC02p4u+WV1v/pO5P1wddR7IAjreegmh +DH43MtcabNcNWOgdwcw8qw5IKQbV2ZkA7/RG+l6DvMc1QtWl45rAYzvq9RxGqGrWJ92sjt1TFUmX +M6BAoXh5jfL4HG7J/Apoae7IQTx9tCxv4ijML38PwyuqfkyrHOUx59iqUO5bjw9Rf2nuX3UMet9F +NLjcfsNZDe15rozc+o+tkIMu1YwQgyDSyufZWJv7A7nyXNhWeO4JHaT/xtr90ZY40P8+QDbObt8Y +1s0Cav/7HjGKzXy7HutFNWJ4KGuQ1DO01Si0g36mNiF2QdVoxhgnjOFIYKYWbJU+778/+t9bDFeQ +k2dStM3H56nVzjxAFPq/VyjW6Ekd/NEdGvjCOCwXV7WXVS9nVyDqsbJ+UAaDgILzD3Awz6VGAWWW +AJF3qfmInQDx9ta0pacDNiJGapR+aryETo5pWpu3BLTeq8/HbFj1DPNCB/21OiAW8XoWbWRixZsu +9rRsBm/Mhj717P33JK/3gkJCl+cH+qVu6cWKeYAK4R8sF8pJ+1gcgdcK1XI88Ym+Xrai0hlgcrwE +OwSlYaAB8zsR1qOsKtv4eAW/eWpbdXWK429wKiithFcIFFislfE83CigjLrYzKq5B8fOxVyTaKYY +08lRJmLu5bGsziY0JcPy6j0TTIL4fJTTLshQjw5TNEbdR9C23kb7bRIAq9TYkcVOY8UVmQJpR7Uv +m0zGKp4YxspYvSv8zRIyxQo6YIo6c5s/lFGcAr/wNxILb0L6A698WFe13sl5vwIBEkLhM19on0gQ +FcL6S/CHbKh81UW2fb36J+YmYZXozhUfOUclAnGKhfrxqq08lE6cW29O7TamyCFdYoDz8Z8J0NTQ +agRxxDmzjLM4BjPXfNgYjYWMNRiIwI1CGeez4Ld1WIPOMOej3EoBx7ddzXiqWHJRWpNRMOhBwtOm +Z6gr9AoOgwRKbJg/QZXIZ3z2xEmh797DfAZ+EjaaokHY1Hi02Ct0ITjU3OcljIcjaacyVTwpxird +8paP52Skl3paPTA3Ym5yvaf6qyizE5gEIIaf2p95YmxTGJ3S12oTAfsEJ9veDG/FE+gSgCb+rUMr +fdsrtcVqrSwfkhm6KRBxsBDFtY0J42AraEvUvJozpoGHYzCm+s6OLYIxPtR9o7YhjgLU2MLDwc43 +0V8yui2ZqpKs0LwlzOgVUrxasasd52ajPB0VIbAhq8j6P3ovOOb6GdDV5NHSmmAgrc7fxrUAzeXD +RDeaiRHTgDxaCwBJo38CNiDNv5Q1Tuk74W450a1W96t1oMYh5LtMvo5fg6w0VQVQfN5mifHi5KL7 +ZL6Fb2dnjYZWKHnWn6upZf90vDxTW2BpEtbyCwGHnCqQL2HOLTj+CXLb7K7AkzRZUq1IwqJVPEj1 +XdolOgRVNuffThux68JoFoNAPliRboDt1ONVt9q+1OpPfXQGxlo79jWQh2C6vOvTXQi3yxlQJjI1 +wyhi0IU+Du9jO8fSY/7TXNN4nTyipXIBYyflpfD4P9OUroKQGkx8aF3ILvYOjsRWpFZ30gkLBZW0 +lcb/dNdzYEom1pzAkVAEjO3wx3G+F/hg3KSt2t10NTbNFu5YqFMw6xFipNLBZH+CR+SQuOMBRda6 +6uq2kC+1HUCnXijyw5Olba590hy3EJU7GkGs1OShx/I4Q69XYXSa9GOxeq3O9cZcIS3NizhrbhGH +TSh7K6alPbjaQI1nMxpQ27Mg6GzZny+VnfaFkfEr+mt8JuRInnmfMP4ctvSFvG7kgyTOjPmruZET +6ptbkP3peODiD/gHVyQV0cE9imtOZ7PfAmJ6tMYa8QBsASChWsX3DH6BLmRrlRgP2Qf3OhGJUd7i +509tk0SyBbIrHHa1NA9CbFqMpUs6GzCPH43wWruYJSK24zANVhsTUO7H8GtnaqUmaxtwkzVkJQyC +a7aTo2p+QtY2SBlrSvEFRnXp9pxWRExK200aUTH3tIUHh3WymOtbPMHdzm0KmQOpG6x6+Sdi7XLA +KeghQlK7NBM5+vJAOr4hvUvKQx0XObed3E/NyWjckPwJc1JqEbuu0Gr5YvmnRcwAKJh10UWjkICb +zoJV0AZLG5HlrCsSX2jWWz4kNikdF9A5WlFySYXxdhxuFc0Z9ZS75IS/QAVFHHzv3SVD0sK/DPQC +EnIZY7d9v06sITgQB5HdiWCQlDrDH7nQ6GJ5iQM880TRYwYwhtgxjnqXUFItxz2+kxx2Tz9CAJpF +dswWtaHytaat314UbY7tzkNA+5MABeYuZJfehXekLqlrK9p6xlyfDwKPLMEKZ9qpeKKDCI3k9xj0 +56CfZP+AMXToH3+xYYvyj0xh8H2dYI6St8hStUBzsZOWmCekn2Zy20ILT3SVZ/ewVPGFdeeEMvNu +Fztjt2cqybqnf29rKKCwTV7l6Rwbpw9AvmlPWCGIS+NB7Wj1+EE09/nAaV1Bnng8/hheIxl/8Ex0 +O/ZD4CCpDz1AW8SnTiGXn7Q8lyS3cJtJHVIl9PSNQfdd95lyLCHuPgfoDRwPPV9a9ElEpMd/TugP +/LboHRb3eZmqD8MNjkbxsxL8iofzYMizoC8TefZdR1XgOOV6arFNJVwZoz8UGjse8tMTe/lgLj5o +1Nj6Z6drIrhpPz+yWCWN4F1/YNXtD7p1IskhFadXVuKT/BBKUhhX774vFAY+rW9kkHAhIFvPIoUs +SwBiVd2Jkc8UgCHNTDnBPm7W9Z9mK5Mp2LAtVBv1I8gVAzyPClBrA7mru7jXjD9t1hlSvEsp6ke/ +5i1gFszAcStl83x19zkgDczpn/vgpaKvJaSq8ZzwjaM9p+U3hIkgFo1h/1Nv+QEy/IR4GphFATR8 +jcDaPSfC9yz9mgn2cezJ5oWoputLqAM3DYgzAcPCcWLLZeyTQjukDJ2QqH8EaKKzUBSXiL8sOPwI +5YH25U7qvU3vRx2gIw64pAM7pCEwsH0nRZ0WHwwpy6PIJWCJw6IePr6mAyr0mO1G0vk/UIIz33W1 +uxrq85F73u0XOzBWALE8DNbCGLlhi+IubkRQaYeE9HGomhPADFABCqqEQ5hXwNZnkQMlglMNwU/2 +4RQQSzZgnxF/R3Vf7y60PoAxT3MT8hE8ikPU2pqq5kHmwfj5aezQXrFG10kVYKI4DuAiLUBABw0z +7Y2ioK75c5FlIVcZW3PRjP3jaz7PFGxh/gGnQnfhbiy5MHpjDyL3LjUNvuBu2gy7+2lMQGSvxwQO +RbU6I7q3vG/ThdalDc13kbKGvyuaL5Q+0/4hmn2EhOx7iRLXckcy0Q9kWV4VB/Ke1KJ8F0L4ZeLh +QrIzcZmmDYv4bFN0PKlnrq4c+udo/TTUvfg+dQyh6sKgsTlfUpFyPjOf9uF25tT6pMVcy+vleIcr +LrI2zNxD4CQJK0tz0/Yk7ykWC+Dc3CkrzUFRE0RFvziOe0xRDA1PPNM34WDm+dBSlSZDBO7j3V9A +wAzkzko5ni0Vz4Oj2+YI02TXRebkKO2JjN+9LUwh+L4lCRTuD28A1U4wEgaZco+ib8V6Y+5ZrGKy +LZR2R7/h6TR9ljy5zsjxww3OQLrS/9zl41nkjZsFuzAChUnAXHeoEkJSLpGEceh5EbxUXbvSv4FO +GtT8vVM+K+6q450EeUwNtKMOqEv1QZjePSIF+eRNGYnqrRV94wUOq24IT5u3hXjCBCXBTLxVoVTp +pNkbcCIOa6l9+4VLOY4wiPToS+2aLZX4uhEs3FyHS+SB1nN2r3q44xakAh8EqwhFmj0W8cBggAbR +YyyehvgZ33o9VOfDKqfZryw7WUzoTLpS7PUua3l4UGdHrR3SZgCiItcAU1mPimaVwEn+TjnM7j4C +Ec0QojO7vSgtAPDYC/GZ+gsMNksdyjpZaTyZAlgwY2wHJjuZfG/tVBA/kuzDDhja38KUops2iSNh +kTiVQI+e9iOoEI80vBWZEWTcHvQ7knUdtZIpYnNSf3rgP2CzDsnKdHzdgVP8zWgFjHWOkpG3PMGW +Dzx+v1wQzedLsjpNRuD70kNYs0w3fv24BUIrBvCQJVVqOp/6kYp8r3X4Af2As6RTaHYxb+Thpy3R +a7WZrx8Hi9Ze5Ks9fM3GPo9qn/kN/qDY4fZ7txbiTUUi3XTDpJ53tiPgpchVrGrTbOHkxQBTTZk8 +S82ANOG42oCKn/mZdAwhlGNd18GTHl9yniQdmyhElbkKrkTdDBkL0W6TEixCaa4ZU3B5c5+AQ+Iu +KVwY/xtQt2N5syXCdVtpdEP0fzYKyC5i4nQK7FQgMeqbdR8GIszMbp6P3WXuP+I92M6uiuJmAb6D +hT/DSjEIe6zMN/XFB6CmW8ULUk+g/esW1haYJkpbySRNBkbu8XztY2mb7uo8paFz1IFTn3JruUD/ +MIgxNxYYwhDJzCGF5jwpe0r3XXUNavbSKDi4Jk+X97zAytAMnpXcz0n+ziNXzQNaD305/GQsIohP +8b4mzCxupNyok96Mf59fn77taBh/5vT/1mg796G2VksYky5/NYEeY0lvmVXtjhIojf6MBlTqz0lg +9byYBq+WZoZEQkPwhX7b1YUCaUh88i9MReTvIXzHJ5/B57Cedr9nmaGn4jmvfu3TSG57Z5Y+30IY +2ftCbJEkTPtm2UvrVxqbRJeWC4TGW79xo+8cH3ixpx+jcfuG6dT36PRzD/NYpgeeteoqmxHo+dXB +BbhCb5Ns+KHbXqj30nmHBqNm24dNXqTDbJ8eUxrhOLGdyvi4fkuXXAFntbUpq8LMgwDNn2XoC5w+ +dfh76HhY7eg8R9CgTeManjieX9c7pX+im5UohSz9ZB/rAY+WkhfKnQlwltZtOYuxHKcfpld2V0a3 +Y+kmivikdnAqlrAGy395sJOqEOo1yNpf3t2xfi7Ysz/IGsJa1e+nYqhGUoM8aVhfJhh8IwCtb7v6 +3EeIRaFyAAwK/NRaHEFc1IVl4KPVt1MpOK/P0WMvye/YX3q+dDIU32VJSpB3KuExYZV3FYRJiq83 +NuWpxEntGAvEenr/c9TDBVagS3ppe8B/xfYv0WxbjvSTYiT1MWvMzZT4+/fZZrfTpWf+VdhWvnFm +wbdiZJ6kbgqNxoJut3aCnQUtNC9HGqoVdI6HMhDqpmS/P/2FJoJTX/gSSNaY6PyBoBmlOpqXm1Hb +GQNt56VtlSXV79TVtjvIqtrg8ijJdKpWDNdpqPtMNruILbYNh3Y0b4mBqABqF0uuTkeNDBRitiPQ +bL3ZFkedazqoDMid447EZRE1gW0Aj3RJvfgFhoCqZ4qBeEIh8mgbi/ouFUfWq2UgpvAQo0ic/TfD +B079rjivtOrNLC/Ad8mYmtSiGmED5dM+hXg8M8BW93F5u8o2lE4iM6rTHW9aJLUYMzFbODnCXYUI +qV4h4OR60ZEP7t57RALKiIWMg6DWjxSz1e3YRPcg5v3R6YYFpq9BQdXAlw+vStL0tgm3VL6qzTpK +ljTsMmSBxkp+749xfGPmU+/SDA57JVQVGHHpDuXId9gUWHjzqE4J36H4Snt6AJkHT9FHutuNJy+P +3OxTwaZGftRZs0Fn+iNeR6PG9XKMEPXkHFUlktUZtW7Xpe/fjRiWByPkNIJjGAOz9lnPbsGyerhb +u3P98rqNvj/GmnpwNfmxeMrfEHquK+A7vWIUbd9n9DpXtwH9/rzFdurFG7knH954gZedBURL86YA +O+OgNPIKQH1vDJM/8HyXt+CPga78IYk0YnrP15ujF2ZAaL0pPswF/u0yLHGNNHusWN2FEpzalQMW +CS5GgrC0cck6LzCIb7Cm66E11tKeukhgecUhj1a69HE9Ip1A4VsjfxkpRJM9nMtvhrCaunhBKsEh +dEDGwmOU7eID8sNd7TZQ2yacusf9WpNG2avC0G0ccFX1kb8STII5b1WyMmy8wePFIoRaGDEoFdTX +qaRQyNMIS6IAWLUVSxcrMKrDOB+RKXfDVCg6olbWiw9YsTGs/FPRGLkQAdOuDOOQhsUkAqjQx5wx +1ScyNrami1A3pWGEtmCPlMqBfYModi4GRJEfS2UXwAHRd0i05Z8pZet4GQLiU+qvYN5BkQtvLqr+ +R2eLNQYVhdAdodPav4oSB3t4w694KpZqJmSyNlSb9h/yDdpHjY6iR8FTnBc5Tnt4hhfsLMT1sAcx ++C40CfPUfN/PgA2v0AfySpCh1HV3yMOS5rXV4l2cnqirelWdcChZ53d8PJh3ZZ25anXAaL1yDk4v +NXBXfhttREasqVr/PjSnTHGSj1m9KuByMDZ1iTx4S/V9ZZTq9CI6sff4LaE741dNYuciKwLjB+bj +k3R7na4YlPgMvvavouixNH/iLP9+M3pjc+QNrjGCPdsrzVgeMzE913dC5QNz7K/kVvkQzPaxOCIn +DKWSnmHq7sh6zn4gPMgskRosjt3QQmhkb+t2Hl/KZAlPvFCxFTYUYII0fTyEzRAcRMubSdQW3w8Z +cIqD6xhAiKBJLT7qJZkWIGHVuz5AWl42qF8t4hId+GDFsgAUJ5GZps3tWDmkMtfgxmN6xsuBEqMF +YGiRYqlU9Ha4QpQiZmrxIsfG3HN5z0GZMru5L8Ya6flmHlEcOy3sQVhujiYhcA+w96wmfcsK1sGT +72Wnkw9tMzBLXK49t0UVfhAsiUFPD8YPjzoKFbKBW+HilChnRMOoiAK9tozzqqdthI61ey5hpV3I +s/cLxTvJgLNrP2QptL3Gj/mtiF/vE5ym4A6xSVdbjSE04Dwz4W4bOdCf1xLyA+U4nI9oeARVyX4L +SZQTU2iBM2H/hVZV4Ls5YLowlXvNBZ+RqtccRTVvasja6zhKvlDgjqrU0yJZXGh4xy78f64ZoeIM +xFtGhjmPo4KYRni6YnVUSIM2HqxWLSEXt7j0G024mm/mzO4pWf291+AAS3hPvg5aRNsIdyzfDO1k +0mSa2q6aAIZI9g+3TAY1zP7mZdfQe6vgtnVjHAy2Ty64RlaRMvfAusMwdgVvLdMtUoFL3C0u+YUs +dbSMlV+98Cb1RFSIHipy8IHhA2RyThHIXYYnbxGGldoUx7hst9li//r/49m0qaOPtajoJHhlQIH8 +/IsKOc6F/PoDG8B+wBhAn1tQz6TT8Snct+r+50eI5ZqL7R1KAem+P8DN2etMLteihij/dFsVU2Q5 +qzv2/5Y6E8F24KDJPz2raoWburcRRaYM1IkKeABNIQ2Qa6eVV2sTxuJWSMBN37RA/W8gDqdN+AT8 +84GZNOMOwPYhThtjOkfliFfYUlEQxFA/h91Xkc4IwpjdEbO3/6S6Ug73SsfsBaz0KUCaATZ2qTjc +vH8cqzg4atlGMWsMFQe0qQphbjeh9qdYQAApMMCl5Hm5zEfGdFIESVrAH49OD/gcySJzKTEQyXDd +Sc7TebrDWX0vjL9uqIAOfgp6c24CadtstkMhb4WlRZXJEw1Ma9VROjI1/NrXsUlvH9QD79+lA7eB ++9mPOq8FU79SYgHUPyzn5/smcxJZusAVxxi8VIFLXQCnPHmi9eGimFmzNvNrm00xgihR7Deosn7w ++SxJQOa49ZXr2207K7F+VyIEvMslP8MI3R0J/2nOzr484ZyjkRUVXJ+clBgn7AU8EfeKKr31yRBR +7/mAz4vA6kB0Hq5JCTsh94SvPVngneuZ8ZEl6wkaRtAbz6toGULdaP89WOlzat7XUCOPs0QgNKki +5yw1mMy3Gh5gAyYFynRSAjRaEcAFCHJfpq7/p0LOiTyidVAW5DyiauD6hjPNjdAIRXT1XEXqjxSy +j5xN5n4YTC4xWZ/EVSQlc07azJZERCZjx1WD8CkfoI4jjQcLtkbAffsBZ+XLR0GKCqCIROfplakr +qIA63RruznIB5IjC9DKveHFXFZrnXBik53zO5LyVx5/AMFdadaX816hGX3v4ToUTSVpx2ruw7E+J +uEHt3fE/VPoB8p09LpsCPc5WjAzQNc3z43q+ekRa531UFrKy0/NrpKgIRIhlm9dABCDFPLUpvDhW +oeuTlElGuqj0/6+h8ey/yJ20QmyTahgAmCOlPKBOePbzaydJXSLJZBSs60D278MMUWgRt/Nh3hTe +aIfBAK7wjqd4RQBDPE6ra55CSbmhgArjbZyKpzYvWfS70SuFDe8dG6KiOSLRYjSwZelI0iKSHMW3 +V34ZVV01rMyC/56mFQPJJ7ld8WpAOW5iuhMlGXApdFxpm756UZPjUwc5JuG/55vfNOZG+LRzBZ75 +OX8x3SHo3NPCOQRH0bqrFcvl9EO2kYVrJAoO+RTvuR0o8144Ip0+Fz4R0jm8mgwmms7REYTz2qka +jln7dimFEZcalLvViC5bkXdPcAES7NHuM6ljhz8ZN+exh0/vpfpEFW7sDjg8MG3Ue65ljEM+1kwe +8mBwCXJ2UBl6V6h2yPmmmuSbA+Sx1ETnHVATvaSGDbKy+pRub07FyzeWZYjYKKGgw02HIOhfa1x+ +iVOe5a/OZz+0KqbiVVLSYmJWNv8n5G6H9w9jHlEhPK883pz9yJV+wjBUzJCfGLX3dDytGJbKXUPk +cqd6asuNPtwoS1Kf1hluiGoZgUngUemDsRICUsgrFRKExjmJdue4SxErCYlNAW2HgjsGuRkbDjK2 +sbjIsKC9TuKxju9Z56RPFxS6LUJ+jYzfOtsJCIdu+Qv1gag01Bxcutel8L4rD7fQ0S8ZL/gzxUcZ +W56PNcVGVKRB9zFKCeJB/6attigfAW/Z+9kqZSpZG2e4u++VkTftF8ZQBpjkWwAhhNC5OdEnC2vR +og8yUDl7GZntH3kbqLqXN033S0BtqzKfPN0fEF35v7wI5OxhPqgheuBgma+Ugs/xq2mSbKPM0jCG +2+rYsuX0sXWxkNi71MCso7B8Gmanv1wSbuKtHg2hpkra6Wra/Epdr+txst7tF8nb1HeXgZvg+ar3 +l9VShxvI19M+RqBTPDhecJQ9bseVRT7bPZ7TM9wig6bZ+5v6doMWHhMobD0ngpqw9In4adKWbx4B +5ea3xKIuuLsCFoqT4D97wlp6ZSIGjz/NC43KUIfKKLUlCxRHKwdKGmUOQ5Zz2JtNcXGxEbvCeyCG +RSRrVs2qckcJrQF/lsgYeLdabyOTgXZvu4etjHh/Kne+XS/8i5gyI5omAomknoLUV8Rfq5jgw5vm +B5nmSuYpCrkl2fe8rgG7erMdFdqcwwqNmotds1pqkZPOf6v8c/2Hed/FtpnO4jdlwJB3mTYxXc5y +3eeoDoufDcyw1ld0Hp139G8O6MNfL7qa9cb3Qm47be4qe85BsfS+NvZ2nTKxPT1Mfusm4LS+OuyU +KJMbUNuENRldaQFqe1o7Knu7Ing9pvScx8ITTtnZNxkP1c6PvIt9f/zXx+njE8jTMFFGEvjqaeJz +/+pOdTvbJqdYzQ2s1k9fKFWfbL9mAJjCoJJVXDpVK5W33uh+q62s/z4QfyUzcMXh8ySXH8++x9kj +s/WWsqDxqa5WW/KN/HlwoY/rTWXgRcES+S1NE4R+oLkH4uyTO8BQPXvhKfd2MWP//W5Fspo79HOU +C2619eZ1pI3VGC0GAHUTGiq2Ogf0TGU38lhv/dcNO4SU9XzqOWfU+uarMHaqwnSO/hZXMvcaN2Fy +CCOPcN/YcYgB7GjMrNwrrelJ7mDFcucqELFEjNtVlZsVGvupDM6+zl25aavilDza6zmfZB09ri8a +U2cfhnRuiubuFQ1PDcnGEJlkAn83TZ96jNr7zdMs9BFhlBcrDVyH7PA+GIZdAUTHkkuvNUCADEFd +jCtvp/NUMjeGKZOIkROWoJ0ir6NDQclqKm8wRVb23bCl+/cmgt0GPGVm5WkNfpyaFmDooRhr3MkX +ZecOc4QRI3AtnCALe8hSFO/GWTTnmj2NwT3NuElj0Ms/9W6L2dd0Mk1VMvv+Oajrot7OAi+qhhxa +h/LMER6VCvrnnrT7wVKfxZEzMu2dE2/od/Mwi3URhxt+wp1b7NftAz3js+u9ZwfRG/96b/Pzyg5f +ZgFQt5Ih1gMxRGbD7vT5Qbi+6eSINmiV7dFXaTD97JeBHnM/rohDmMIFFeNgKQE7pdMuGZtwFjAp +6AtIxuv9l3kU/ge8REfPrwUSI2tUKMCmNA3gw+E5ZnA6TLykCOd4BGmMgIzvaNyah+JdIWfink+Q +bIrRvCxhPSCmy14TwZ1fxQ3pOVaUkw2qQ1oplUrEG8BNNDSt8Q0i4Ng4uk6oUbSbKTYqQYMi+tm5 +d+5xjtLzVVr/vdb0wmUcag0njn6QbDogawF36AsmJ4cUKnYAMd6msWTINUS2B8ybBTivRqjqOSSW +XThxVX74nugaMDzHDW34fcPlNOeqWBrRF5pNk1HkFI46/gH270ctTeZ4z2BLHJscjK+oEHa1wDIi +J7kmQApM95eaMnghW9uq+a97yh4K5FxBiIkr+Ay+6hVyRHfNr7oTLWyy1DyFrhoRthUqoFxnvmje +ByEMh3Lq/t45OfEoOhM0Ja9uLfvYpWYr38R1shxvRq1eTdpSPcsF09WlYafH8u7CFYslwMguxm47 +LTM4mw5FVwbQ1NyCqbGYOlgA+2+2AjSC6y1sRkdqNlbzsqllRf7LTEc2hMwdP/iZc40O5V0TkXQ9 +et44gtEJVx0j28V0eVN83upwpGwJqO0K6gPGbRhJrncPU651/BedGALtyh8NmMtqqfUsGFcdQys1 +1dX1m/ZzPLP/5jcg7FTyrbyZ0ZTipnEo+KMRVoTstukiXyL2Pfd4tunE5VKBFZjou4VHl+pErkbe +a/yo9okJpMQzlSEKq47mcHtZHe/UxI8rQMtZb78R4XMA6uMBSHdg89poDX/UJLHXcnJw3mBNAs07 +VPZaKR9TQflDpsiCt/D+cEFVuDCIAIohXgQycKopAcIgQGGQ4jV3ORqeAIVmcwLPM/wwCCD6tTDn +A0FHpHteby2Yo9Di0YwjmQEQTLP9SiEc+fFDL0vHClaBlhr3ZRsvAsiYUQXqKpamtGL5Xz0qa8+g +uVyamrSBTlJYhMhCE8aQBPuQm0xjsGsiEBTgO1q1OzG4XExDKFyCd/lM1yZFLFZX9MR8kDHYgtjD +oIbmsdNEUbc9Tzy7u1aeoP+j3CIMzQKWXpPpdTKH5iLURIA+a+XKUKfpIvSAJV/HpKzFUCoCnhqz +pGxTTN60EHhvQF1kzEFKIkQl7YJ2LQ6YBpW0HRDwASyt3agSrwkoultW9sE2QH0NvrFcWmcc1NRD +ruaudtshYMlvN2xi5BBwbrGVmcUWK3dydCDmsyZeliy90Eyk6lQHBhl/4j4oLiyy9a6pyrM8NW3b +YOg47Cx8Q+DbMwhQ/SwOcNY1L2g7SVMlnwIxcfG+7P43fEElQ2DC40+fS3cX2ufoEgq3T74AOfvF +owpHKGCoc2ip3SquissYRSr3O/iwta1yGBc0K7h1pXuVBV0wYp5G/lLfIhM8e24fnne4NfTmmsg2 +B/AVegm94MlDbD+BPb19ENupxxiWiyQ3idpC1rO/Q7Op3FYQ3iW/OrV3vmZvvR4yvqqXEHKD8Dcy +6gIbSXhPPG5RHpkO73pZTXoMfBHlCESCO6iiy4HsGO9AG8F6SEST2slWmO3m1wbsu/2B/F0lIc/M +N54NnHDeTGAQ8PBhySZUZ0pT8hgBzCCAauZ9Oz0x17VMuqhFMCEYWQDM1zUwcv2GIrmN+BsWdw/s +Prml++Y8HTrz5SyAKvtvNwKCmoYYhgT8un31YbvtCuCw1jTkkOG1qYD1ynxQD3auAlLSSl8oH1oR +BpNT/avNSDTw7fCihvGSozVaI6YI30W2P+nLOelikB/sOpQTbqC+nLGPyNM1HuNeg1chzrVWaTDy +PEFLaC59Bs/Y43iLCbDY8yRtKn68OgTkbMlrug3OfAoikdItTPpd5v52ymdYAC+nL+PcTztMpfgo +IbN/pzNWwaI+LQ2OeoYGvIkUgr75NCfi2u33aDDdZoFSgpRVFwHO0GTgCGTT4LZlmD4/TMxUh4vY +UYKCKFAkgrQLkuPFo/4u2AUDvbD2p7ZXgiZLTScF7vfi7U3iCNBho1RnQze4hf86VEF3wVXxDmWd +fiucnPcyUrBVTlTgWL2ixIT9K3ZaQKflsR8xIYT9Wk17TkxozW5mu8DkY4NTtmlWWUoSAYQRxh73 +zd/QT4knyu9V9qgkMYYH+MEOXHNjoGWuEhNX34OCxlFQAtMWhKpXX0LtqzMo0iNcdttMu7IH246B +ubcx7mIy49NtWRBEMk8Y/hDCH7/FcCMA8xtgY5IjKaldt/H7yQybwXDY1TtUiqDjMgi3l04rELq+ +FaA9OIvmHEwahsNjHNRDsgmATaLo5y/qddP/wpQJh3Rf0GaTeQNZRcB3YPYRqL5F0b2cl0aAzFxZ +rZqXm9J9wUSSIHAJybr81P1tkZAyrPKHLegEAgVb1UUyea+O7kUlWnVOBduGI90UPTkOMPk2MeB7 +uNRkNhaa3cYIJttZpz+gvoaJC97G4LqxWxJq25eAxy7UzmoPaAvUaPlKR952ke+E5OrFf3Ohuw6B +9iF9NETTNafTrepnuI5ZRWiGSRVfkS1jEEz1VnT6D8MfGIT3wH+U1ddf7am28rLpGt6Hmp+4teUz +YFV6T8o35LiHjnkMl0qs24kagDswhrv/pyTpJpZO88VObSK4GBTqjdm9zMATbdhM/4Cq1juAxIZR +gA9sOVjLB/JbTOuPF14vaadsOKTj3igKNBbCXcE89bh9mKsWItYZphZi2KvFq7Jci7ME8SE2v36+ +d561SWLNfIETR1gpr3+J/PYpL/3iSFf080uelwmCy1vVlQZuTpJbYMtnBeSNcVipg0zEdVZPv7vW +InXNHhwtUpeYUGifjcCDHECejunMcgVO84T1lsk3tPx68tPylVBU38d2KCJ1lg7tImovefTPvnrF +eTJzyiZMNUu4VVatPNqSMkAAIx3uLkd7sdajGLnan6as9ek99jFxzJybSZbBDL1o1lKRqEjVD7o6 +8m9+vsR7ZMxAKkEXPJiJG/KPISM4Ov8FxhlDI0fKIdOJv/WqrWck/IlxMC2xtTVDFSL64JwM+FEm +08t+j7Bq3QSo1+IX1RTE4tBxU1+k//YjOEboAa/S5bb5msz1yOo6TIFtXBV+kgiRcvNIoFz5GCt0 +RTEmZxY1eVHWfl0J3RbR0pOM26b3Ppe7AZrlMEDBx8dfJZWM8gu5aDfA5XWSFz5oUMqEcvxwfKYC +87JEygRzoVE/SL8fvBne0Cf879HPc5NiakqSF8D+B1y0ztbiNHXPeoGAQjN6jjgSCICrgF2bBCzL +N8C0saFlg1egMhWevXcZN3kKeNBMO7J3qa77lTjX6ycFhXy7niQurKMzBMyKO3WmIE16tRFplaJ6 +TIqD4xZVzJq9J9Yi580n4FRBhOJOOZTgLOB8IQk2LySNkKgLUYFVnBDAzyO6AteVbpIKzUYHf59V +07v1G5uIf36Cu26YHeerdB5yHRIl3NQjbQgOd7dmJobnwSZuFZ0/PGqImVMpMBQaUHOgy99yoafz +rgj38D7TxeauUEZhK9sRWApFbtHsgUsUd5hQ1pN0PBMaoikLRKZvt3DnY+VXdcA3uYqL8663gYzH +8nb4SICVhOkd84DE/NA+g+BFGX+eSi4n/ZAFXKJuBn4FZXtrt0GMPmi+KvUIr/PSm3FeuaBx/5kM +Spgos6fBdilJ+xCbVsTHdILbdPvjNcwBS08RCq2pg0Hn92V83dDkFF/dCM39AhDPCr3oIV+sozFn +G+vBI2o6UIAeds+bvHHfgZ6jfMzFyXC7lt6FGlM+IpYvlVQR7ZkXB7Ss/zWM6gDx4/5FuPhtVSQm +WAtWHu+fKfRR9paPFP/CzI+/iXKsLyXMWJVL0NrdncYUw5I0eA/748ZMF2RtOlOs4cMIWxHO7d1e +Mqs86h5V3Lb8AJMDiTbDZaxuFACWz00Ww14FpKE0kftpMd/cIMhM5BlrjOxuGHaCGRtGxnB8db8z +8uGdva/aLpbdtAih4MLoZuyvaSVdfFJ3gctH2eOXKRZLulVS16JQfjDuFInykO5iNN5MSue8ym3g +pcC37BXlK1o8oYx4EtLzCRDjaeqnhoQcpiP/Xqu1qNeX3A+2YDrlcRlzRc1t6Q8e05tBDxc8WWI9 +tEZ+dO7+RtBhniJolV9L6plsQBqH4wQCzdXEgF/eZgPAB5UJqNVtLQBvMwUBcg8vZFnZq80Yv0oG +TXDBQMk4tL2ByBxEooua5Nk5+Mfvqrc5/29z/v8uX9ooFkJl4rEMKXVxfYTwQNBkjNSc/adR2Dzd +9D/ztEY2YW+EK2wqjMyNC37bpgDM7t4b3Q5lIBdMmlxtIep4TOiAVtU87MTKMd7oe26EOQoSvDy1 +EusjUtuLT4btoGswPyT1u10fSKB6I3qkDKVy8exzidS2TZXBwiFIYyjcOhJKl71/yWF88WGw8upj +beOk9Tb/92s7Pv4gFlY8OAXlCA+E0SvrSLIiZkRDYZM1YGkUOJN1th6U3Dk1VWlAj515U0scApoT +l/fg3wnavQvqKoNGepf9RLCPpcH3ccBuZOAkR2srYMgmXI+u0k5q3OKThvW/Muic/ZVz9Yw4s0Vw +FT3lGu9smbbBmUyduoVTa5KIwQOiKtHUo4/eVuNS3Qh/evoGOy+32CuFbORZwfViDlobBKEOceG5 +nMBf64ljn0ZWEN8yLD7V6Q5G06S2dMKUcMrDdKdk3Mb2QVrddFsXOB1tdX76sMHT+v4xlAE57XPF +/61ETY/D4GSf0r6QIkR26Ps6mXhMxhaPfm2aJ6DYiixTGl+Ecp3Qu0ajU1uYpm+9PgSqdweFnf1P +IGFPTp3jAKgMfd0Lt0YgwKsKp5rTA/7O4EAhsxixTJ5lOJVv4SYyo7rK0MILIYMR+nL94U5f6Ive +uSXFdWBNcLjgvHtFnuCVer5wmWglcjHWjZHZcQTvqGu3q86wlvWqvmE/pBWXp7OGUO42ywPJr1Tj +ut7K2yNxGV1H2xs/YUtD5Ha/w5tATLifuC4lSZuuJlrBnJMMXPRrrD/EPS7Z3ImV6uYqzAe80HKB +NJO6SX2etFC3gyZZ+xEqF2lJapVAefJ30rFeDU+3rD3Y0hUQQVDDdhaFiMfq4Xhi1IVIlhjaRL7d +PpQTau+LmsQbfVOQptvYCeIA4cqLEHyREQtmqRm1p6KnCcxFD5HP0spuOjVotAuhjMaGU/nXJ4Ah +gs1iegw3jJAcSNO5hjW8Kx0tFnNZoVMNHNg5S1kRnWmzwoDbovM8PB2OyJKLkrTiprcJxffW6fnW +gh+pYATuFFEb27GRcnDJWl8avjQCcT0vCjfZdHmLAV9LWssW+QfdKhe2aKTwY/UqDJFnX/M5ja/h +Tbr9Nu6uOM8FSGWB5pBMcwLo8hDkOVfEiTk5KWsI7oNG6C7mEEraXsWLE0x1JrXfjnRfX//WWful +hUFnJn7gh+hSyrvIWwAl2/xdiCyL99Dys9BsXf5gE11zgY8T91QTPA6JYzo/4eamva5eyzz5GeFx +qg/FeNQG/2jajBlsvxdtHlrSX3MPiVWqxMVmxkFrEyB3Dr5bfihvlJAThvnKMm2k1UAI/IBKD/Qb +tXHyAk+Yt6eAVwooe+0fGOteUnnlpUU+2+OiQu9DgeQVHh91Z+oxoI0AfJN3fQltLXQtUSOjMsIs +pOy/Ngid9cGTARfiSimUd8SBTrBceeVr9s0gcrlHnF8X11IgMgzwTOKLdm/H6YVSzt8vwlzhANZi +1n3W5imEdwqx2nHlILacitnaXZ6S/7HQFPCkS4Pu3xwrDm2+2OXJgClplqW59KLnlnzPWpuLd2UY +4BdZ+3CMl6DPF3txQ3PGpAq5X3kdwAjfr/4m/jZibg34hSOlvG0eTPlBc3uP+RaSMGbSp9fmyZAi +JnsAJmP1KzcsFCMx0DRytluhWj++PCVjuS1IaYGs9gBzixHW4MEWzczMel9Us4kUlgn6bwzWJR7x +oWOlQy1E0wVSlv9Q4ABhOaYJoZ8R0nZ7gtiAdWX8utJ7uxzOy2OU0ZG4logcGHQRVEZVNjRqyRnC +h5KptNPZOqTl/4yKZ8JeFB8DZcvakNcplxg6XGPXRAAjBcd4QaKYTmzSsRTirvhebKqhingfBMnH +Y692NXllLfCo3/m9AiqD4jSsT25YhJ2RiCIS4eIBa8oT7AO3CVGrXOdub9mY5xxUYwNF0I58xaVd +3ytIYpjjcoVyNIlEsYruIr++GBTDRgB3zyGMUsq5zy9bVkXgKX1SyaXQp+MVslIcsJUxQdI91Y80 +6c/Z3N4vMTxSDhZdvTYx37zpQ1ey3nNpOmDy4ZjgNpDCeOf+Oux9IEwOWtByyaH3Ibo6xBXiK3zQ +BhqyARmaJY8inP6bQ0BK1VjYPAuTVJ+xULdMdkHlTRjfO4h6k4Vuzmlp3VDB6wNz70QxUMvruoIi +WCyHxZMGYKJbgQewJmpnsrkGnE3ajBZ/lskpuS9Qbx8VY/EgXUfDOSvBzD51RFAmWfh2nXSmTGaN +GDm+/Mgf9rAb1rBncjRvi2RV2iNHzpnuVR8JfwxNSjssF/HUDpDyhuqHUdW6J3g6a3B4Dy25/JMv +WgzFF5qPxUWLrstSpDZukvk4Kt1PMvquRe/Y9RDfr9e56xrSKJh705iB54FUUAU/MO137WWBnPiX +ylSqhrEJHHMYx2ftjH8gQDBqavxxx/EyOgFKqcEC9VsQBikllY0W/BpitqZroJj9Wkdvh0TttrQk +me2syXuWZqfeWkqMHv9OjXoSG/1oATJFSf73kAOQ0HtCfqCJP5XSgyMeWH9PiWId+VgFwlO41IV2 +NycWiYbp0bFs+fmEZTRCGDq1QiUCNn5VUYaxIilQGiiwt4z/0G+PWZ5DWiBvmx3J7p44WtLnGzpr +o9gnYx8Brbg61Hqbd0FVaguX6HiCqCApPwmYiUlf6wQjji8muZDCRSBze8EQJQ8zVKWBd9ZyxxU8 +NpUPWpGGMvyonWIKdX8Z1mVOrvQj9SWmq0+QnEME5KpbZ1TXh3q1B1FxmmTu3TnQNGv56AQMbQPK +8ZlEuKnCCCbP0gTpy7A3oOgbUC3eh/BwboRqWSTR/Zpsx8EFBd+JBQtqs+1AWc7nIzoHcaWvMxJc +rSIj6WEkfCwHp+f2JT7MIPTEXKjKMThoejyav1GmBZtwcRjlHZJJTziKVRZtW/uPJasyLdFYNe7l +Zn1+Zlo5xJa78L4jtqEFhvkwFmkldmLgmoCqgKCQ0SdjhSTgjlko7TopqOl3oQHiGQJ4Ks9aLb1N +Nq8k+JoLG8ei6d83z5jbhl/0nWdQMUVbHvG7rV+UO8nn7OEpaJNEP0OPxL7gTLefLG53oP3fDvXg +lJ9ibDhopXCRzrkpbRiBpP9gBoLGjao3ssaK3fcKjvX4ZLPJSRm2UxoD8ZSd71VCzzFjIqLlJ8w0 +xzzUe/Ho+GPOKxnLMK+2PkKvdiCmGpPbHc1VIyRw+G2Ki7E3bkpsTxOODZrB4owcRlNYXo7wuarl +iAcYyNp+Q9D37/ezt5Xw/jK0Vtuv1JjkwA3kAfgtGw7l1OxRF173iSj375osrqeqOPp550M330I+ +IHgcqG64i4ssoY3Z9IlGuCH/wgUUVhAzz6+i0qH7xHJWD7WDFCS6FkzXAzVasbWsPbF0jxVpCpmS +V5uYnLHM7QBcQEdh7YUQAKBtKGG3bWbp4sYhqxaL9E4To9hQbcpsIP7OBPItcogMrcyBu5XNwjHf +eRbGd3G6WnFg50wASmkN0BC6azD6kcVrScV5Lat9eoRBnMVMB4b3EaC5uTx5odPQdRQ0SnN6pzSm +qpIrj3fZBjtH0SM5cPVjozGZnrZPengtAENcgf7eqzSX90+98qJ8c8k29MtgMIyHo2yMVMjOYOB9 +xsraKZfktegvWQByfin43GPwrau9YgwEncGQDkaYSZp/AGP8giOGgFW+sz9zWQMWnTbDLqIXfYu1 +YVowtPIqkMyOluNqo44tEfDFJXdAUdQx7i7erzgrl5aXE1VxMdE7s4b7kXXwPYzX5eAXa4YEWwEx +ABOMReFlTcWN2L4uV+/0mCEhcmsWfj7koblpqKW3PaQli2nxzY5u2GOYH9AdbX1uaVHqgXMoVVYM +T7U3h9Pg1ePgzanI35t8calpPmZ2EHdMw8nLZ97FRyq3aUq/ywPimtSOyux4fjKubaqKPvTgxNHf +zvkWm2BL0S6CJrVeqlT9whfzlqbkYY2Bl8dS4PqrzjT5CORY3mvPb3GpB+ImjIFuIG8pyiz5QP+m +fXVlT3wJmk/1vDcx8NYSMCjK9JoGmaa0hxP1x4sWkNF0rvT3HlXlo2FtxmsSB1ewuOk4MUKDgawD +chHlATsKJPm1koLE/v4PTkdwTYl8HyJq90pTzFeKnasSiG+iTvxO8h4otY9SM/X50vYHs+b36ct/ +aDXsLME1yOJlVvzlpW2A66YelDFKuTQTqkuzdvIh9o1Y2FLcZrmHYU7xebwFa3+qxjjlfiHUrFNn +yYASBja+0nFaqFZo+vHLg0dvt1pmcn0KDTWv5EQNPWG4bDCEdmOVyT2FZG74SZgPRptPnWwHpnHd +01NdYVN6jeJeJbKsOLTRGzav/sS79FfeDwl1nO3+4PF+iTQ4zRh9/dLMLIJ5DlXvxsJNvT5AEWCC +tAbtAWeFm8EqomqJHiUcv2l5MkPhFST/PVFfaYVKOEavUzxgaCe37k6YsQTjvGGoH1jUMYFoOL8p +euoUdsHpHNxM1lITN9w9Uwa64TBUR1af0ahKHnUXS7t/lR59ZYk5SpNtEcj6vjhpDkGOPDENjlIh +iYf0qvutp00aas/mAqNQIZqhbstPbHy9CsGSc9KPY3s83OR4yIvqwRfslv3IdR59cVPlj5HqoGD+ +YGYwpLBqKOAZeAhH/nrHCJJReFuC9Yh0OpOJ5Hlin3AoF8v4MGC3ocegqfpSdEYa+g5b1W5X7orZ +rkrOak/I1p7lUBU5S6VTPrpX0I7j/bpvMlSDa9yPg7fx7GcLLd43osvQRDy8uSdTgt1AxFFQi0JE +YX3iOUk59M4D1xXKCe/6zolTeLpBr0d59WqCLWI/GgGZinPh9cVDY8qceBijCNKB2gIAUydve52P +s1Pll6P2148cNYkzEg8nlezn7Dcjx/XQAGa7Q8fpbg0ykwp3XbgMhuez7fPe6XQEPuzy8tozRz1E +qtKjikRgIzUG/P3cbk8XBxk43H8NGILV34gWOVb9qzdnnnNomfsn7z2JqFpTnZCjv4trb8pTR0rD +ArDkyhws1p9EiA8yYYy0q8Uc/j4yXqg55V67L3UajLaSQG51lv+r+dD+3ov+KrV7bz1aWd7hWpoL +w4g2+7YGnByDzpWMFbbZgyb8AFCCYiN+7fmSqksyVaUrcLBrm4iqtDLpmFWzHU4oWvimHKyBMAel +Hgs7ti5bZCmyutdCZL/0lhYDJ9BprAugWlrz6H6Sm7/DNJmg5XaDX6pX40kzofpwZeMSX8B7IQVo +0u6J7UpMtIt2k8j9U8q1zF3KTpgqwQKQYa8n0n9bg4kA3luV4sMTNQ+R1hzO6bsICcTVnJTEnxHm +jOH5tvph8MlQIl846KBMU96I8MrBR4qvGSaoUHobNFgmaiufPvJ9QCTQhslMfu0axNmBmWzb2E86 +uxon+JpB3msFaAuzCMroqz/hq2PYDC94KD9I2WK6WVncJQdtd+SyeobkKuTJ33glHd2BkhXG9U1r +JoPnVVQNnDPvVG8xtNdOHoc2PBm85yim9YmJfvWgNALHW7KZbjfoeJi5yTH/pTZByhmjlRG8dl/4 +EUvaVCALuTsacsanEEB+OVXFNEkp62wjY2bVAs10WwXgX/BCwPbaMPUi+8trLkXJTUr/+uMAa4qR +ERwkYzpJQhb+AKZOln+qI/mboNiPHQSRfRKb70LYxm8g2KGHQxWY/xVnG6A+VZPbDq2y/a2bxOHY +6FI2ILqPp3Xu8PeFYx9aDqdqDf6YZuGa+YMZ1WgMIs0MYQfbSvdFiLSIAcbxXq9/ATh9lOPjpqGy +4Tl0OiEDvuZJiLNnY0dYTt2zyMUs+skmS4O5jQL9tTx1/qa1f+OKq5sdumRDgtVceavEVXQCQfQu +1eeMiBqbUOkXR1I1yE7vXk1Veim+MMtuArj3fz6XjbOjqp1drkKGMDRMB1z8Wa9mfh+7O4GvaPDz +UdHY5gOveGd5Kx5r0cbVnlE09nivw5aQbX4NtfzXfHu2qsSj3coN7ab4kj6iQ4+whN7YQOBTQN59 +ZOTh6ZT1UpGrAnHqx6aVi2Ch0jRiU0RGg/Gg3Ede/YivlAIUw87D8u8O4CPwfCjf8Fk23K9KQBe3 +6KX+793EjknNNc27nvPctftmf/l5HkxBZrR47djsZBwG2dZaFiarFbcU9IaH2xnxw7bZPQPky8zt +7rr1mPfEESnqJEXiLP8DoZp46/NNeukfeIqqT2eVqfHN/sP5iT1iGATx+40vBffFZWt+SdO/yUKu +qwsmMGonyYnEks0qwETkuM7Oi7bfdEQ/P4/6s+hiWHVCO1aL7hsejRyPu+RygE80Jw+z+wp7Y5FG +wV8pjlywSaOXhEfQLmbdsd7FjFjY2fNG5IH/fFS6PQoM9RFvCo6nE5+KP8gUsmPzlom7YF3Z5TIf +uW0w3T92mfI4oOL5Kavd7LV826g3Hui6owaJyNg8rXsoOt6a3gRjx2BqPSCQ5dO7HN4rUkuS3kzv +W+AA/LI+/ljtkzgDEmdn0n/FHOGOdCGI72YngT+rP6rPf1H7TqUq4T/iQb4bx0Meg8Pvlq5H3p9f +ytvfmP65vegoXRSE/KEsH32lvBgbhpIFYI4b1OHXrN0gmyImAlEg59bIUyxzYBgdu3moTjcgGOX+ +WEFSBAc1Ss77bG1Xm5+NCLGPO5YcgpznxV0qSggFQbKlKA2lAwLD7LX+I7YRCGQCUfU6qXWUGRCk +OAcd+hoLl4sxjwRdwFh4DCPLyOa24hWDfZA7KPVB+h+NNfo8JZxlLOb16S5dfR1XtxXMBo/gpxmv +wv/kuw/oigh6DypuwvHSmvdn2HCbgbSS8qkTVEUvVep8ZZX6wmtZF7T6IvahmW7GHu+OMIze0zuT +nvE9mH4AhUFBMmpA7HA8U9TWzEaZYZL53B2j1DXk2sf+6j/vxFqSdB/GcwNs4hQyTqjf7hySQpvo +YWnutqw6qrg+cKto9s8MBarExn2s1bFQrbWGg2snPpN9bcn/bn8AT7h+xUb/nxvT46WcfNNKBRjv +PRwNcQIYbnwlmcmP8QLSzgtxid2K8wh4cR0hxPkmbvaUoeeMyhbVncbCr1srFu4Wi6XM0jX8q8Fi +dBOfxAaOJmrK8Gkd8ydOrC2Eyx1ZUzbMYcEn9MJRcwzFZktNGi2MzF8MuUwVjCI5JfHS2Q3KrHl4 +rD2fFo2DAI1DT7FynyhLjyuhBv3e8rwd+y/eYHiBXGLl1vHN6rgmTXZC3X6g3wXO22Cv5v+00epi +PmfSWweBCO51rb5nPlCxGKFCPo61UftCK9STmgUO5a6yyWdjra1e6+eDOltoWSTioz+UUc1gV8Y8 +Wl/jCrFBlQrZxPcN0hEbBeNxJlZUIVNKoRiYxCq7frhcbhayzJ9SjYvyv4eNzmXpSnhMcwJgYjrN +Ehm21SbxeYBdTdzJts3USyOT7DGRwZNgoCbsaSG5gtqqaEEFekGmpyXqJ50185+7kVhcNKlaPLNe +P1ByLEgEvGu+lRGf6Cn+QPV1W2uqvJ/wvq/WK7rv/6thnnWGyC2SYBh7hUpuXKoHG5taQ6G9Z+cu +fnkqNqWMLU/XGCcEojuek5NoGGl72PNUFXUP9+ClA3NwO0uixAsmkF8gQtzFolHm0VNcgBKpandy +ocxedgqc2bW0jrixvYVlEK4/cBn6PeMGfF1X7/hotQCl5v9ZI9kQuDY0+ExV4uokaIYgMmSb5Twy +FeNuCbbH1QhTSlb67ttmqbhMiIzwcRBu9rNPwlH6b/duhJjFeSVmtmr61FoO26IqWMckudkqyPDm +Ewx7uINgEsZzW3Qf6nWVEiuqmv/41hYospX7WbfAXTJp41J29oF5NCHwYuAUiBUPWXxqoMboXh31 +qz7qDMjydk+BJmqLsqWcGOymn3h+nkx7Uc0jGOFmujmxCVEfZm74eJGCNNpK6VXcmKsQN88+NGSD +YiH/XnV98e25gndUWHk4diwBqAH2BaNnOhcRknIOtBgjMzYc1csbBZ2dJG2rR4jAxEu9XnSUG5bS +/+udKSpqMnwzweenRDOrZkeOBNw37VxSPN6e7a/hwORfgkaj8zaaQQEDZ3EgkW/zmvJFMWFNRAqr +pp3sUV/q9LJeGoUjYF3//iffZjq+RMD0NHfDc21C7ObFqrY+nPXyu7DldlO6icVFkhYlDvG0mKgC +Pumsmz/4L8YtoK3fql3p3spNjXwjl5zsNQb9Gdu81ltym2okjbNg0Qyp1JxZakexRgHLt0zXNwZ2 +GlFSIbOQ/VCcplo5dLPZ9y41EBBSNOqIjAKe8HcYdOSy1QqxUY0R2Iqqnmg7cMZQ1J5QkVwMKTSy +7lA5FdnrBLe1KeG9ggJlY1Hz7/veC4vD5QNhhk3KstvZWW+1wEadPJawHbBH4nPjqr8AreHK75lb +bgr57yEfGstj/a4iSXjQD0u/hiKKIN8h581KeL0YqrnVCyAU7KXLrYnv8UpnChX8eXMHdr559uxE +pjrGN3eEmC7zjx87bTR1e1MS62b+jEUTe9veueOhoktR86qrRcBZlkUGPsXj7bMvvirSZ+nwq47X +a5gBTFTgOAmBQzmv8DHL38f306fZKyyb2hknx1qJAcZ9+ejGO5jK4b+xnSOoTGFcFrzYGNsGnEUN +DRQZzASMCM8K562xOD2VAfcWhi5NVbRX8bZqNkQAlyx5wxkOuLTsga1dbRtTAn2WW0qrECSJ4qyk +Z6ZssWgiMWzuCErFWqL829W+8JNERYVi1poa4fM8LUeG/ie0ScI7ASbk+5CXgB9wlmkuMnMMWQdQ +wG58VLrPWqTDzQoUsherODhX/uR5WrtCaY6rv4N8m6iPrgocRHhub4KCW8ZgxijFFjGJPKtaQrXq +pt58qHlXYjS/ceXGD9AWiPiyXSPsZ7qWKzOU69Le2/nm/SjmTfBKvFos+6FrikGJgq+Bn8EDZAEE +cZKveito7g/nzoqAfZPqiCNR+6UhmA+yaNj3LL7DT6btvh/rx/W9uWljJ9k2zdYv9nPuaPZZq1md +PkG+hCFnMgUcDTIDMrC8C3gpyH/GnSg4xExTUBAG88dtANlZn40EFpxGi4jCiEdm9fkDk1RTgJkG +S/oAqW8ftoTAeHLVEcXK4chCDI+BKRNpN6Wqc/hiBKGbd4eYk6L+yEJSq7GPOpYhCZyN5TDpnNOJ +Sld1pXShQB+Vzg2yxmZ1SS5hWev5SeQY+WIoWCzhk2NKtmLrnHATDulxN7tpnupKG4DpUjUiPmGj +VDrlwMmxLh3dEJlSZxHzhX80bq1cfgf3qwYazsSADBjpgltjFVzUbGXAGwv2CVmmwoz8/kpkg4db +02RWwMvMsf39nMBiuTv+yVAawBPH80ccR63cV8gS2JtVuqAgWXHvFEQXd43wZIBnnVutQKqU9maf +o1ehJXVaYoqAIYfrY+T7Cbtv/MKTjSEOheJEYoxy70I+AzC8GbyoHvkopbozbpQmnsRdWQUkeoBV +lvKutWCQAbIbyQqfv7/ZvALvIoSMYs8fYJCpIe3sHlVf0DNi+NYKl16U/ZhEc8vhU1Vap/QKc/hf +0roHiNRpOGJ00tTzNWXeg4kN/B+Vcq/78pctvyOzUmve/LKg8i5lLn/Jy51dFSHqVqO8i67drUY/ +W7KxX53thWwgMlP5WTfojoLyD7LtEpsPFAk7Q+BjzstZkQ4PjZvBskKdp046NxPgCDyxrLwHSWOr +0NbXrL77JKALN+Y6O1UOuCKhyx8t+V4FweFAsIM9hPpn4k+59Cl1sPd9vJHawQv+aIz05ZeXTBS6 +nZgkcf9s34SQkYW83N8+l4y/YYhzbMFaOBxKGaQZdQCiKgQvpyqp1nNBE8OsmsrRf5XEpwBIpAGv +yPM/xnHg2RHLrQCy7zZBWzm5+5C8b4Mo/33Xi6dZLN/TNFylC7ClH0p58IsaMe1vnLpo0LgIL03s +vZXVLOiXCi+1XVc0KryOmKdpJXGJQkSpf43lblrd0iq1TTCajqb68JUX3z6WHkK44em1kpf3yiN1 +UttE+gTy0W0RiZxfx+sFharXKd4nIlNNy9yzQ5GDV3eMdho48f0qNZgokD+yba1Fe1fhmBiUjQMS +261feQHSG8T2rvfAwBTKIF4Gux9te5sc8UeMHPWqtiG1NlDSPAIiBKCWy9l+8Wls95JZD3BDsf+Z +pK4MXfRKbObeBec5kR015K0NAR1i01r15PVBGafc+bahH8MZgDTHTU82XaMwsQaR1+Tfdq8opSbZ +Cvcpodfpt4ILMmp21FcVSB5jTW61sWwUq/RqcJd1ktVEiqeQX8JLLhTvJZzI+ouGtcE/HohxgIXB +SzC0qMEkVoatECjCQt9JuLAaUAUO0B1aRdpQS2hgtEjGVQ2/C/6Lqz0UinkUpKsDgPvUxy3xPd4m +yJVengKaU2p+phZQ6D8h75B4X9gt9hJbKUDxG1HWiEZQLNdZGhmbS/Zwg3nz3Rw3uhLPbFUahVCU +0/aZOcF1aJVS2SJFPgi7VXOPTDQXKci8QWAAk89h6MNUYc7exuBdWcTVZDGv+eSc4+nvdYfbFOyM +zi2oGsq/rKMYYaDe3HlSg4rC/2IarjjajoFzQJwVFw8K8f4GoUSl+nzPwA44lzjfiAV1o03g3I8m +Geu2ph35ID261PVNqkWY/glY0qYaxXORl0H4YhTa7HYYfmk3rT98XvwI123TTp/3zAOBOB6Ac8C1 +4TPN8fNMWW1QDSBUpZuSsqJ2Y+XMyv7nAZU6qSkxFyZuM6ScoV3wO9m0RXPFDCMqQL0WxbQRLf3m +t3sWqEhxWVodmPJ2rxHsr6/kepVS3lobWZy3cIUerrXIHJL6PJ5iccgQvvI7Fs2AoyGNht+bk+Eo +/1AVzseR+K1aHS1gLzBUYKm/I4eJHggfeyVeqZoN2rOyNw4wdT4IVZADQa9iZnZqAHLQI84dngRw +uqNJ8sk268Q876q2MiuPGQVl44VofmyTvCDGqSq0wCHvzU1+EZ6uu7XdtRO5xiKTshY28UYM4OnG +2yFPG2vyXiCrGpvBKd6SPVcKnAovf4po8HAmGZ0LwYpJ0GRu+FsRZJnOSyWRx15Yq2wYELuWidtc +YiDMfeBU1xjZZ1Z26fspfM2Ua7Fycsek3djOToFzhlE1Fu2MEVZL/YV7mr+Mb+MG5lqjh41LUca+ +HtkexE3GIndaWJsSe6Cm68vyfZsLylCR0n2mpAt1Ge5tnxISnxNdBQMYa/zL7VPQtTyOKfXBkmIt +qOUxgOb+nf0XuE3QLk7YyPlP4l7XJf7vEJkASdvrtvrc8aLP77gjLQonJZqnhyJP00pKJlhcdXqE +EolpcrrRM0WuEvAmicpEzy+DOpB0qaib+UFR2bfYfxl+XsmPtc337i5fKcGiomVqFkdAG6TpQlkx +NMLC3GhJTYz5+wSKiVx1HgddS5cTO4NI//niwbdSbhwOEJEiK/Gumc49N/rId3j7jWabG0MMJYtF +esmsKK2OimGi57m4B0SJlgboa9gpoa/oInXviZfIJ7yYjiBudbyeZaJ11zN5PMWRkm9BUwD0URG0 +GNs4C345V4tSvPmOhzN79bMgEpBozPSBbv8EswOObP3L4xrq+9V3m0MqPiwhahmrczaPbzERzFCg +wH71ti6Fc14hVvyvhfgODQ+1wrtJ8GS7N5l1VQNlRq3A+foRpW0fK9BjSa0SPr6N8VaGnA2vrsLE +euYBOhPD9N2iD2Uamxkyk+XNhCpoOVzotNsbiSyo2BdjRh6ECdRVGtEzaJ2nVOIhmIPMnGnuf91e +Ihxv9101ja5Jn+3K7fSB0Yn4B8JkZUMnieA1IyA1twFzxi7J0uc3zbFi0Xm9K2GEzmjvKJURTEAL +66xXnLrSIGoxosmYwbqzy/AJobhx+cqK435RFzAOjIxx/C/M9m4Gqu7t18JJR7zWBUWDpHGeBOay +YpQ+4eoCkctEitRN1sARLH1SMUROUTklHRkc5zNM8bTbIHhu/o5wTXRDOCjN6MEo89cOcaAiu6yS +NRV51NSlsMNY1NRfy+GdeYjuufbk2pLCCYoJ94tfe0Gm9VWhx0d/ymVe7adUBJBk8Pd0hs6iTbuV +A2ze4d4ZgTKjFp1NAkmkZx0ex0VyRJr4srQYph55iHEaFY7RL0bj0ihXc3IDV6JjGHen5UZ0FHwW +XK1rP6oxddMQ2RxT9Eih5cWZxwWRjpTOOaIJ7RG5dZV/rzKM67/Fmbop1A6X9xk+3lzF1H1CQZj3 +KYI1V5NZelfZMJr4MfFBj22jyZCmU3lW5XUvSjxRQiDAXC6lDRfde4LINJOGhhqSUbd4dqFUiyYL +9b79BeVmgm0WssFiBCeykX1eSYQWm4rc9RfRIt9ajTQfQiCEatgzIdxU4czeGU6dATY4XnfD50gQ +ITRcHToKqqwi0PTh5E+NSVhI3i04fVt8ZcYG3yqO1th4ZGacIBLMZK6eu8lnwcItRUKPjt4jVE+q +IaENwLuKz4hAWK0l3BIuSD7dK0jYF417ElVWw00BujOUZTM463YW9lM/+gKZSAHRYhUurxZ9gFrz +fAW4dMMMjYwx9kZneAjARqGev/KYhKUhf9ySpZby7zuulXpzHnZ7xoRYLzxWxf1/16eRJT2IJtNq +iNkFsFnj07T8SLgPfwlD4bzBcTj/Tp6UhGrTwHAbpZpBKU8mDygGsb2sKuAMOUrggVwKG960K4WG +2gf0KM+5GNVoKn9CaS2SHC99jssXrIsNV0lzYX1Iaww4IMZFuNRe14B9Qh9CVYHei00250AkKnJn +k5+ApkZDES4niUocy/Pcjk4Wz+sJn8u01iRUCxrd7W/Yb9a3otR3OlC5mRhOGKUJYtH94wZ2bhhN +6oXA3mGIdpPmQMbY1q6xOlmTHiQjRVpF1DKJ78NE0AbQphYIBNSyyEmZWeDwpmX/iPKwky5AtcWp +HekjuCmp0Ykc/bUf5NouPtBxViccrNs/b6DDKulQgAlXyyNZXKFyTbKkeCXXD4Y+bO9DOnUD10lc +iNuV1C2APepTdYrEO37SJ77TRf7YgZ/eiSJOj8yx4QpAvqnuVX6u0uu101XK8DyoargIUNJ++g0I +0xIbYrRWjLPuCvmidimofQII9McV8g5mZ0pvt9MYtjVsp14mr4nXe5VPc09r3rCnVeeadCqWFURK +kSjnD11SKdQgDUVpWpUh+phAQIg9cjoV20Ym0Nz+Q6KOCKoU1rLXBEK8arjdrjeU+dB1wlf17pfI +xgxoAYmANy0M7brdN6q6DY2OerVdyR05tvBFFbWCScqrPFefH7gCbMTdGoOnlMKiWoqtB9KnpDZh +EcTOPRY74ffI7paO/6yTgl5skwUbMkeEp+jECg6Ho4ixFa2wnWx9aZEEuWImjsf5vOsz8uU6PamH +6WWy8VJ3usOqQ1kHuoYZ2pitOFilGxPpJyQNjvpo5AQdsKae88kR1WpWzv1hqNSwnJPhxjShtbo7 +ibs2lMe2x1p3VytYsBNRJpl1LMH0nruJo08+9YNfnovo7VeFprHR2+BLFEq9PfAqqHNk1TAVf2JZ +z9Lwd0NkqVJRqlyDe48nHqTh5GRxKXC3q+vA8bUTT3IIJyE2OSdA7C7IAsCtpe5DeV+4e+Htb+8J +K7GhTPWVHBp5B0R32sBGh32BDfHQTkdN9kdSh6OuGljrsmr4cuxtowRVAjx5ZYxEAiCXA8hiTKce +eGfCwecy2RC0HnVo2lOZudDYRQ81uhcQCePzuayEOS8gPvcQnC6Gl3fh5k4pom8Vcl9MdqhoS9pv +Y3cBBbQUrG+jr+wCAtOmCTTS/fM3jVG8kdrNOd2fIGjd3Uu73zgBaTPjHQb1wajXlV98iEQ8Lr6q +V9DcYPQX2XyAEl1I42PKfUvxEueNiPo7icTW/w72dJiW40sNAKLBsvSaB3qxQ5lHR7EqR/5HWZcl +T3/0N1XdLrbhc7xujqabw6dnmqN6Db8nuf6VYUih4uCS3MZA689BOfMHGStIoRKc7Bzpq5P5Fy0U +qwX1rFqUhFUc/BGmqmcHXzvUb4N/X1SuhA5TglP8D5tTYPF7m7MnkpegICyRHfifR7/QLHQ/tSCX +kn4UP4Vo2MLzRW8FR/W8tWsMWkIcdoGWvAAbYC5170cFydBDQxybP68YoYKcAgzLmg29ODsOWe1/ ++Q+mZ47laHqiBrGQSAfrObqHJa90HEcq2+0xCrj/X0eY9EL1BZuOxxxgcEB+aQ/CePfJiP0W0T3i +g9/fTKECq6XVvC2wb9vZEc7q9qUCXEOu1w1ckOULDoOqC/RwjTbgpbmO0qPQmre0XQgUNP8Y/jSG +oxfjzwyd1S32Y73PldZbMabGyDFyki5oYHxKr2Yz46Txjv47ZEhyD8C3Dj8PM4X7F+rqogQXh4WR +LHF5cSoBFl0oo4IUACBkTTrHquWVp5v2hyQdnaeuP8Nnv3n95AUsaVNRF/ixes9xseIiQo0GFWDH +VOmxRBomnilFZIcF6hxzzaTf03Mt645hoc/vC5O7+D1IIx3pevTslEFAQyxC6i2dINFlXXLshxay +oFHxStPMD8b7ECf2CbPQg09w2JAM/pm8f+3g/U8Yiu1XJfvphSEvzWz7qR6QhSxaZ/KU+WtBNp49 +P4uyVniiP67sFBZzUsK2ZGgm+aWe6MOTTz/3aOOLEQcFVXET4qbauvXJN+rPzFuU+RHJ4oqBpfrj +2xyn545psSVSM+r9ObQUCl0sDG58QCiO/9JbqGR/YsSGKml3COu7rOhvr58JdbGeULVpIGEHRwjD +H6UsxJ+3K95JV06mmkWw/xnplbcmmbtr/xtiBi3ZkKNNkLIipq0pi+0l7UhCPV9V/bF7I26vZXGZ +8mfYCN0NEIortzOiNdvLOx7nSrFft3sVZXFzrZ9kiygPP+ArxiFErSD+aiekpBCSY5+1RxuOFak8 +y5LjWLE3SrAAJSMWFdSghXSsF4Ytc/AJY+78fi2R40tc3V5Ya/7iaoT3mIf1r7BnZdOE/dqWBMhW +A6dpZM8goCjjms4LF5vgHckKb/hUqf/OryQgrrNJGxQNcaDN4X4va8NUKZkCzwBUswcABkIu7M72 +GN6rCkavyum5pIqXgECAnvt7CEyekrQwM2rtf8pBuVw2OFUDEdZUNQEHi6bpVChNr2qvCzh9TS+b +ZAn5vwpE5f/NVbLJftGYEp4DwK4hLWq8o5N0kvvSV6YDTx9RQ5xD/n+9DCYiCYjdotNYGUqAWeR2 +YupZ6SfC1jLF3xqtHm74G3HpeJKfHhI1c1h8w2NSV/VFwEBTQETd0NjAjOAkpIu2cmas62J19W8S +NBKLzrrkSTjDCb8NptakM5wgh53P7CpEuaziIUDNOpeuzkrWViJjNOv4ZA1tztq+pgLgqxolWW1x +LSzTd6vEuGzFufROdbe868KLDtywVkDQYvm+K9fqh6DWrlRbhnv5Y1bkUowSpJMToILzjnbxLlCL ++hE/Hy98oUGAW/1OzPqYsXbfjgGZl/9h8mxOYT84DLoJnbq4LoWkddOLsa1Z7/gDiThfykBlxOTC +hTpkDlsaT0PQ4fbhM9ERSV7kD4K71g/fONCSMH02yfZ/6XP5B8FlDNkZdVyegTPVMR+H6nouc+yd +yhfCq2BU7f8Q8DgzZe7OOHli05CxnORss29mEt65itPhojOyHR3dSt8nNwtu+wjajDRsLUc9rjp5 +jqtdyU5hWWfU9Wuhgrg3ysPhx9CPcxQDqEl/o8IicgP1VCm0sEkO369k4g0VGTfzLQs35gdowUWf +CTIH/RbrebXjq09biH1h+haOK72Mbm1OuyoBp+M4oTuh77gInBEU+BUJFwGkTkGpTfaWeMlBi/IC +AkvKvJovLQ+lwF6YWlpQlALv4PK2/o4MYeRIufU2lMpaVS69arkXuvhn4UkQHQuF/ibfNTW5GzYt +Ar9Zc82P0fF4Lax9jL8+U+nybwzNYb2s3Zmx7RkJpP4qgY8WUBy8k8PWBKNHOXeMVwi2E64BtfSX +lWVwChB8KKD7TZ0IuMz3eVwQylYSJras3Gc2wnfFFTkRJalq8ZpnzUD+CrPjfTigDJt70OwEiXdS +G8iAZ+bj1bkom4Lf6er+SL5Y+XCjAK4PIFdZA40BurFQNulvynoHaRpgeTm2F7rPzcxDD2D41mZ5 +5BuLXOFKLzfdIFDLmj5zRLrUJMC3rT5EjDWH/PhT1uiXQzvXUp4JeA2RGn0G6t5XhSdHQcS7O4Tg +lUoaS+G3JVjAeLmFlu6XjJF9Z36qJXozbkancsOYpWVU5YhNJQQupeaPOdMiyzIjEFxud4XMKkJ3 +DiMeK5j86e/hh4d6oky6fM6cQ6VLM+ldVnlw+Eub69wGuK8OV3qyneGo4W9J7YjSXJz7eK+m6HN1 +iHgjwOUVS3M6oyrGJvKrVSaWz+3ULRTUM9EmFR/k2doZI+E0gjLVg6de2/J7D95/xqvb4F+8nLqQ +6cPvqpHiC5/Ph/6BqRYJL0iDyf1kw8ATi2XfDNoNiwwAGjf7cvUK8dIk3wte6Sum1HPxSYzGBRui +XoIA/gwd4zqRF9Ybw3jFL21EyqYe/LRWnG00O7qOyqNFoGuWMjfSKNaZ/NTBoMCe6hFoqf3bGORL +mEEv92s1bdcQyTFs5vmiqtZZe3H9/cfREtFwlM3lftf0IVgKSpnhj0rdEyJfI5aT0V5ak+u1mcg6 +0mp/TwHobOAVLf62WOzxlZKYthGDcyUXtzi+fL5jQvmiw+x+FVJiRYziROzP4MaNUKfI3V7SE+pO +9hWBSKDy0sH74JqvJ7FvQtzAhX0PsSe/Qf1RaTbBrwSdhf8bDSvpfISZ0s0yx9gfG2NT1yDumaik +2OHN9MaXwMa3NTJEPmt4UNdv3BEZpVthIcbDhdXrg5GVQKXFM8zegf0Ss5e5ib+X4hdn+X6kXh15 +qzKYwqHDi2ujER/QF9Jp9VHxGw9eIyIFcqY9Xagk9/1QnhVRAs4EKUUP+rr0bBDmg02y8cZ70WwW +wWHmnFNGecuGyZqsLjr/Uexcn0Ww6hQHkrq71m450+fiDRCoJwnDAUnG94sDt1+oR6QYdDb3uxUJ +xOha6S0syjtrhkSfUsy1vcfrV3yhjZln+OKaZTBz3JYK2JWS7Ic+PMck6VwjqH0OOXEgDsatIEa7 +a1EuvVpQfeu4ZSWVIWK1BvgZdBcKIUdpmWAjuJaZtIi43bZUnHjSX1SDpyHNKLyWbrRrfTN7DzsT +g5Qsoak5wH1w9Bn3VzDTvo5+jZ0Qg7/RO+Q4ouRlzgNxVRPyfLcr3HXJnQf63CV+LkNBJSGvnjFH +2uPih1zRTUBFy/Iifn8Cb11ZqeFHyUw2oSb9io1QCFy7YKkEm4cC0dkKKKLiv88/F6kVitOMtuUe +beD2dj6+xgmajfX3ufD+zOnNZJsOTM3XN95J7X6E4gi1R54ds4S0S0I6n6bUWmOg5UxWs5l3b6VU +5uF6dZDJEwZpIGr8nG8I7AdKDewsI1qfKim/Ltvg6Q7eyrP//Lzo2JMKWYY09i+50KXphbZPxkXd +XxE3PO0yKN50sx6luuG3RRXTBlnAPA4KwJQJZD7kkzTs5DVBUKmV7ACSoUPHvNJcdaTST0h+jabz +TqXj46hfpMxjMLbbqKCvYDLljM8NFJDKynNTF48ZqGYkc6oISpgaKUW2iOkCiJSisgcazX6Gm1M5 +QJ37g0VSrMCq8y+OtsWFpfL373a+z4VK5z73o7Gc1V+aKVv1dQdn0d4wubBwf4A6KqkHd+8gZ3wU +A2mXbnjqSCls68VI+1v46ijNNLUCRgn73KkdhXPKm1O9Aly9OzUoMpI7EXg7nuicQ9DAbko1Uaj1 +eUsAoiMyNBTazLuX0S84j8BkBmnB1bJ0/N3q6KtAvYDnNPcuHk9YeaE11adc4fKnlcMQnTErKdU3 +8ICbySKpmcXxScRMQeW/Cr6esr5DgE7qvAOUCL7k39HK42qOSsi7a1ds60foVQMlDa7zosaA+RcX +D2fzSBvvgjORd0KhiC1Jqs4sA4BG8K6RWkgNZ+UWU3VXxt0tF0OuwIOwPZ7QdRn+r97WN8tHPB92 +v/7jeKAJGew0J3/lq2XUDij0SPm30+HsVesjMj2k5wQe/6/psm2ErYpKtKxhA5hu7GtkRFnkPZ5E +oxyZ2KNsh189nWbWRoNJwbZ3MWUwIRhfm6QwzelBzCVfuwhqtPtS1Bkz4RCMrYlVwc8VTrhxohLD +H0E7X/i83WDht2NpkuhSjtF5eQffjcM688ojK4iIJIbcBCNXq/aHchdZ8MmKAfHysDJSjBX/tNbd +6I6G5CkhG5UuC0BWC0+7eIq8zHclWVAcRqfEYEnjcQNgQP+z0oh5tQAP2xGnDskk4oc1FlspK7y3 +kD54744oXeG2VeM8NDHsfKG5doAyhELmuHmpla5sKg0uqp5e76uRB+QyVpIwYpgiFO0fkT0a7Oxr +rXlzS78x//gXYNwF5eMUkUFkD1sV7dZlwWiEUNXijoVrqJsovxZ7/LYdmVKtqfVK6mb5SskM9SBS +ol2dp3YyX5dTKN4Tqiwxy9bsTh9nCNo4j0VnYbFs+gvtI4vdVRWJcqdxAyvTMZ93ab44V+stmXTo +pKJZAi9c12Vwnc+OBQ1pW0hnoxlmZvbTDKbDOuX52lqf9gAD+JgtE+ApeFnCyu7LqxPnhaohhN4P +bgnkrNE6aknc98P4lluiVnrQiNWV7iZAU2Snro4V1HsVmKPFhTaKYeHt9wRLHSkfRCabscTE0Hvm +vZ/JTYJIMGoMLDad7JJxk09ZC3wFjrivCe+zWnPEegAdxxGGYCNJVKQMhFWHNl7oOHJVmZnu4Jef +6Gi1lf8D9m8vPQg8J160fhRDciGcrmQMZbgF7pzVTvxwBHn7WPCFQ1fY/CtbnbVImfhP3HJNYgLr +b70d/k4ffzu1S7x5t+ZScWJI4MVPQYlYMwRqChYgZp+255YrVvSBIOb1BblALX84Q6YPJPDQBsgw +gfgX2h9m38fAHPIbRazSwEMjipn3YeBsQUwROTx7IglheCZ93gqZU5jvdFeMKhChm0/J5NXKBBjS +eUqpZdWxni+CmGt1XdP75JMewUGkI7t8aLwk4GDP8l+/sNHb0OGZU8R6OhDrXMadus81jagv63rU +I2ZLfulasevO/oR+69pv9qxPbFvQq2NdZQDG1asTsMu/NtMBhK4igLYNHg5a/QoJS+9ndFYGGJZd +7YELSLSZa0WGi3f63iglDfOLRkjfv+V9WpLF3Tcm9QQJmh8qSaHbcNjEHrdPm1cMmyg6KHUb+lg6 +s27PihCK5qkxta1qLsnFsYwTTx7iApQ8GD2iluXS3hBq7wFBdzdjSJf8lTPl6Ijio/iN0x9eXssm +UQI4IGf+vdAsy2ZinTlWW9V2CWJR7ATYkgV1HMr2oi+6QzM7LsEVRUaLpamd1wehs7FpsnRORTrn +BXuEdL4rM4Q2bUDuHXgKgS9LVLFXQG9NQMgZH/jeio5p5hnY9a4tCWEfKh6A5VvSzKYdg6EMsH2K +qwi33SorUx5M7isCCygfse0FjdGwJfxf8tyh1eBFQMr1yXne7PQEGVNqUWFVnpXxu0FsT/aYDFlF +qYnFFYV/S7HM9fPPTl+jDc/fKFMHBecwLuGQw2nRY9HQe82+Bn0fvSFYXndQPFI6ZGFaXIG9JJvB +GdHId5GB/5wHrpm4vbsgfg8rDZjdY6PiqY6BDENKOIjjuyfABYcAPVpKSRT3IsosWj//m6HHMTtn +xHAa/GmEg4MpYZELQcUikThVYx5059n/hCjxfT6BExyAikUek4nUxJ7Rp5UqkBNjf5BqLCZI7joi +LaOBtNb7CK1JF/0AS2R/QZui8rSCeLxFvz3zsM49Cf3Zui2sUNFMjXxEV2ufWgKdArVh/DODg1B8 +yi3+heg3L5+BvR0IgifXUysDIFPmy/TrWWWl0hCHmSa2EeVdEgqpJD53GVfSZjVD79Popyw/6QQH +F5hUjPHOSocKaVOiiLLFdGPiFCwhSnG/s5hi/TD0gSFaGrgNqvSM3LLLeV1MIKi67pYfQAivnusP +ACv87+qnioJqOUfsPK0YuUYRzORcHq08uIwW7NPkOcUShJSzcJZZ2Xsg1zgeFGkAOoylM3ZazyGf +CWYxNRbZiGCemfPotiSA3/OhtOAY/J2qzGAO9BKRD3U+0K/7nTBrFYBr2ODU4MmOCFJgn8QkE/e2 +4fu5WrY53d7KfR0p5HIN4sRUN8q6r19XAuV46/6We15LiGJtxpMxaBGujWoAxtO9wjWOzWMWnzfq +jis4nxN/Lqtp8EAO3B0cRiJH3aBe0HITVtccZAJXRE+S2o7v7uAc/03zTSDPG4kGTLwSS3msF3F0 +4me4xe20CbPn5P4i4lqhRvJNNbGmLKM6cTVz8qpMw9qHzdqbSqTkp/js+KTCJ6NeFjYthyNo4MaZ +6wqH3U1i3csChrNBZSHld+nWQwxOEll4WkBceRZm6tupFgdz3quQuQyUTBm99F795RxLDx/nMKS0 +AWgZ/k7n4djlBIAW1wLH2v1+6ukPUUxbSg5EWe7ZadDSKWr4/4Dzw5A8CKHNb7qzH7J4zli0Rv+e +0Y25C5YDbF0OcV6Hjkqm4soUC1Pm9Ji+GWVupKN5ADuHVLLQdNN7VAZ0Dtq8TkB3h1R3wyywGDfV +1A1j0vCrKeudZBDr8ZQO1vV34Cx0+bHWioW9xRcp0KJhTwg2jqyh8MWQrLjyHUTJMsKDQpmh0vln +iYgl8uwOA6RIAQvg8g0UMC8vPRRT+7Fzt9+lcu8T1olMZPK2yjCiLq/kLbbNVa9leAg3ZRLbROVz +KY1yjYgWsxmqW5yCtui5E+LT8xIyE7cTaKvyC6Fc0yzlkArosCyUIFjA7Be2qxQxvD2KXw2MZDsS +tCw78gouLNYtvcW+2vY7L9ZaCAoh8290zbJHtH8phCGkHpj5/GD9M9pRsWHObtNPWOYQeDoNe7dJ +Wi0Flvs1iS6MTrKfdPb14lOj74EhFA5vC0dT1VXhOiurHTiKFfxEFvS+TbbFwPtHs46N/qigTtNK +stheEpcHTwtOkucsrQY6lgE1Nn3EePBBYkneZhkIioGSmo08b56J4Egol6N3UteCXLqp4kJNTcmV +qnRz40rTCeRiRuSFT+Fmk0N1/EuCzcyFem0n4AdSK+I2bNZ1wH9yegFfvEE4MI0Mwi4F24Y1FQXS +EDlPy2RTC5x0iPVv4Sj038A2VgbP+mr18UCKxpvS0j1ZGOTmHMLnfkJGBoZvgfR6J7Bt7azi9AtL +JNHDKItZVhccbA9IUTC5hwGM9cO6HaxJwko6MmZTZWXDZeKOqesN8iYao4aOl+NokKMSLNCtKWZu +IOQreism96bbR9ZkbtbUtK6C9ScWw2pjZ3emUu3bg66DO7ryNRO8P/6+3iRRmqf73fMZ+vBZ4ZzF +CQ7hkTrzuScUKgOO2pWduF59Pjp/vojhll3aNSmFRwlmLySx179yII0FykAPzTDT1qet/FYILyPJ +Ucb590RrgvLDi/4hGa+4PQ84UX6+ALnP8pYcZUXExmJS02No208ZI/hYaEW38dTGn8yKTIfqeOt0 +0jM2juTU4H9dwIFrKEKJ74sGozHcd+XaYyXjNZOXmewbXgtuxOvoRtUwXMrcrbSgBCw8V6MqWJBA +hs/ARZ5qg/zijuDoeXw3M8B9z+UX13WpRDABOXgXR0u8t+A+2nw1/cYsTzqVtPqkqphdf1NP9Qx9 +Asmh5HkI+yYwN/jccemNL6QuGjqJAhLK/Am8QplTcL3Rh+cOBO3gG4IodmcHS9bqMovi7JZ56o5x +BJAiLp47uoZySkb9nesevYWEtzKalJ4DcBSG5RmxPQnvgflZGH+8ve3W5S5U1n4JtguV1TfANxDB +iSuTq1MlKmaJXW3mO7Pp8y9jFcPWetPaXbqa0Sq+HOv7sYN6l4zpsHfc1smHdDNb2VLYNb9HpbtF +rMIIOKxQ50ljH4rgGf5+5IIm7a2t1QKejUYiC4LaN0r5CSfCSIRRxcv/qml75QC5rqeyDqu6E+8n +p0ixdwnh8TTwSufSsSPDN/05eqYt5EJxyfBZ3l0wW6LuTvYE7QQtsEm8jfdAM70hh4+enJBhF1Q8 +ARAeW6CAuQ2zts3qbzYtW9HR9cqvj4zB2Pu7Y6zzXUMiaI04Z8/cj1MwkkwIHGMRM+kEt+FshyYh +HSVNobnuX+tA4K0Ds/A+TOUDVWt6K1T7wuPbw/JqaMKqqku05HK7nw8OZ70JnScUpWRWZweqfZRZ +dcnKe9Fr5UIEkGB2JWORO08wbIUIeyEIS/IPg+i713bn7PiUzK7AeW3hhjjXu8im4VcyxG7+9xJB +mQojqwhyePxbcZJagJ0xDRbWPTwLJhAG4GIk2ZvjrUJFQaGIzapOehZiVpc2TGU7rHLOMk605tqh +L/BM2E4y132DLNzFqhVz06LVlfKOzY+jlddcbNB/jZKTwwFJgQU0UwRRDzMRHN4m1aBrGFXO9mIN +hlGoG0BOq0o14PsmfDo9EQ9amcjZBmJHDXhCMZTtCySSES67e2angRPjfrRJFy9TKX+GSK3jJglR +DWujdjflDXE+j7Xg7cmsB4xOLu33HL7pvOAZJOXzSL5wvvqoBRlRL56JLXYP2plWj+yo42ue+MuK +bWZdN3gfjs7oqc1rEezf4UFsw+5RCspEMfCre3nAHNAemE6yyzMNzRsK8TXICXDC069Z+Vedo+7C +v6Cq9y3Jtwo6USGuW1CuR2CykOb15GEIsNn0CTX4GA1r+x1BKMpgs2OnSqnBD6uQaCdK+zMXn944 +JVDU4r850cqsw7RkCMAsldJbRpCuc+F1SFMZy3r/g7GanczCmCslzYsKaLjMceIhIaN4qBYbpo7m +wIXIkUimB90m+5ugwaYKIUPMNLdOIM1GgvfDfG24X5QkYRqy42iKwloU73E7tzmkkJSp1oulZiY0 +vyV/xY+Dsmkh6iCYBMjn53fiA7egHSZ3j5oT65l6NvDKzqWMWM8CzyAr0gByriRMqxfSmvg1t1kB +mJaJzUCvJklskQjpmo/NXPe7qNhCkmIUW5XU1l+93zCMPoK558z/XaAzJMI7UAHKJkkKJfFzZquM +tbQkNF8LU5a6c97zTuM4mj5SkscKsFiU5xJd7u95faeJGqRsZiriMAFWOZg7Lvxa8qh08xnjsQBB +1EP2fU+09VtIjZZnW8RfTgSTlMKHbXVoWzvyv5xOI4Zz20/9R44uJcdiEB2iKHyWGXPOGp3lahv4 +ubLQ4hnAN3yMOrTgs0tIkRIMGkY6m2aGouXRddNgoUHKNNTLAjdKheadHk2xVtlzElifcOQtbffB +YxrkyhUxgnqmk9Mu0EdoznpX6wifSgbWVxIkjlapDWPgbno3Q9AaylXhr5IqRLYcU0NJYbOCwR44 +xLQAaLi3AfedBkwTnHgrJMU3sqabepz6PJC7p2MLt0hu/vPX5n6Tw2EJkWnpn+QUraOxSm2E85sm +mIfSn+4UC7URmpVN8BZYWpFq7u5kBD8/yXtp7Nd83jL6xK73AoXqEfRVbjzBCVt6kHm2Z6FGJlum +U0qkNBpWEDBUK87vEcT20nO2T4Lbe2IxBEPnwZpTXLhmwr7k9YC6BQhw3UIyNKcjen3iy0PeN1UL +OfEaBYrMJ4MYsEp+AgM6la0WgXVhzV0l+v8u4n7QLT1Ycn8/ufCJYbNs15daDWFoMAUwdUIBCj2o +GWxnYglm7yn7LMotlmZjVy81XVN8rED0V16NdEPIJyxgdcOldQilDmngHYJhEy7je2+M+J23rESo +65QDNJ9Ervb+x3CIlnuBNOaS+JgaRuaJ8N6kU+fdB12B7YzzmjcVUIZQnxEhJCJh4XR+NakGOzy5 +EVvA2rufKYxkxQvWkwG+X1SIUh1s+VJPitkFHe7yf2pqG0SWV2vXptjQR3ny0Ah8NpERNZ6Vy9Nu +TorjIIOrycJlHJaf5BgA+UHxMOQxJ/oT9s+ebY1xr3iUilLyCui7mJa97cKIi25fVgrqo0bEJ69I +kfpy5HgDtCezTm+nrh2jnPUBvMCPaOveqFzgBTi0q9UqoI3k7yFDkTPf+S3IKD8AJ/e5hX1n5pdB +WNF5xJ6zQrhfeO/YEvvl96EAN2NoNbKMR88+RV03Y1vC1Elb4JQHF2BlC5g0mFZgN+LvfBlDSIp7 +6xo/HtmvR3B0XbBfQ1Fr0gjFuWWqDbKN1J4GnojzBphZsG/y/GvX3W3v9XQw0HI03bjanfqEPXaf +TMNUUI2NmTQXsdhnetoUA+HA3r3iyeJOBPo87jK1L+a+DcMTrNEHZy13T/DlvTDok7Ls4LITJQkR +ZvZgG8lxfi/2qancCRT+XSvHrTSWHgY7LZFGdexcHXaetzRxLmFHcT9TsFUf0xkVQ7QnWkHXJCM8 +13JHo7YQktlbKmBVahmlogzhtA1Gmi8O6U3qDu/8NCGLb+ajXk2p3SjGz4ZRODDXDA4xuzBddubn +oDwTL/wdCwieVNdkTf7iKJhDt808o8XM/iFOYAHi9GdCdiuPd3i3+XD/RjacEwaFHN637tsmXeWa +iYwfnwjAAJl4sY8/kba4y5QufpBUsDwfl45bir6jnLTOCsSH2qU2tuOGEjNoJ+791jficMacxB8k +/ds7mp7imrDU8llOwLtp/+5bWFryWGc2jKhRFYWZV+ndn4Ak93WEF58kHgZlzDJFVocG3MoW+pD+ +hO94Kl2UPYW9PH2DK+zYxFU4jrPf8wLyI90mwZO8ohR4gynZIwFq/Vt7CMCWJqiaUdKGFZf8/vlP +F5EHXnLG7VfsjJSUiOYmyp3eHHRCdGbSETXH+YIvEpKnAZ8pF4UOiuvBChOaIWsekT5LBumdvcyx +j3fUmUBeoB/cuavPfK/7AIgCHrYl8udfzNG2ngRv84aalVzYe4XLqFTw4CXooCCJ01A2/jW44q1e +sl6x1bqrK0IWy9+ZBoRx+nK313ciVP6MFWXgTuE12wYwSm/o+D+ebsntYZgQAUGezgSIJVcwiVmy +CLTzyaZ3rSSiHd/xcVSaNvwFdZeQAla13nOCNf2W9MKZhVd4CxXSA01arY6uzebIlJcrIOaLYzKg +jXvZUqF8iKVng3DJ4TQsMWg7lDKgqOT0MW2PWncN6ms9+hW1TBxFqp42vloZS3qh32OwV1LucnrH +G8lGjSvqruT66dZAMt3keEQARJ2b/P15I0mEecjW3kOKtZRC8QYY8lLTv3CeTddqNqhYkQlFEG5J +XQETIQpcFUgekkbWcTY+mFJ2u10SDS5oqTJjvkn25U2vOB3w74bcMaQJQ+ZldxYm0bup8NhWeFRU +0p0/3mGIgbGT1PP1GcazSRpkorarNtkqYOf0qxQnC4Zo8T5fXVnPreaAU1VjfCFhO/8L6pLeEB+u +6GfslFxXEGdUvfKtT1sjpZnliw0YtldHyGJ9kPFb2v0MkD9wI2ox3oHyJKRcEl5/bAk3znp61VNx +aGTlFiWJildhJzh4e9OpQy/N4Om6j56z1C1UU4k1Sjr2zojAgjF/pN1PIevForvvcVjFu164QBrN +x0OqfZB/4blgVOto9+6E1IU8PDSb66jq5/dJZyrfU0kaMj/i6srjujL8UFinVHAC8Q5Lny9ArbYl +edauTALJl5RJMpSsKqy+2qjFKIRYoCAfkq3Z03K5zwI6UB+wR9CQwUmjtiZbaXrGt0zgCUYSVKlj +EHdlg4zWIewvkj+nh4Tb8C4QAuaLHw0uKvUZla5q4z0q1MvnmCuEtws84oFm7HVeMdsD4HMsyWDS +S2azQ45mnTgCSmwKz0N7LPJ7xZvf797XwKNF2W8pkwgayDt+Tl6+HovLs0XAfrg8F0tY//Xi0FHP ++C8qiSs/JJW+POLgsD1PaERE9DS7XbqGCNwHaQa+0IQI8AVMt+BmwD1fL4efhRz1rTmSlOkR3XA2 +YgNpP8ZDsR0ci6HVVPQNtRKrzay+0TQgXjdByPRo/jTdYgNskJVEVu+m2kknW4P3YyNJXg1qrLgy +NO85B83qL8TSuYOeFR0Vjn2hMMxGLhKYa3SlmT04i0137SAwvU5YwC4rIXSS+RPZeNZ/mC+cV64E +CkPkt7NugHb3lr4Ua0YciBbyKAmavW68M/k/nnKoogxnnyccGnNEB6Otg2fk33JVsHUQouzijbSA +MWLj1CjEVgf6WR4bL9eR3mDUYD78NVC+pXPvhYx3IeE+5qzjzJoxo7Chyed1q/6EAZkSqEc5Kj2B +Th/8iqeHyRmTab6faXXcBMYGI1/jZijKUO5uGzw8V+cYC97ag324XceoqD1bWmLNsSSC5fQP8tOQ +hcQFvoOeY+5uAA+QXK02lGs03OgGyjZBYbUjOThSKmeO5A1WS9+oIQBePs5X+GCcJ36gvjL+BqY7 +XcsHfLZCFM5iswqjkOdbB6Uu/AJ3UJfqPUBZdnsldl0QyQ1P2TxvZWtdd3SD29UMIq2oVVk6RWV/ +r9LSmDjq6tJtyvJTLxnuwitL44ot/7IJv4mT1P/YDjLkNootlzqNp5aPURrnX3KU1ECF6LrSbr8B +ZixTL1o0EJ6k2XLsXRhT2j/lXCv1uGpSflriZc26MLaw3F3DHBwbsItaBqP0R4k7YpYBLqjJ9E6M +RCpXhI8WPrskFD+H5yZGoW3tPrXBcHhFOYi9tdPBIcmkXUa9qN4RgM8CAU0Op+dNtGYUFehI1F7L +wP2tLhNFqFPEQvn/jRn3XDEsS/bvVy5LANmr16vrL9ZN4hFfQvhqMLPy1awtyav5rrVLiafkIPXO +yLwQzs3IOtD2fJt68IZavbAl6EgVuIuvvMV76/woumL/DxQJ51fJ63uzzgSDyVgmevcP0Z2c7T+u +JmfFY8Vxi5nB8XbJOIZbBH1Qkg4qFbZANTffhu8cqwBVnQDyiaHzhX/1irKHh4O6juTXxB5Kd114 +3k+6D9oE3yZjLASM1MEpBA7xjBG+1uQylQsEFNqEUq8wad2sBbzuZgm+03wxkbhDfrXHcVMTjNiR +di/AYAVGfl7vsN/6trU59uLupCgCP8qxib0NucOlJjoximu6AyaMo5eHZ8oex1rhl7hv/WSit8Az +zMT7BUPq1qBi3PhdCwZpl0ljt7+Ep3moh3+O3QDBeHOLnsZnIeBIHBxh3UQTk6ewRNNObMTz8/lD +H68nq5Sf6wTa9m5RwC3fZ5Gq7svK8D8bRe2oWnvD7rXfaY8yR/UWQ28omu8zy17x5LjzXZnpsdQ3 +1n4T+0LJN1M4wpDBzfu3PzHsnpVNFOOr9bOWshkkwaXXh4BKUc1LksCnnNplb6ZkUzzwTz5vPAov +qsh9Yt2gMClWIoouCSMN8vlApYpyggCJu+sRQJbRMoRp3ZbNj1w7YIhRLBysCYRhFhR/BCf2cKzy +rL4b9qW1O9kipnvAOYP9Piw204A4xVw8NsHtF3Zz5D9kCnJp/ZuqMQlOlr5aOnJ9igVGdYPXJVJ1 +hMo0B35R8RIpvO+gAlTmkSi2hqyU67vytgHl/iiJkvrSiJEO8KJpKi8POxmvAdvw3lUGpfRTiZ57 +7KO74dzSID+g+RtuzRy7Vs2kYGSHAu/QeNyVD+gDfQ+Sa90YlbJvh0MThkLNPWdQEK9mbmYVyUzS +1sftAxgEP1JbLKe94ohW8Cc4snD1szFfHzBTAh6qruKnV0Z27Fe170Hs+adpLFYMB9wC8tt432xo +epGdjl6HEsR4jyO2QIoEB0lPeKe636h5RGJnm5sXntphVLSoebp9ngyZKI6giUH1O71RQl54Fk8q +l50hFyWb19GideGV8VZtBbUG7v7i28C5Ux+ClXFVcbYWPi7rql5o9ZIVOv+D5Db1cZUXpnbtvisQ +Wza5Za/TLpmCOumS3p14ftclPz6Aa4YXC9xfy/RkqwUy8vx//OrlTTCcHWzPq49TS6wrMxGgiQzr +G+2AyuMOcHiBL1fseQO4gh32S5IrFld6jAnC9unO2KZTronQKy+eTWOSoID9zDQvjUEZi6BBCIth +iXRVk3EXbAO5LPz7Ok5nm5vi73Ts/UPlyFDuDTGh0zRGn0K7UHbhsY/wmYjxXUPZRjnSUQ5xBwvA +2qtWtAchKGDpWXKcgHEwnwg8MjkvfgJ4+015TDyFiBvwjlwwcf0vO9F9D9WYBiLjC7AWUT2BVD49 +U2Dp5HVWn9MHIHSU7mbcU4Fe1s8bP9QtY4iWo/Wmd0J9lzStirSEDQShvDk9zd4L9dmgo81QwpMF +RoAEi2Rr93iY4wtZll1tzH2FsxY5tPGViI3IiyqUcc0g6mmmRse2BmOMR9CKDx2MtT0RDQexrbdq +zIdK06J3Xob80l7rmF6A26o6UpWQqdgrlrXAXyf2h7Q0RK2CiG93EXg3dmy5X4PIK+RubUaMkMIM +aERrd3uuF9owSe5QdpliUCrLB+l9jr3YPjn9bKemHqDg3lu/gL5dl89NEK5M44zi/ILUqtNzNp7f +9SwV8x5DdsO6cktCv6N7PtWGdMXhH+Vnhzj6KnlBoHPS1j8mi28WnRv33o+VD5Cv7hmRRkx1GBts +6Ha9QhlBD4s5affxejRdCrIMRYOmNJmG7sKZON/uYVirfz5RYBAMUz5scGN0X1c97Y9K1N04J+x0 +CWeiN4ghfPzsRCTZjmGbd/fndkn5w+puQtmWUo9BrNiAwjjIjNI7T2igx9uPVoip/IsiH79BxDkF +8IH4H+kRDoO1YxVNSqKhs64CaF3lGip9iwbVIAEPliKiCFJtezbtPVKQ72AxUxGArD1JIYylDroA +RScnz7+HN4V88+iDhPngMxShIQiOmiSW/S1QdoK0vJHQcZEIPrLpSY4zWx7hvO5WI/wNiFLD2aVx +QC9Y2e6g7ZYwQdBGBubKocjcZRg5oJ0e1bfKFp6YGxHCs4OgQD8sq2GljK6Faf4GfBA3ffdZqMzi +QYwiKCSqa8srefPcRWSLofUzEgqnDuzRoJFe+YUEKet/IR5C44yXuq8rG4PvpRf6wuMdmIpsCt6z +2iNVQgUc2ppkaHsjRRWWU3V/kaOZmsrhHIDUpEhM7tDB9UCi5ZGVRTx9JjpmGulAae47b7C5fFYZ +JEM0V4eQnD+4iM2RyzHhw5TVlGQnVQoW1gScFxf50cmTXgqpYGm3sFhCE6HIk5FLyRrk9mziA/r/ +bKutnX5snzu6E/Do8uo3Jl/+Y52JaZ1kPcHW8EFWdEYZPRzZsGxmBEY4Xwe8s/4J6sZ7H5Sx/e7C +ssvwOS37TRrCAprgJP/8Qi555mXqKt71Dw1+wEEGckT8TEisdJfFtjBBx92tGXG3XXQdmgafRvi7 +xaLraNFAr9hpUbdef9mBHxT+BLqdVd1wZXB5/rO2L3jPmVPGFc3mOONfDolLWA22Clc2CAsGLRd2 +Pd3YaHWOrmzCVrTGTqBdZiakN92xGlNczkDZSD8kpw4R2K6R0slOaNq40GxYkQtkm8R9yirziYdU +vTg6ASG6ujHUue3AAVyHHGmOBWVHDT6gHHLZDiCWKTXW2BK26orOZ3ZSU+c7+hnJvzu4z5fYyqaI +ZZwYV9hp2232hUYfFWha8hHu0ShulKJlhTceuzAmY8TPaHd2z+/kKOrB46sBjUnk//rPtU+idb58 +a0ijAwTgiRkDeTEWq3EmMeMq9RtKLmSu9FS95CJAHUM+hUuxDjo8IlHUNUzuJkQ3N6/sbbPZTneg +G5zv3tVx+YXtBgNbY9LqSnPhxxUBDpWL5BxwvpxkJTPfmY46meNksNQe793/Xa7EZHL5EqQWQ0rU +2CW9geHWvBYLbrCvChJot9QQfcXkgm4zcE5LWCbO7dJoSojN8OBNZjx1PGJRY9uimwrjKxBOC33B +HXra+RXPCUDOHs1qeh6RoOGLuRePYnhUGUvNM47LcCjyxVzxPHJvkb9R6ZPWG/z/EhQFskIs1Ijz +LIKCDV5kA24L58AEUzQnUNWc8kchjpYlX4yjZjViloRlu0PzISSDg8xRd87GGT/sCaRL1pcE+AWw +oGaK7gjW09al4RkroQ+geHIf6+9o+2376OuQCkI45Cbbmfp/uEVSTS8HCgnYmVJXGqb3f3q/9xGI +jk2MiexLbIlRMegfF+zyP1LgQww+fPwaYwA9+/t23sEtfAIzMT52/cvasc5EWoly3PlsU7Q1rwa8 +0aK64aFrKUR5nVwiNSqBtIqDEczEgtzFhF3fgdhi+Nj+47oZuy0z06p/ZEwtpgkdBwdkWoQNGvv1 +BRb6I4qrw93mbTZrtkgJ8Mbn0ic1MSOWz7kTafLVO3X4NVjj8wv+npEVRSd9DamupwuBDlSe3rIx +u8QsmTHXOthRye38kJK30YOkGS1utKqNwMcd+eMX5EBwAugIA1/JMXJM2FEoW1zZDB0MwibiGocz +3WoUWF+huOfVCTeNXad6poruK1f7f309gumpXludg6cMgS7xaTniP/tO2M/TP55RM1dlj/doeeHk +qqzM3MKLDyO0Vc+cuYt6zdxq8MjjhtXbR//I5vyGrh7Cggfj7bUg8tq5baX/KsW2Ss+aHymWYZyz +41+tgPZnn8NV5skzNWPP7hScifOm1L99+PSnhiAtPrZ2yiyKOyx6zpg5oYXtH6dnSpRvqH6rSxcJ +erIRAu1bQifIS6Zk1Fr2x/aZ/vZM3r9iajW6i/+ylfqSm4k+eJ7MfgIzA2wt/kd5nT9O/EIkTbdz +vtlqauC9G1bPplSeZS8oUJQ+Dji2sNteIbeT7RiDfSo6dIpdNhnzfFmd+wwVJkg3gTyIUv6PzSBf +qn3OmonDl54zR8pKHGsfSOk5TvYorpZtyDI9iQ1luL9w9EMvHwYTJpOJsY6St7N6BarEezLA+MNh +tePzE+CEdl5UUg8O/Jb4pA/TJMJHAdwn8mY8PY8qkP+U3ADmw/nNZg54LG0sQZidmPiQ2eA6clV2 +gZJf3QATKgjxAWUu/efQ09BL4ON8PHMoiRUUrWkz3DR8aIu0iG+nIuQ7bLuaLjfJUAChs+W2hXbK +NBGdWYX+iINV/5Sol9ImkqQShEBn2qVrn57SMji82yHUbmFYzAHRZwAmMN8h+K8/idwkLeU03vwu +hMQK7+XhXtHx1rwQrPUODncVAsHLBu9sVsYqbDy05PY8WJ0XMnh91Jdh+OSXkkrinuCF2YjAqCqC +XpZOyrVNeAiP5I1K7XLPX49M+9B/nRlgOPYGu8fOGZhO/bCZfe4QRMP0W6lAMzdpOa2bI3AphQnY +9lk3oyAtwL36EjAVHojHcA7SAbIH48cjrQLq2qbFzSB5kwjCRN0MlzD2YF5k1TNCpZNW4bYizSIt +f0dUFS/8WAD0rjMCMH1CDbS7vAXYXUG0vcH84ynorIQEAhNggYDhBUje6Yypgv4DXADqHo9u46Le +toLZKtzMOXRzTwMhCiu0ij0+N8nnCSb3QHCes35SHy+tSkdnxfYba+XW1ofjGFfQ1wFnWngozTeq +xoB+UrZsFDuFQ7VcV1bZ6WewMX2sf2hKxS1FBmR2dxX7PPU6kVc0tFozH1du9KLf4L+MQZQHOlQS +9+XY+N9Xa1bEat5Q7QgyW8t0QDHIC/LSQvftJSCE/cJElm1UvduSFSrlP7SIl111q38BeK0Lwq+N +6rhmwSoTpfvgMjZAVy6njej9jdnvUktikKl1BNThYIojAXldFaVjYfDyCD6TwJr5ArFwg729AHzU +ldp0OCwYSUNF49aK/Ol5yv0zBZnwAerru/Ad7WmlKkya3ieIfBlTaTWdlpuBtV1hbCUoSpiquRCH +dDC12eD/AnxRt/iBjlMV8zNpm0EY3HnkpXNkcfAsn4Z+8S2y2qfp3KBUnhcwisha48qmHPh9aBLG +HeKqn0FskA9iBpgQq9ZQvpTx4JAm7sgBRD3KWxbPZVX/gBQxLRtFj/k/pVqRGDRwOoAEIVPwJN3Q +ou7fcrRBck0R6+Q7UEJ5Y3O7Q/QyIPijgNcXUvsJ9py7FAHf9foQ3io8VvAkIwlRJ+565izoeXHo +dL+TiCCpFN2X8ElZsw4CrD3ZgvMP3c3kt3QJ0SnNoM4M2UXMXbot9zbFpm6Dgvl328bFw8ffUEMF +WBdU8SCxghFUgOkFfoBPyy8FW5EnZsg/Xz/+cOImeKq4radH8usvs/zuYrdsd1oVQ1vgJN6RyLmN +27k62iv263vnPWIqQGD1CbQR2CIdf3Tigo/tqRuRrM1woxJ408l+U4guJTB208ErHrf1QD1l9EC9 +OLElINt2RXgaMMJLLqXOU2PX60GI0bfGFnItKHDORNaOxRct5wErfAEVwCVN6mzRHxp/8F7W//bM +RH8xY3w7/9W/dGPkLDQf2wBNYhOwbcCN83/dcp48YvRuuxlk/KIp7HXUEPIikjwkT2jJkF5O0CCK +DOmD8MainsMD61So9+Oa/Z3wFFkI+KQZjXmVa7t73yg7eG4ySJyqbtQrl5Ma+zZIVqOXBYyD0Edh +ROrEU1/T/df/AbWIU9uND1R0HRgHDngAloTKUl5cezRPz7H8byT07DUyiR4ugTv69Q8j5AkGcM1u +2z1HEJ94HNKLsgFLHjAtD+o3HFxNH5RznlmoG/FG7rJsztq8TMewvAuVQGzXL2WQD5xa1KYIIQxM +AWfhVX1OmoIF6nGSHlpxqvbsVZrezrmrTpZsstlPCI/c7KR2LQYNZmox+cIVnHIOi9ktSDtXvduA +JsCJ3sPaHzrhUohCi46JuqFOGZavaouwF6ePZSrozCr/qZoQ64vRl+w6aOWKuX7lVuaxUDypAxNK +tCj5lmwoq2QtdV9e+N158HU8K4vptM4Ps+tPz77Erbdgp1FSNb+MlhtTi+7lH5C7Iz1NDdlzgPYX +vSqRIEVb0hZNh0KHh8/3yPT/hoB4GpHfNioJ2YDXbBNqyC2wfCCsTWqlwEezu/8qdHIlKV1qNLTH +y5ONuLFZyjAa0H2nfqgk4bXow1y5O3bvH5Va2kly0U3ARlzs4ddZf2jzdyt9BAU56oRCMNiHZt/R +DROZSqASgnlTQ67QJqaNrPFOUPfYXz757rXGd0s0ydWtaV2fba5IypWN2+S1mhyef318R832zVNE +SiZ7kOvHDMDM02+kZneIyXwy79C6iBTtEdH+duj5xfIAkk1EvIhZCwRiCbrYc/c1MEjEkq0bgngb +el77iAh4Rx8Zxw95EXKJy+s6sbgwznop2XufA8LGLNx9DlOTCAQftaTI67hlRBjTWPn0JVNHqz4z +lC7u2yknR+oADj0+zOOFKgRmOuClhxG3J9zKOVwDfs1yC23z2dEYejKP6bvJcH42B25e+rfE/iLn +PD4PlOrYkf70OQ/AT7C/qBI0ntrPgmh/ncb8oAZQBawSMafWEtVh2vbvgV4rW2MHElcyw4/MMURC +MajXMbnPIjsbOJZd5IUtSsL3xgrCjpnd7w3RdNCSsTJml08xdzfriYP5IIQz339rqP5YKZV/GQC2 +I1tLoZgdgWqIWWeClmwit9ItCvfVrRgUuCrLRty3wrw329PFODyOfxklxDoxTmI2r3UIq/9I0WZY +LDXwbOgJgnsQVn6UijAG0pQbUeGAWej5pK4btqpWbYQeDKJYEOt8KMPwNRCznxRHRg5raKp2Zdz3 +52JJXkuwXYD3tRRAvpwN1nVy2hVYOkZHXT10+W4lz+5CV6GRJJhqdUM4kxsCFaf+cJ04DFZafWRJ +/aSVsc90PVid5/lhy4dexyPrVRAG08Lep2V1m3Fe+o2M3cYBchMWAEp8pnb6evgpOwvdpuAzMOLj +b+Hy/UO9uKBuEb2cgIGihbFQ0Sczu3T+Sx8KdD3f3kwAMOw9oSj0U8shfyrzD9NrZGvfs7UrmJn7 +a1EyC6LTsD4QfChl0h4sVyyqw87L6CtXQ/KrrSwyEKT2iTu0FP38wIQaPYEuxbdu6zzhQEGRZc27 +NIu90emJRbQt6yaLjKQZBknXYw82AtswVU4xif4i8oPR2l7CZSrApmHycXL/ufa1BBWqy8+Em9uJ +zF4ovKs9Gp8N78NTwx7+2h9XG4tmjb39eXcFdTHKJ9xbjbzaovc0rhLZrZ9JJzRcpA4MFj29pyTu +1v79vD8aB/YEnMSh7qEMhMvNslXZ8RtpeltWHAFqQKcemiHbDOlJ8JCnp+tHxBh+51cDUmSmY8Xn +11Vdhfbn40Ku8hokGXZ7vnO0JloHshJSLjvnikD4XXN+VEZ6IemwZCy9DF3RP2iO74mIi3JpQKYR +9e3NP5iYT8GG6EH57GNFrORjseRkQoBDPi2hRUkSLURG+8+1R72Fru4Oiu205+YKhLFQNW9MZ98X +sRsgQpgKMesOqAs+ouru48X55LhkzdD9xUof61BVxoGthu17GLJUOfsipCfwZhNT2KBBCIPel3D1 +pTKiqBciVIshWvUDwvu9vWR/SxytcTL+BFwo9+Ix0HPnsHVAcQcLJ3yyD3ALKpiMYKp65cRA2N6j +z1i8jBUREeO+Ey0nx5FFT+NE+32GwEE7SsAYKZyMXZAxhPKQh8D0JA2oZYu4/3UUOoyijEQ0QWt8 +i+ikCDwmSvf2FtcByAIxAJ3xUnHZZCDedi1RA+oG0C5ZBdqwZxHbza91rfZIYP4SbwZnHZllCMdk +326ETQcnGMBay9vjArOlBLq1itzG9A9AUQvg3wQXfCzzwDzkYrTdLcds9m/ak5Jvw4HnMMAI+nfl +25jiQBZbbq3G6npyoGrhzA0QaHaHMCRqLpwNkA1BKDQqfkB0+JBs4eAgrcYX+zR2T7jv7hv/krGi +WRiKMSZlG39ZBZMtb5mtc0BPE8pIUrqB2xRUhMB13I2elRfp934Vi0fa2+gg1dkCsVB7Y4eoImLc +9EMP+XbxviDBXDjRI5/21vP5SsfODtSZnFpQ8mtdTszy3WwS9RmfNEJiH18iarfvaqWhtKga2/yN +C3uLMU2E5/UNhjUoRcVBHjAV58tzOPCqWT5mOIakHIbeoc9uGYgHZ5FUEMdxHraqve7NRb/+yST2 ++iTC/7s1PSfFNaoyeLnfmWFgo51ZSIF2kXac9gcps41vUqPqy0YFwupphXyS6QLLOQ1kjfZAU5Am +RvavPWntCD+pG+dUtHBIP2Yrt7EeANMyRIexkYXMVuqla013qHFJo3tkPzccz3b5cyAudw1LOiKY +U+Bc8nLwAXC7mWPdwNw0lewr3yvzAypOfSUwyLzrjLPVfhih3xEXezl2yKAbNaxzYC4sCCgM3Bff +fWPF9iuwAkSbxlcekp7+yMrwkI5O6rmJUczHCIX7G++ROVp6IX/8kDEKa3ZQAoJb1FpjZdc2g0ni +LGTLrmr+ZPg/ot61RaI8k/27M9r2nvVzrxM37Eh6K7mQ7Vfy6IbuHjpFY3YTUJnOdTUHaHKm1fU6 +Q6zyFAdzx9Hfjl0xq/3fLfSQ+si9W/vqb1xnB5Zx9xFJ4bfYCYu17j0rX+dI5aEz71nl9pg60mIe +pMWesLQoRysCpVMHVuGWheeCzF0eI1H4zjxPGdIKowwadiF/JpoPTT7quwxJxBYa2Yi4L9TEdAmm +4hpOHk9O81/Nn4iA6S9uA4N+cBgiOnCri7zhmT4pqKqDELgPwLP5BiufRGr3d/jdqI87i5Ok4CKk +OU0yKj+GmWFmZt0EeDOy119fD+tW4Ucejjp2qSNciaVFHDQ5rap1IDrvoee68N3+Es3z9xLv8dc6 +Lmj5dNowXegu/r0xkcPMSgMhrr1OF1KvtvjNV8jNz3fDpM+tGzE3D2kARxP88E7IZXbMkyuPCNUS +g0yF+FtJC6crcbJyT+mUcwNfP3zYEQ9kJiQNponYrEI0p9t4/ZsrDEBF3ILk2tXBl3r/KX+whjEU +R28h+uFNSgyW+ea4BsxhPbuHujF79847HfOvbV3Qlgnl3Wwz29IPHKvqai0VDTncD1xp2zQ5Bt8h +FP74bW6LBLsWRSOE0Wqozv0zn0lfgWlQBGGujt1LNWGtNj5edsnoxrLhMv0+iDyY9GyQmkdR5Kyr +cBNqaXhT47Yv/lgQ9Hd6+UpW0Dwd/M0BVid+oIouTdOW4oqQmZJcCCVG86PfhBMKqu50nYC3ZNKn +yAvgwVw23vAY4ltL2RX7Adc14iFexhnb9wUjksVi0RlDQPA7e+T60PIjiOYjPgiB62XMIMjR/fdO +oAadg3HcQ3CXJDVJ5CRzQr1dZKT4U83h8eK8u8bkuHWq7+x3fc9eTX9kEpykpjxlT1LggPuzxmSW +RaigFkA2SCkoklS8562JuuJUAJOanNclONTf7XbmbkJ/NWcQTszm+UgkzyvWv2v2dKjunYVQ0kio +Om8HLswLXfXeIfofOH1CbyuVICslDafy/ijCvV0eOtojX1SMGnSLK8+471vAY8S6mB71PKXY/S9L +E4/sbv+F+DWChOlipGyb6gMoF1s9YrJ+IV7gzygf3WI0gyMM6zBkn7Htfm5l2VnIVBKGAHLrPnun +Jnod0Em1fUtzql128pU1Irz+IoiSYgG2JWWErRsaNRf63dyDDmGzaxqQSjLaxjZnpytQ665lXrfA +y3OAGHkr85hklyeyDhdrodKjLvrmDAxyTtwEJ6fC5ekybSzLvaseP80q/afck9KPyhH7/VZ6KFQ/ +dk2tPbBXnmRFuu9/N2fqC+JHzTj2QizUb44CBVOoeWdigugGYrKGWmQ8k01hOw88v9KC5pp70bUz +aQ6zRhPwWTVSkesY4Bm7/1rQN6EjZkH7uczk3vphJqiD0vuuN4x9wQb3v4eqWeu95OOaLxZaJJaT +42K5YP2ncwI+Zt5s6zXe2qGC2yQZW9cj6VX7PIGAH/2vFbHh2bmKdvAxqNKTUJwT1nmMWXrJ8EPo +lsjXusDNh7MaNKHcAnJeNZ0KuBhohlXrhZJ+F1yzY9AuSyt4K+MdWE+KBSACBZyKjO+WHlBOvccK +wwPDcpGkZZN+rRIduwjncBHjwdkCE7J1CajzWBGcrAskj3ixKE1lPO+RWEeYGXdG7r8BUVC5R8U7 +bEm3gOkUZYd381IYuTZvfbG03OCkvbrVXam+zVZMqdXly08t36Kg6dIi+tQ/EREr6qw02w+RDppC +kfLUgZLbDBL9Mu6zJ778iA5qt4cyTuq46X3ALl9K418tNyVRJizjpNqcG/IzrM3iWfquxAjjMirD +Hvj1T+9NT2f9kVYpIzVbvWX5pzn0C6kILD0MrdpXcTtp6Gb2I8VzoX2Wk6jUu6rreUukxR+j+fa+ +0ezxtPBIBzyv8Nqdqv9LaT0hTw/gaOC7/rWULPjKTVHP+4VGScl1zqpCee/CymcuE557TKsUFJ9i +PrQpw9Z4lbLQVA4V3aEojfCqiNwYX7jbmBTGlToKCblVEOiPmAPpNBMhU4BcX1jipMMTvCZ2K+jv +mZjJi1vyNVqaD3vNjIHb0mXRIlskC+RyTx1AaD9QX0+agVw9jQERc2WmGqL6oVFHVXdHnK0hzVe/ +zC7oE/FXn0Ij3TXTVlf1xJygE+Pdxt09tPY35rg0JEBs2mc0DaxB1J8oJVy5YjROyvBSztC1Uhv4 +0p3b8QpHMVNXQM+d5NRYdbDjD0e9S2UpLZmhAd/4EamzMM9CmFGXXbRccwJDOTDZ/3cy0YnJtfx5 +asa0pacWoqO7xjvkg8Jd+ZzEWXF2JE07UyudpEzwl+7hvKkMDGiYVCBJQbFqHObirCoKJa4acX7q +1auJiQM8gQmHWL5IKhgyvgcshAPmOTPWkyMq1JmxL8jDmGoxUwKbAXkecUo0M/mLBDRyx7XPiEMe +v0tlJSSMy9T5BbX/pnJTuR9fptXQiCQ9EBWVsCaU0j5DCg/ki0LETPJ4Y8+ClZXyM85xSKtSFcXb +aQnaeJxqVmHsagy106c9Oxt4AI0CrZdXmZ/vQRa98wDzTB8WLftRxvq8KoN4QRGVNp1BhGECzRIU +ZxlmjfL0sNqOBRZya8vD8tuVnghCyRiHliJWhL+Nmr7HTrKtyGhC69Eo4Cv3D9zsB4ESyHg7N+/i +npNKSB5x1u4R/keFVtX+ANFsznna8ayct+K1+n7hUJSbotfIe4x6v6NPHW17KVSPQb2cID9Bo+Dn +rLW9tKd8EYfPZuDhisfxGFe8uszpXFFtWNb8jIQ5YnRc0aNFn+i6OAtNcbEgBGV38NDG7kVCmhk6 +h4r9DrqAvMa3y1FSVhBwka33lNrwV1ALORGscChg1qEfZtx45+Xeo4qmpMO88M5Fe1iAPmnQubUt +1Lyn83z37arPNAjR3rB3zni/IXmGx7OytVftTHVtFS8+Ub4QHt9x36TDVFkpOgikqZjXQq2jnotc +abP7eLFY7Xa9vNoK1Xra/ii87nzZEe/oIfnughyjsG2b5YTDQqIKe2M6RYxaP8LsEZhDURI+ujrD +SAQlno5jW1OOkcLLbCBqFS3HakM5oXQWqz2C+MGeaAQ4faA3zYtAVYVQF5rRyiVeDNK6r19uQNDd +UV1N3fW/cIssbJpo2xVD/3ra+MM63SNJ+N1E2SfQf6+FRSt03pGeUBFsxa3YQejkb5blkmapkqUT +2Nx2aAVFrVeXh61bc9icUNqJ0oBBpCQhT1ltKu7hIVx8unUYjK/pJlYNgrNvDPiSTL8nRm4X+B7J +uIO7yeBUEc+aHWqH42BoMxniCaMmjcriVb4OlcUGFIUXTRA4yoVvByQfBpRufQONiOGSq0hGxm03 +bLwWr1i9hz/tdEKNvN6Ln07paatm6gYQ9lZHFu5DE5a63qx1KBXDmlT+O5icrem6yeX97r6A78QB +wieseQPYRUKZ4K15VFEAFwD/tB2y+jmLKb1XbUv92AYo/4WwHEPMkRBRKKjd5xnCLlRY5odIstey +PDOYY4URNAD0Lf4LEBstNGCQpOOcpQ0JI0d0yL/iv3lEIglVGENQMsNxBSlw7znq4Zr1KV9OEgz0 +4Hl5arB6tZ1szEnzo631K189sgpbl3naSqKZEXHCiQCZIDyHa9VbqOrBFGtduv2T1EzPsDl6QD1T +ciE7mT3hYvm6d3Oysoi4L08zb8UEvHxgMt0rbude3cWqGZYFAA+qfdeUXPZX2lJepqYemuk9/wDT +tRXasUQ2Hv0ZoYUD/xzv2nU0hAnQrAVfD/+fF0xhux2aUfGXK8Ta6b8lSjl2v9USjW/rwBy82DN7 +b0peyForQwa0sBnI4ibsD+Xc47/ng+2jgO8Hwrxap2lbbopgvKJsZFokBINNnud4BmahKH2w4Va/ +nBBJsiiXE5vtTTP7A2O32U+BDndGqZPawubY7pR8+2R6MiQJksIC3qgYJqCI6H4itXISHdi8VGHY +T2M+VwpZIu34uIoN3yO5CUURjqhJYiuIVO1VruoT2dhT4c+qbwNkJh3EOuNXYslWIs+QgCs+f0AR +HvnGbY8TcmAgUGASjN0/QsrgSkiObYqPKNTwzo5WTTvmmTyOTeDUI2XAVp1nXhrXRjqf4iqYaNhT +JbtvXejQxDPa8G+P2kY1ybtfityMkh1+3wLEeAJq8XW4k3Kh8wCVFKIfToTFBEjgfmf0viIXQCm9 +W2hTGnP+C2Eq2teflrm2Le/fSCNvyZckx1T+JnvPgAtvuoQ1H3WVnvB2V78prVZqoAyNBTcd9wkp +RW6t8LBaboXSSYtxfVMdSULU1VQ2IHWLczESfZ81SubvoSn16ANn7K1/SnLdKgd3dD7q0BhlViMc +RNSn+uoh9p/vok+BU3i8zff6yGygkQNspePXYvJnrtm8lKFCnfAWnDok8yzvIo1dxeq4wF8j6nkP +eHlYrkXMXCs/w/f8tggEh4uIPmJfznPAssYbUlYKH5XKUuxobWvOv6XIj19VR9wCfiVNKJf7RHcR +50/Y84zwncEz6abWBLeGr72dK8oaelvu/aHExxqTgFLpkhoCLMet5tFgLCPuzhYHksGoZ3Muil04 +hB3tUYhUdNh0q9j6FuDtWeddb0TdFP52zDgTrF1RfdwY9kS1n5ii4V2+uY/6SJst/mayAzW8FB9n +bGNzNG2XUJBC0QoAv3X94cASqoN6GaI6kOZ+kh4KAM2GHjEhNUQfXcCHqQrpAvdTKVz0TvXIzKC/ +27LUroVPvy/j6KVaeJnvPKtrVNbRgKR5LE0aG6mEVTCoDpxIDIQOui4ovxOosOPlEZePFxyaKpYG +ZYULOMk5PosSr0z+Qjxw71QqK1IqZOwKqQqgNO93Iagmp7FIMbyQpW12rRAOAMJE12Pwl+TFuXOg +zb4GsP71DJq5Vwjj2D8OrnKhwrN+VCUncpRImI12W/hUATK9CzURoPxw0Nods/cJeRxR32TFbkI/ +LRiMV0+bmk7VxaazF4Nyy/ghWghUFKIqPdBem/mDIhiwmARLkZBwvV3ARm5AfKuSJpME90dEzY/G +Sg6uOtvZK5XzDlpvXVE5Cgi8PBHXfVQf1NOZCZdbhRAODbGLJzR5Nc87ayYrmJHBBT2/G4acJHYr +j7V6Nt+chdFLOTS0i0bQCfOz3NaJvOpUJfstdt91wzqFP5EN8e87u0KDApxc1KlRVPolpYB9aXZq +ULbTfTAhWjZrVzBwNpViCbGO/rATVjtjnq97brLkiYew6+6QnwP0b8OSE9VwHEMWq5t3OwEpv6Aq +KCEB8D9GfK3ewf7sYn6H8NxbgqONBWDNbHb3hSO9k6dtudSCVcp3wYGfP2rUl2F3uEVTBYMuJYBI +totAb8xYl19fqS5qhOtak26SJJa2rav1z1P7tTpvluYg7+AFScNth52zzhQGMjiIb5liPCnZLO3H +MM+TOKTaqUs2oPPtN+Ldx5oqIIfOlHUbC7qa05c/ATSgVLEaqvXiEdkeOJ9anCBt4YizJPVZrJLm +HWRPqv3FmaCjAQ6pn3V+K6IC2bzOAJxS6wPtHzQApVo//OMjlKKcposTkwlmyiSoTNgJbJNupvVv +hdagz7BdS/3fyp+fyfh6zke2E9RunVoW8sZTmtrSFlNCs417cbwfGDXGZahLasVNiLqXULgt4ph2 +1Fn2BwX360/sfsS1usjMhH0X6DJWuWrkuT35q1tzLlAxE6eW21DxBl2tHujKdMZ6JsSbjzwc96fX +YGSj7ErDtqfAXkj7XqxP1oMSJjDUn8MSoP9vwlQjYTB+u5mR/zN6JlyV7sruxnptxERf22fum51n +N9YqkcLqjU4H17vxYJbU3ghM1/xBO6UltE9c3VDFKG1giWlGgP+RqFTl63DvJ31eeEh9zNkjIeoC +UbIQOLIUvkaZ8IF9tlvv80An2UfpTKsuDj7xV3qSVvWI0pWkI+CwwnoZxT6b9tLX1Xl9T3Rl23Dr +GmIpSXWEY6O+auhtFuOBqCj24HJYtStNKurMJwy+3CCk8NQ1t4N5tkTKEh34z4NafnqczGmMg/TT +wb8Y/AKaklpXU29Hb9dLFp8eOS5C4gkAaP/A4yy+PpFSp76JpeADa113WdPN81qlXPnWxGCE9cjb +QFGFpdDeU9lHL2gvkuLme/h23OXOrAekVZHBRbuwISKxOAvZzeU1CKQ4GPSFGZCuUy6LtEnpuTqc +Wyt8iwAMp9VhD/RzOSGeQcgGvPkFVlbi3IbW/O2gunvzlJknCzPIOZcghoG6hFdhQ3dVzLm1dw9B +dbGVRbn/eTFf4XaW4P+Fmn/uBtmq06QuV85yIeDn/fdmEdSC/ms0rNKjJeuLBoi1t6S1cBVx3xkx +4lpB0x9Mhu5ri1489eI0S1TqA6+uVK7is/wNCAT9GFMiOspDKMX+Z9x1U6gMT1CRHk4DbtacNKcc +Lqgn0df8BCe7xsSnDrfiOr0FIu8r/d6Px6RHtHfQJRh2ASWOQxhcXIwUa80kAomj6sUL0jDaqtBL +UCW34lubV6qS9nfYi8LRz6HP3o+uoqz1zSvOs1oSxc5j5BcrLUu9hFZ4MV1ntK4MlXqLhZpjIOa9 +elFHh5WJpfWnW6Noo5CnPI2tm16LqqD9s63YbU588Y5ICwUrHVlLYjPAnkW162nftDDE8FEJ+jal +P5T8GPsigjlZcTEQhKdjU35cLo+onn7D+hJlFG6QgzMulxiJovmYSgcGmQpkkKGltUfzwoerGTYJ +ETNxZInAM8yyT0O7p/Aril+n3YxjWfxA4aufPz4qohwndaR+RFWc92jHbbxKAIYrzMnl+wKv8hFT +5IhKrF3jjN7f5ujVkXg24cQwuG2U8g4FZqitK+/xaikpRhh82eBA0sIxF7fLHX+kYkajuLAu0ZYz +4mc1ncZiK5wioIHrE9U0cQ3TQJQIKY49n0S0q8cbckcLqjd7HkoMbix8qoukjleZC7ghFgfR34sy +yF2wiY/4mnc/5Ebe0ntV/MjDWZxooS4eBTcrGh5aJKOYAGgP35bT7JfxqqIDuuK24n3rZcTlX2HT +TCr/R5gzHpiA7IXegxyvq+auf6gFbQ/xWIbIfjVxoEWYm09bRqFCKkvqvLhQpQd7yNM2ZGlhn1pN +KLmL5NUiHnc2fnWdwZi1TQDSVETcN8aoza8jQ/VOnSt4MD7H4xVgr4hMMyahafq3QPYmJgvNEAMQ ++xGSYt3hDYcHLedDRX7awRY99zw8fG83+6Qd456tpPSo/TlmGigxdpP5oU3i11xRLg0uaX1FW3gS +oa0Y23XdZHr/Na5+Tj7nUbHNTVNLMDVvzORlTGlWLasRZeZ4ffcgORelBplA1ZS6W2ojA2M135lo +wHvnN9tDril1IYJFAjsN8RNzBLGcC0qh7f2OIcLFJniRYDKZNuGZVmvdeLy4RDJ6pANoFHUiDX1E +y2cLWkrHrhRHasiRChtaG0CZAQvVXMr1yRGoWd/bjXsTuCpKQaGUXlJD7ldDUKYwcwt0CYfzWT3C ++uwOGEIbQPnbiF/xehS2Fk5xxZAkZq7dW5TUa65l4RZrRKi9ZYQ95w+F4G/5weVnBJ3WpCx9yGnF +L9FLsW5kew1O+rmhtmho8Z+LTpRiVQ6lkw0TvRmL8SqaFu/QX04qpUoiVIkBZm7KbGP64uTH8sy1 +PB/m0q0IN6NKX1ijz5+Glc4lYc7ao2pUyKPVWlj7F1EVQThdF/ITWG01zINX/r8ZwUDMHGQblEZF +jKdsxk0vHllsGd0IcjSd5HHd/pWSv5Pb9YVmUalEcwJ6a3DNEGni+Yxl72kRZHpzxYgYnaSsALk3 +RW0LorMmgYmLFHBvXPiHgwDrXREC7HsDHVZBiH0C6jSE+d130s0mOveK+TZ/dUKJeWzDahMYFlxv +Isqh56wMbTqedCnm4CZwPosWUeH6K/b/bwEJtJsoc7nz++0mRVZy5bwBr0C3WLb9Xx+cjY2nU5OV +PR6cVrd0alpAKDCNVDLaHV4XfJQnLSpKcLUdPMem3vx7k4qaBrv4JWRJLqYL6YbzM3gkC/3WLRW0 +Qj8S3plamfhe/rYgYUWe3g8wLsyunVZNR/5USyq6tf/iIluos7laMBu92RtXzBzaXC/LzoBbz0fA +KO8IGrx3FETc54dALX20/4R+saCgILEoviOyKR5dHbdhPbdOrG/d4viwnt15DuT4FjjKexLIm7HK +93p69g0w4VqyowtN45OypsRZw72CHxACLimcgiW9d351K50QefLV6E1zVCJeNS13PsNLMRnIzhR3 +CG/GrpxxHUALAgfUiDS9bxX6EUXbLISfbRgpMYGNRju9nA2IJhpXB4SBeKT2lxUQugCGMXzblCgo +UIJzG49Z3sEROm3soaXcwUg5S2W79edu6YhEHyVu7Q9krda0MT9ujUoXfZVEO5MVUpJ6IWofABId +vNfgidoQrfYvTsewYVFhrfDFuSX3za9ie9xgqLXmJKeKNWsmhEclAjxTNxEtooJaHLTlwr4h4BuN +VLJR7+d8koGYG2VMNYfLZ+lvSdJxaAPu9NuwrIrxROe1ycVKovQk7pPCTQKcjjcoETqRB49nLehu +tZ9ydRBtsA6t+xs+fr1nP24AAGkK3KRdeHyLYF6TfbvLX2Oyk6WwMrdj2Yg/95kZysrcZZfj+kKz +HjQUNRDD9UXLd19sAkC5o2u9loU+A31UygO9GQ2KroQHSpT9nIB/zcvIqGH5Mj7i2TfCjZ/puU0l +Jo/c+EnoIhgr/4QUE5GgEtySQla2cHUCY004eBQz1Fqs8Gr6C+eGsJ78PV89fC+9YdEC+LBT1+Ge +L2NtisbexYsn4hRq9Y0UhCUHDqD3Ch5qmxzLi0afFNgrutieEeKG7EKlt0foAVBYPQHIBkrPiKWh +0ltCENMcJW5UN2mRvFkB/nHg5ZDh235GLosDJwxe7FRNT8W1IwJQ+PwpnHl/GILjaV9HjxAZ8+R/ +BZAcf5DHDaDZfkJUnd1AfIEriSj8kJAY2LV305OPuN2fEIuKj3hDUJ55Z+qPJaUF2twemjant0Bn +DHHU0an42TYK06vRKYqpRKCnNEI0+3tkhZwaTw6rd51SeL0O9dlUoeOBppQFTFechmm/lH91YHuF +kD48oLdv7M2nvzSyu/h45Fr6AVkLbKMOm9JrF9qZeFlq/X+GUWZ/wEptyL6Lm5/nB3K2WL2FfbOf +kLcl3VLK6NCTuWWR0keOJ7CCgKeJVwyG955WjR/1wtbUBIjmZLTc9KxZ6w8T5GkXmkIBytayLz15 +sOzcLGkNxkJ7g/b1FdAEkbF/75BDo9yKdD1p2QGvK4kC4PqB95tETs0svq9zvZlIgSS3Go/yR2Ky +LSzVoC3Z9jIY+15GW4vdOYdfuqwgUFHgJp7xBJdUZz/kY+UA9C422ysecowYjWiJgtUA5rKCVoIx +q1wWLIVu01R+ushL5GwoWBLIu+a0Wf+a1aOTmJ8YmPpooCTcb8He0VCQlnr4FYkBcgBoioCThChx +uS/raHZsfGahKEYn0DXUd9q7tQel/Z1J7dKp+nxlidGE0hH9BVxzvrRe12bOnGh0iz/9FGqTZ1U6 +jh7fWGVR2EazTmgT5SYCx6ExODcLTbPuzWnhY8EHFpMvUYIynOS61vkQsvh74OoXH550zk5FdbMu +4VYiTmHhdvU7So2zPRGeLcP2xXc8xKezmWRy0ThM3Ybk80Ptpyzl82VeYuC6c9H29cXckgujM07w +aCwYBgxCTskvXEvMtae/y5Y7cCfHq+b8CTijnfsD2o2z+8+Vz4WBtJZvFyQSeY5khvwDPtTnG9oA +UHnxcVbo6o7QJ4f8jcgOUmlf+X9ShM4pYdgEqzYYXqd+sQ/1Jx1LjZWSWv/vNXxX0rhV0LXxZfaJ +8NPbmD4R+CFFtltTfqvX2RqAjJe5j9CdIYLKhEXOs9tVyXVq2b1tLh/Ojmn6BLMEnQZbt0RvkD4f +YC3sAZkN2MxukGUx/CE0xomqavyC4p93PXDN3qBLhfVjxoBXEgcVBVvVw29/uGR+XGWCPMPNRJdh +92hBaHnQT9+mdBf73uhfwOnXJ+TVJwMEJ/84J0XCVNG3n4iQF2wnwTOsHhnNISAcsMY17LNOV0gx +j2SmkTz04qzzJX/4BQE2l6jTlxxvFWogAE1X6OfvUptr/lNx9ZCzHv1rbtP4Gej1iLyEk2OVtuYk +xS3nvdI6UBdny9twydiketgJ+/y2jhz6qDk0EKSSyM4VK11fVT7hndxkN3fKYFzKbbrKFtQdO0E8 +6CeH5pTVkiI/ikjbLfxV85K4k29MhefaYK/KpljCHUuxBywGxeP3c7N2fzn8LPLWEjZVfYAgI+xH +AroXa1WZ9zXS0NggCzfN9py4+FfVx0UQvzkyyhgEGTx+z7Q9/hZSPCfs+LHDSSVPBKRI5iU7J87x +rpQwPIRCVs4G6+EAWX2ZbLtdQj6V3TUI7kj0Zh6XdG9l5gS3zdbH3ujQUjGglM+XIh4pA3ceOhmB +l+pOLPprKaAeyD7/KyzJBq4zXQdmYjjHogZO9T0wjJqYoSCn1/6XcsmXjp1BFPHjprGMT6i+ZRa7 +p5DrN1vvSYDXnBDc9p6oe7dO9cI9XRVjKUbyHwEWkUKJLg14yuVyTpEzrziqfWWb1bWwT+MUiy4a +Tzg8lJ3RVV8ei9tGdEBEmAo5Jz3JZWLrkUxa9H96uco9zldr9uOg6A9uRJhvZNr1W6/m2TJYQ9a0 +wqF9q2I60GPSqQMU3yZwyfKkqJqfn9F3ANIKQ70xqZ3wgtJruWieCFgCiHqAcR0Pyz0ZpHeoiJAe +cAKP8yiL1EgVf+OjlxjEnLufjn98KUfDoHTJnfnbUCCfyMhDP9KkNVCUF5J5jNVV0M+s9GzpZKBD +9xPbZ/3q5YFgsEsXzKXx17uIcZPZE4rtWaKam74Uu0MMZryc7sDk8bj7vGRUx4R4eHdIE5rKZspv +iTjbqYqsmhoDa0l1X74Wl85uj04YMSfNEUCjm6pQvP1JmfxIOZmKB5i4hvqYdbuHzNYUnh3DFRCv +F8ppSHkkeEyqr0BJ4lJcQFLMUZI1iThC+WZPYh7WVj88nS2k4n52Bpv9B8StiH6s4t3kaaOi9fT7 +Bfpk59AOX4CpPpHt1B4qGm3+wwaGaN3CW5HRyj9skx2ut2PiyDKkURdm3qCV96afjtFRKVv+kCM/ +CVYJye7gG0GPEVfA7QN3FiWVL9TnNHQPyPBNt/58v6vwP2CMk1sKLtR0bwZxQxgUYfHWPjdO0FWo +PEGTkozZTL1nDf+nBAaXGxjcdUUZo3ZH095vMY1RMMIxQJpTGU5UTHkOZTrEBauBYvP5eqfaHsPI +3N7CqBct5wxdHHlGNvX03BcznyOJLEugMVdWiljUjsL8i0xBKsOE9A1wNiAwuOlej/N3jEQfkph9 +YMJQ3/vWU2cq3n2snlro8sT5CKVT6QhU7mG1DY1XBFFD0kQ4cKfLnWI+0VWsBFmxFfTJ/+L73ZjK +8UpuSgrhqGaHRjxT88zlbvR2LJ0iiX9qZFiv61ijlygAk2xgAxkK3QIW+lqoZhu7Jh9J/Me7QllZ +YzpHr+krJO9B5j9jZvnGoGuvRZNTMME1VjBYVsFjaEQMbHNWWHnAuVFyICjB/2lOo+QYPjynpc0t +KgbRvUyqZ3EeECNpUQBckm5qvAx81qXUJcuPN/e5ZuoF9IJ8qnAwHlh3qjxpQ/aJNsRKR3g/iRqP +obuqNQHL1eOWiFqifyEjIuI4/WErIRXzO0oeQY2P2nX60G+TVZikgXL99MY3r65xunmDifVkF84i +JHQLlO5y66GGWDueoLVEUjPzudJ5RaXH7GKEBTt8hXBI/u6pPy7LSuZsqCKS3Yt1dEy7okJal45i +7UBuNMXRs4OQR9MSU8kk0sAe0gLHjEHnUXRfO/YINlW13cRUTan/6FLrnxy8Ryxv4M5CVYLcMVf+ +pLSLAbI4Wr7d1+zmys4uGQDi/3E0ZCxykg9mVKaRlM3dgk8iDvKII7Dufj8sH215T6bQvlK7Es1x +hp2jmA8DRKVJSMNsKf74u0lfMz5+LAHFG/RdmDkiMOfrZfwm+rMd2Bf1fM5Uv09TmDNNr+nBgK22 +CIkNZR9wr24HVS3EVkBHfuYDifUjQPzwGQakN68decNd46NZlyIdcIDs0hvVv3KxmoddYmQNMfnK +VHJvkfTgVM3xAuhJs+66rzVISpLLYlATfR4VL7oytouhAnt0vA7f5/c9vt/5CxtLIUbkFL9MBvz0 +4WDO+uKqKyeh+ZHNDMhhJAd7Vpc2uGMOGC9SQz5K6Vh2ejrzjHRWuIkUMdH3etqVpRG9UbJKg6IF +zXzGYujs2yduEUvo2X39RjXZB/DELv6NDP3ethaLJ1lV6E8ZCAP5wRqOxByx/okIBbBAtmAYyDOt +nfdsfio85MvF9Ku3eGJXu0h/ArDj/NdxKYaPuU3B7zyG61Y5i8PNZpulVw5xKEBOWreYjydZrlDV +guAXlChYz0i2zwGuCG6JK1BykRH/HCDfJDLzrUmmlXOD/a7gIq9agEg/dsDwBrbgd6D6kWr8yZ4+ ++7wrSldvl1JzEUr0m58PVS17oQi/QjPMoWjbcpor3Djdi/1C8dTxwCR/YIDmG1AnFcb8gKZXahFO +TTUGdszDsHsVYOk2zWEJQ4ysrvjOKZ9LAOw5WSMunWG3aCNKkiQHPc8OyPaaFyHAAYGUObhTouEQ +t81ESxmLNbHklshLAdYHZs7fC/m3HmjIF+kQEf+XvZNXbGDjv3wpS4Nj0uYIrbq5thlJEJJkxT35 +81rk1EJ+9QlcAmCaLqVaprkemTCKWbmYKpasxNvie6jtonbBp/sA4bnLz7Q75vMUgQDEm9x5uOp9 +f1i6dkqW0+phksvfJ6apTdvGGFhjLUz2y8HSW3COAxuqTLNWruiZAcooTrvJmBW8uRr1wmLdnJKd +JH2AX0J2qu5YBd16U6ZRrqPMDreYQ93fiHitvoVMyd2ehYsLb6+bCZmVdmShfGam5GA7GWvu/XkP +6UnkwmHpqWD6gZEKlCdRv5gYd+gUh3Vt3xR9o3Sw3bZhcww4gTHPI4jlcF64KP8g0Wi6GVC2LwmU +qpM7RJBbNBaVENplRmkSBOCX4APJfepf0hJi6npHgEyiuWamO1rM1QL9dwNom3VxtenwBgjONUHc +QGKW2pnqiuvhifO1CD5JkLQx4JA9KkLdL0D/+svhWoiSwEqVnGTgZ1erQt83+S73T+zNZsCaCTqc +an0Ewb+Zbotksv+/Yc4wLydahDLEAcJHK+6Sy5JgqXq2/htyGs0zG7w382IKy0nbNvXwLdTM5wPp +qyOTYOIA0aSDsBHYzt4+KJVvzPpwMtj+qZrQsrquu9IIwrMR5vmoPcOvomdYbpraBDpdAHqPoVCP +rFpL9M40RA6Msw2NT3ZRnxw9hDadcyKev1ox7pujceO4U//9DtgwVbYhkKBZknUERHm03UHe7JgN +xYMB91K+J3SY8z+LUJj46UZfHfcVDC0JwUOoiCWRYDL6MQVUANgNEFyPo0BWZMoK/NtjSvxLsl/s +li4Fox7feZ6nTArtB8ahBgeDR9OjowYUvFVX9VCRab5aM1PyTaX1qih+W25v9MKydgjK+GW2leXb +qgBe02LL8pZajZ/I7L/sN/er13nIEp4yqYo3RCZvtOzz5n+QxyrHlBecym9vMSw7Ho6xeRQg5yhW +o4V5jInsefJrjGUGdU1ThwheWpaxWwIjdC61qOXRlofc8lFIeBS4lAV6ThhG9u0FEWIKDyzSQeYi +u5s0jDPJJK2XyEkb67FY/i6kIkvlAKhWC6bk6T4fBF6U131jM55A9Eek5BYT25IKfNWepKjwMbif +7ye4bQ3A5q7Hd1l27aOQCCtic39Q6VbRdrQ02PSxagpIZkB3Thlbs/Z4jGrfysDRz1JDp+JKG9i4 +QjnRShdds6J+yXHthFgDKnFQ4EEW7/9CVgTCybqMxwOhP+sZefV9n3Z88ao5g8ylAs+prPJ+GOHr +1lk3LarCoFPl1UYi1guZt5K28YwkUik9C9YNN+CsWxpT4z74pEhMPiN9uaKqs5dhazb4GmU7+13W +nDLrjc3N7tFTNfKeoFY3+8dgv4qGxAHvpc60XMZHf0BfGj4l5PSJmc55L51ygAHDabXDOxNrFI65 +tZcWJuhdkq2XHjTGwH9Y4hnq+jCCsHY3PQDxX424174t1QyRlEDW5X74gmOS21T4JfZ/BT8OZJp3 +ZsSB7FSIO1TREkgYMaQy37dsxowNrO5Ak6rAaLy8R2OHAhCbE0xshlRJ6WuhoPWTrcLCMStA4Rr3 +3b3sNYPUVBVHwx36g2ZFu+n5DbTGBnDWchUpCvOapBfNXhKZIeKzwaA8vBNyE47xJ+20o+k6h2lE +zo+7JPRCjEDHp8atpOnysjELLzRdidnXhUsGd5mi7A66Lt7cDShdIzkZEt6HxSTMO2xp6DauuTql +3vAGnS7gjy2LrNf70MvdarWbNyBp0x0Y84q7IQicTGvKNO15gSrJMd0Kaps2elruU1E6Cr3qYsk4 +fuJZ+usTV/7B+WiuiiNWM/nQXP9cXBE5l3RdYD9tA/ltwI1G0Rg5ZVUOjDU0pkiO038N790sGeLb +f1HUNJ/LvCG8sd3ZzhawNFeJyadMLCIh51pYc1zNGtUoheI/0r5pQr4A6/y+FYabEZ+7HWA4u0Pi +Z2xLbYDAp3CPUZrEJYbuIRX+J1qoHPCcPjsWMQ8qiuerdv59qHeR9j3gQaNg6htpvfg3y1me2XZi +lFuRdgmq4RdFDAcy9HP7XA/Q9dQT4DdSm3jfZrNSoOUU6bkPD+vA390LLLUkvf3NsKpf7G1JYWju +XlsejSjTDxk5gCPvHxx3rFZh2Q7d45xoZ6/9IiAK/rRdfb6Bq62fZP6cqPe7qMRqWedsh4nR8X5D +/9inGWxTG+NLEWWfwnFiN0hbkG3sZVuJu8AbgDnHKDKP0X79qX9zciZosb8LoTvgmAcEqSDTex8n +W8qvVwT/oTZYo+fvE57SlJAsg5wg4+1L1eVCXYiU3Zpag27kAcxMHofDtETUzUSX3/j7hFfFGtyV +FYKa4n6qyWo28LHeb+QKgO1dyMD41d0RS3zvOoUnGhcT+lzKF3lGCPkmlIVtJVZ+raB5hQJk2wOT +9pPaTSvv/xeKsnxdNTulzu2PKoUl+Out96/ESNbAMoBotNY6V0lYCBBLncNWBD+d6OVKEoaC4nTT +093MoY4Nhh0ENXGcONlufo1QuARDfoKe4/l9bVjDUD1nThhm4qvX0O3XFayLN3rzjG2J5sTAQE9p +JvRzIyYA+R9Obg8M3JgweM9cTPmrRfIj1+gpcVpt9Q63vPSDPHAbvTi65CDC7/PIYNnpHMeOrrBl +xpcbpadkkrpjLx6bQe5+/pfT1mDxf+cT5zm41VCTnicnhDNIOu6a8uUKzps7qGsgYEA4Qm02A1I/ +hhdVYAhItlSy/jFmTGu7MGGb2Y1Fkbl/ArSX7RS+kv4Ot2okKIZyFZzuNsmo7YrlF3HGss0ndqCB +sgCJ3fv4wi07fnm5EHxgtB/+tOjDvcJt3IOnZAKd13HVCG9HP+g/OykH+2Y3tHpp0B2SdfulHjEg +GG668fpoolTj6ReIMP/M5NiMf9YR79ouEVGoaa+k0nlqNQ/cpEli5+fhHxyJBxMCiIBOi6hBMvQQ +FYSNnu9YJAGKm4lCMpFC9OyRejl3kNQ4YKmDMQLuJM/uCt7ExbssY/2F3vpuihS+9CsZEwXU01T2 +LOGRHIF484HycKG4tI7oqZS/EFhpg2oET2ke4WXJHRqPIaf90I8GvDmY+sDFWLaNtTYDmBePsRXT +i3kwHupNX8bxTZbuBGru9CHQwfMYe4QBabXzW80UEKPt15z9yhMs+PfPBKkTU+aU+4BDzI/z11yQ +xYSxLWzqqkW/bUBscdpLdASjPh6jkpQ+aHhkd4+125wjRtbqgbdgerZU2KESqMt7QksU6pwlws+W +FWjBOr0zYRVzozI2zeSiC9TBEJ3o0OqJWwRHQTRIaZgWlffM/zYOxRHGKo0dbKsJnpRQ0EcAE2// +Kcpr6+7XqbjYim/QWYuejZZrBR3/G1exEaaxYMrRsckk0H2/8ZhOZBT2kc11pFtoPflSml88Ja6n +hywqBSbLexDyeKjs8pU5PC/PZVFved2WPfTvrkFPuBY+qGwavCFi3jtkVIP27ZJblOjjPlejOMAv +emx0Eq7VDYskrkqYsGd0MOllKKssb251Mb25oBBKKv+hjgPEgPTh1JElnrK5Q4UbaK0oQ49CWUSe +kGr4mLnHpgKmpEtk1I9qhc3KVtX4lNiA/3NUj6357aIbfrQRWKB3hpfgGZjz3Y+oN3QIBbWPG38R +HMpGL8d+R5vMg4a5252BbdoSR4rjtYY9Qm6AhSkJGtmO/qM8IHJd18PAcskkrsbIGpwYS+YBXfrD +VwG2Vp64u4IjYoJIhXZt8r7FMKZACq84JQSAe+idrW++5tUZQeckwPfySy/4wGJsatU+Mq2hXigo +wIZSoq1TtuSqDESZgvF0Aeoqlg3btIy4K99bNZMOW6mmMkuHctljcE7cVZDQYXKpc0zDKzmPJX81 +M3JNnJpkJnubneLVCx3DhFT/IiIKxkAhdHrtTqpJ16WFa/jCa1mRWiwjEwMfcO7+zMwnOlkhNh4b +v7v3jdTJEyrpv2juY3geP6rnhjL6P9zgRuNYRdJtR8+cOWxixJq/SKmK0zAXCOZspab4Hfr4HHu8 +HjwVeNyS8RwtbwnRF2mG/zTR8aZxY5lLy+QrfksGu+v4K9XXYOROx9kn3Ho2LWM+EtowO/uUCRO2 +Z0sbxTRpPR+xACdGqkoP6tdIWgHW3OxStG5+lBfktDD7QNyiuCq9eSufgIvlNaI1UH0UkYOnU31N +LTU6H/q489p3hZnFonfQ3+7jinDHwF9+ispYc5ntLBfmx9QU4aUpNjVhpd/vAtnCFOb+RpzhHw8q +BZyBuBATzbi/y2tMXUCjhog5faavn2qoElPXFIvp6+lsxGAHHl2cc/5DeIzq+2TVcjF2YwHnURSu +llictWmTEo3UTo1tGL/773Gri3ugGgAxD0OmR1Py7koxtMPn+KRyp9Bsx1PJZSviJt6Q6/ZF2YA1 +CGh+sAUDxdM8uoe+Q3delQIg8BZv7eQ3v0Ve1qE5acmPWEtNYJO7ecTb3JE+tfYdQUP92BR8Ns1F +0CSUCnnlntEm0A1Ra+i8GrJEp/4yd9zWOF9UKWG08rWAesyWnWVAluFNHGP10JyAbuRhXsS1dE/A +tYSf2waH9S62Ta42YdCqe9a+Ax2Zl+qTE4l1Kftz09DPgx9KoLSfp3LceklMoS8cB/diZR1AKanz +fg/W1bajSTurCyd0CAgjQd5v7mwVq3rqqi08YkCRmidFbh9/UBFVpNMVNxl0e59RqFRolECke99g +ARW72+ywka9OkND0Xg4D93MhxtsXaxMBCu0OM7Y5sX14AsvPpj3HbKeHbJS+Tdppx0Wvgsv+F4IL +XXqTVOmc0MoiT8BLsYQLxTocY8Z5UF7kuu8Db5XzyGIC2Dk1EB0jRhhpEacoNsAEg/uNs0UDp1JW +Hnjb+02EY3UICROBsW+0AbptLBQWkzgPTK6krHqgg1coVgWgegYvmw7vchaOo3bc8pKgfz9RYDOw +dKwX7xtPw6FNWWt3TIxqv7VDWkoIVcUDs9WVgptFVLu+HOpftnBN54PXubzY9ILsdl6ww+CVMcmD +UYITjOv+But86+A1eDJMuan4AOLLP8AipMRwtW2sW7GC8dYSmeRRaf81pH8FCaXkbefoFYgmpw0O +fjcknj6d2hLIBWZyRLhkm/xmZM1uQ8z5XNb8GIkhnbsVNPStwdzlJKmvck1lHX+H+Zg0zSGrWzg/ +BKxvU2DGxkEc6sLqZ+SKgvQDYDXlS/1wgLRaZLwXbXCt9z+ULUACJqIG1NCaTqv67b4Ix6xNpRHt +tQiO8VioHJ9tsehftKndxDFlvXPKB+SAQ34FqldoDRNemIQNgLLc5jBcRhf91UX2DqyAznMpgDJo +u23lcmXNkhGeNX7AgjOrCXYKARQgJJmzUqw/DJ9eiduCROhzJyapSxX9WZinJolJFE34tUweg7NU +Xk4HE72+ZkzXTNU8lnvNqBeUcDXlmnYP8FwF8pvOVHr4MQ7uEwg7WExEOtnha+5tFjkZcyghRmpG +xOZ168TdNHb7wlrdf3dpGR8N63ZIR3/hhNzu0KxYC14IPGdpiztnzr5EiXxBWbW+VQTLw+Js/Oj8 +/1WwLnQwzyQGxoOFaiT1OVWXjRpLo9ZzeDIaHBogoPCvlyOZRlqxIvSEDos2q0Lmxa6hJWws+sBM +WGCAjAZ8xkGR3nRZThDFuBtgO0ALBO/9xOrPhf7GfIVM5CfjGz3nIILOP8EhZZefm5c5S4I55kt2 +6SRXs31NZT/sNAxzWhZNVrE8JysDIzn5rVv1Tsb7CS11b2BM0Xmk88Al6Iq4AbL/0DcZomFotmS1 +0+PoZteMddE5uy41bxnhnrDvR3dVG/l0jCF3WH7Ut/JR7C28sgq10vp3w0S0EJeoAjc53fmw0ZyS +ZO3QuX7o/b4YsQtu1rK4CeaL5dE8tgnMy8mQb+1QlvBdyBOmtQU0RhB8ccb+BKwqpXuvcpS8CBl6 +BkqGEbqDsCQ5jqlWmyWayHaulx76dX/kWaXHkTYmgFwqK1RF2L94OQb6w/W5ezbGIKL7DHXCEQ4m +/h2TvlILcMfg2TsVY31qZ09BuOGL6hVK5yEUMQWr2Eg4LRnGulV+AHUH+5e3BmIR6eJNjCZfsZ3l +9jHniM2g9HmsqN0rIS4iRyRutmEHsGtkW8Qd0EexWKfzKZnBXX0qe266Axv7wCOTmy41ccm2lUwB +DHlxabS5vxkm0lVjWoc2/gkxoRkPlCqqol6bofSHPUqWweqvLR4nn+M+4cmUa9wLyeVqP/nL/QxK +bnCWaLHyFdKidm4MODYegRXI8MNNz1EaDcTDM+7KLGoQWddbe8z6mZWaomx2GMDBU+DLfakrI1md +u3EmD8zBHFkCbe79ujLaP4+z2Jv/DrOr2rd+IOAZIWMeRJJyqQhJrEb1LGIF5VpLJ3y5D8g6kmfm ++SA/pmhrkZf2PXvLaYQN5FXoaM+Tx9CXwyB3G+sLzBHqbTrEBKFiiep5FvNMhhdGCW7jT3a8Ujp4 ++YG628ZxzpnsvQJwec0Fv65HW/hqNiJyARasVJDWJexEsBG/d55NVG4N5JCBucrtQHJf0oLan77t +VK6e+TV4AI0gF62h/i8cVMB/IxpErs4ljMALikOaB3EtTUUbZVgUL1LQcCK/3zx8PYTd24EWOhA0 +XNYBHLvJy7opSx0qIRbS4aSJockU3Yz/JAKTHUy8t/ZSOXaC7cK0gokgnFZ3gQWktePCKFKso9De +L8lrOcguHidD0yJS0gBzlh2VfakPIjy+1byPK7Q6z+1apVA2RDJVg9hIni5ADVPMbS0pG/GRDr1M +ymCFDgBUH29ivbNXnaoV9LOWtgbrgByLsR70GzODxd1JzpWdPnLhg2e9iBGE9khq0TGzIwjSo9Ts +hXpvQ0a6GJKQrqI3yuKFqy3+xZw9OhZXnqxAt7NU46GIDAMVRjI0gJKg16aJri1ZQOmf3s7ME88G +pzAoNWCqOnFrgz53lOKht5AjHTas8ezUUI+GNLvONmzdHu7E2K1h64pRFau5E1ViWo8RHVjpxAEv ++MfcolJFGOlMCkwQM6R+fy106vKzIYDcUn9/u9H11vNyLC7OadxCeeStWvuoh48FjjQkPlxCMi9n +rZAb94xjlr6G6mcErPP/ftBa1pQbBK5ZTuJ6izd/7twMaTr6dKnLXCCoY2CpBbkPkw4ADxCtzMVM +JNUounmGnK/NofJM1QQNpqJuNwFUgPOd/BnOesmd2Ko7cPoqjYDlXA65VBZdxXn8u6P87Y1I9iSy ++MDIvmbsgnhD0Top4AvKNAnDZQWQ0489P4/9yz1Q7D9v4LH0tdvpxPxPAwPucZw3zcZarbG7s6Cb +uMtZ7AxxSHnDZSBf+adOiaXC7fRKQVA97CMtGTigmhlgXeR5K0UKdZP2fQSi1LCdbbphWW6BB1eL +XLIdfOqvGWYfsjmM5NoNXrzoarJIdqTZW6YMzErvKnNH4iV1jaxoBILk4P60cfGZ88UsQRnY2l05 +oWRzeof61cl+s/XelowKa2hm7hqJF0464i9ezkSalap4XS1qFIfBkQnCNE/ag74DAobIx/rxvQeK +JdkAqOXPblxjCR9edAWT+msXXE4L2be9/SB0CEImIa0r4kW7cBFioN4CuQAtAH7gByX0ETpa4qId +Y86E2AhLs4tIvcFOvW1BoeVj7zAjx3x116bP5rLDIFDZCTxszPbVEr72A/1FfR2i7+j2Y2ryNH0E +tNXAoQAkcJtyKNj/3kaMLBu0kJbDOfrbrCCi8kNalEUIh7Ok40Tw8OIzHVyEFRDbNp8p4qQ/V6Ib +gHFPdYwcZwcFrWEIkvjMymd2bK2U/juC0Ru2etiypeVfyMoycvpPOMR1HLJdX5pjxvPq48w1dZKo +0W1fy9CIIIFGemlAunqcMp3sz446giqMM+FHHAoLu48JEHaLkSKajlehbXV9aaxmU5aJIYV0KA/e ++X6mPYo5LtcR0R/7wPjNC05VeppPq2I2/EMv5/rENESCVqZprwOEf308jYtOCNT9EjtsVd1eCHBX +InFFWzlt4grUTZ2d7WlHDtqYtj6n6HiJNNPRAhKDy1KcBe8DNfKG64cfWj1zRtLhq1+zeAHQWjUA +yC5/rWBBciy6lrn5dYYEkc/vl19jGrze/AlqXIS9EnBNOPMhObp5eomwHz3ujO2IQQgnmhw/THyo +R69LH/DAyiJsNneuRHEQN4p0WmFQLwMFFugETV9Q1BG6nOQtC6q2E0R6rYYkOQJvrXdYVacGRyPR +wiNZV4xCcqksdmm8gQgX+VL+XseSrqXDPKLGHUM/jXtqLbIPRwkfUMJhDwK0iZ8C3pJ0BUWYoQB7 +HIXASzDGqEZk21JFhbMz/MBmBm+DzvcPS53b+E1PouKH5YEAhg/2y2RVGrC5oW2PhE0Uqxuv11XM +SDhReGZKUEBzFE8lWkS6nyaFAMXgPpQb/xUzZfxln/O6U+lDP0JQzMjZfs5TuBu9CT6PGaSiTjdJ +32sk7TEVv23y9OXrIwk12Ge3dWBdXeifNB1zG/hWUMb9KACRqRRRgjtWVIroSWAAynD1srkiGnOm +0vJDMZmAg6IHSVBLMvxlFUi3OjahrAac/X/mrYc1bLi7JFwpFu2PvorERd5HuJAAjt8zn7WZ208T +02zDXtMTa2pPq3SsL8EaxOtlUidTPfAR+qNtzh2F17vtb6g5csnDL5zoBtQAyIjCyehyhEb9F/mi +jHHwFQi1VMVKq8dc5FHkbP1QP81+kjRAYHLSh2p8nDPVN6ZynEb8i94B0BoO8v9eNhcW6KwjNBsn +v8ljoLGB/rY+/y/Vj+SxXjFt7exiKDwCevrTXXRwqO4raObXi80FDW1aSo9P7Llshxo2CCwSao1D +NC30I0mo5C1RNSZfHK6thsx8mH6ACdsZxlmqWhZ826boR6gjHCIz0YHUFEULRvuGsvGBYRQ6PymT +0O6epYOLyxr2C2R1qQGfa9nZkSbTQgOQS28JLX0MIRFVzzkF9r386UY09sB2umMYPxN4KqngL7Xi +g/rLHpvPB47hLgc7lz4jOMcKSkSSuLXDt2UzzDvgC3cGIWE3Gzj3Q6RGE9oP0LrHzzV/qZ04zFfc +6Uho3GZ3Z7U9mqkQZ/LkwYwV4yDuDeRPE6Pr9OH+OccIfNfkX5TZiCTJULLAxW0E7rnVKr+iCR5V +7aptdzgwFigv0bt1253FXa++z9LYWRrACZF8M8pKZMmiwk4Pc5c53vJkNPgYrih8BmxVyZjgyyR6 +Ei1RORdHUlDghaFWeAmfZbYLf2+RZ/hOAbIaZaq4XyxMfebmL945W7cTN5T4w3NxPS5TwhhJL+S7 +flZoLtlowrH+MgS0O0oD9b2PAwpmol/TFNxoXQAFD7N2XWU9yfNbIVkt5ydgnRxspOfkGRD389wX +UhO++iGTtF1hYY6WodhEg+Zbt1z2AxC3wGqneV8G9XhpO03/cyaXu+R+qtjPxLn+Dgpy49kqCqFY +DKhbVbaznOffXGS9TrvEgKWaCPpqfZH90Ho+nlqpNDshUz4wMzaKh3KfddT3cyh5uds7Jvn9ixPJ +AWjWWUNa9/iKHQuajnnwH03Dy0qIzQ4Xitt8npELSkMH8ecTgvAhuWr6y1cPAjICI2iG009DS4Wx +gHcU33UGrXPbqj09/bmNvPUChXevArkzBHTvyfEuIUNNfwX255XZynEdPao3MmiA6R2RjcTxVSDA +btG6BwiPlU9CjurTEnEjLq2KCIYlnDBEQrAIsWOkU4Xu7It1h7fVXiHZcXhXhkid6a6grjulSuVx +kpVrQWSN+/Fvy4w0+0mCUnb9C4fmezvhUzFZ4mEW75gLD5ovhhEOgUKqdqd9Bi+VvuYdwUiV1GUa +Gc7SHhXAKj2vSnpWXGsbp73ow6rAv7TDKrsQyLo7C4HF2QfcSsg9pd9JQpTZYVEsVX1K56Wl2rtd +ZkGZYKKNAp5Q/hZlJoXpHf2fIZfWADM+Kt1Ke8cVy1MvqO4sDAeSnsHwCc+yWzqEjextvhZJEzHn +38OB1riZgLdzDqJPOxChnw0as/fC566qORBXM+tqpJBO/n4jVp5tzZNgpKEmh1JtGeXVk1LP223/ +3eSin8tXCCzJ9fXrgm/pK2F8+wGSjmTXo8OHdBATDoNxBrVVO/pU2xL8QwS3OXmKCT8QVlHnBuhZ +MlXuMZgsR5zv5yzFA4iG7EiEEGlJTsXw2vpT/qCXWy4WndayErzSRlicLKQnNF9C9dYAPny5zmVn +kXYMuOGkY2EmWxZCRFf8XXeQ9GSRLXznUDK4QB4UuFfAk4CrDg1JB2l0Ve3/k9oNm1lbdVC04fPc +k5Wak4ZjqlLlA08oUn4Hqq7ggZy7T5pMqzGpg/mrYWT1qeNSr8003pVOtd1LTnoM8mG1J7eZvQms +hGxwsWyf7ojHngge0gJG3oZbEI7Abwy3npG4dEzfndrvLSsQbI+9nJab5WdiFuO7V2xr6k7jAsIo +N0IPim3IEmq/r9zGVOIbF0hYTJoEwZraHP6cdQpI74vFF/uEO4upAcpb8Os0UazDC9LjErxrHrt+ +3IUF5fFIAJIfY9JqpM/T6o0JkIDHr7Av6mBzMEDmaOpaP5AQP5UK2LoSkDhRX0kb4LlmUGThAUFU +SAcwzRfsEJY0UCSn9BTjJGqh78C07RzZhMAxNGwG5ZPXAcbu7oyADV3tdhyakfTbmNAQmK3mcIsa +j2QfDLeR2Ep7ZSh5YtmK0kW8+zkhI3GxezMUtbneCfne8S9NNJNCNlCw9RG8tMjTiwynee3c/Vdo +45AXt6YAj02yDO1gRQIlOJg/Trn78CgvuR/pBGBzN1GUYMffkGW//1Z4wnIQSlRKFs/gKSelWNiu +Kr0Yn4MA04gmOTq94yO/miYDGSs033qkMfZyYkM+/g8M4AbZU44kENdC+wgs/n6kCdsKH/HmFT48 +UMSz1pKys49VAXnUvVe5CW98U9WL+v0BpPtbRIV34Ijjk0ITED41nqiNlAHTAIHJx1A0OHsuju8f +gSJDZtUeIiemjPvXmgVDA8r/X0g1fOohVneVSQZ2kNe8uJTSnQOj3oJPEApkDlOHziwbFWCGZqjY +Kuy9ZLUUj40tHjGAtzTDHU/JLBNBSoJNkxLCac1r1ruSbC3G2FXxD5kICLwpqeblZ2mZ48kE9Ozv +9clLHZYKzsGgEsxn4kiIrQAxVBUBUJFlPtEYDZ1bAz9ncMUxDGjlcslL51uQdlWH8Yzqe0Hpe8+Q +iMye0LLvvzFxTVO/QdFRVBXD01JfF22CJt+asPvsaqmP0JOFK695bJsYsesf4SR562Qa6XuHoRJf +iNYhabJJmJnM9qM6rPmRHoC1zzyv8U2554lHbxUDpa2e9w1KrDc6Cp4mJLb5GVqLqbA6xZzJeVZh +dTcP8NqdA1V2Y0rGHhe8gpXwp9Mbflnt7z5eKyQLuLLSAYJ+YZfeew4SyYDU6xt9aLFKmwseGHkY ++OZggomEnlgAlYbfav+YknSW8FOO4pcBd9jMjoKDPbedY4l2FoTY5JNBxWkG08ayhzamRSjGcn5y +3fqWK4p3K7L+pFjRhKTPg1QL8L5RTIw96OarN/P4GAxsDHyO7Vbbzrw9zdyJu2ylAFea9+et/MW0 +aU/kEZHReQwx0RENKq0K2w2+lD6UcHzTNgomrGJmuzz9v94/ZYfmYTtByDWq8WX6++zSquXeO3CX +rTrd9fUHMg0smAf05qX7iWXgOK9hznPNK4PFtkS/NtlrbjjdHz0mX3nCdR5YRnCZR1lAQiD5Ftko +d7jquo7ayckgFblN8KZHOS4WfSo0t7iuf5YiOwXEYgN0ocKJA30Ko5BmhuM9NHFDs3CF2f1GOYjZ +VarA1S1HDjxPs4Az2rL1RjFhhXS7X2rhNrp+paSebx7+kcgfqzh/zjVocDQ3MJ02bRVmZTQlfcIL +dz9k4uHcZAPXjGmyK5ORH5wlToO+FgOU2XhVQ2762yLO/SAcCNakaIZSI868fDs0F5FmQ6tob7Px +WERaijenenUb5uCmpE8+EfMq+52qbg8BHFpHjJ9Hleg24Emn/giWTHDpYbLC8a3obeT6nYyUhkhj +vidd7IPZDPtAYWB9Cyolt9yktibuejxzCRpDmqjFyEvF4FNTOzEOX8r8W72iW29WfMQj6LVvCqAn +LOIMNuAW9PZwGpChsaCGtOiaGZBB+HD3MFGrNWbnAkyehIdVaUWM0mkRQ+6NMxfdcae1WSTLUeaO +GPKJL8tJWPhvOLw/DZHhWJxRSc1LCcCbZBr9oK6ELeYW/AWkPeS3N8XohvwDXp2THK+ZPGBjn2FL +HM0KYkckCaN/MqakbUdfmTDbR5v4FVGko01uUKXGLfbe+QOJVapU9SNdkJ7tsGt6bp9RNrTKTvT/ +yII0Wu9LDc/qHfoFAF3hnHbMWU6xkJ/zDX8DEj3BmTZ0nrhxPPaTole5PF+WFs1XIgKlj0UDOv55 +Q0KF6NueR8SPyLIlWC0rTVvHLdHwL+5U9HkZzSGgaiIAFqhPqvR5MWdq7bxyXalHeDhmRxVW+u2M +ofsCQ+yrXN9vaDyxvjcEu5ID0hjWvFSdQMK3L3mOUJHWerg12OcdMQvHqWyTzU25Jg2Wx68hEgsf +qnXTWKS2GCFxyUtnuFIo+RuOp7Gp/bWgiBlXsXKR+azi02Y4Dln05zjONCnChR5AJX5Tu3zJyLh5 +h7bldAE6IdQZdk0DGlGPrR0fFU/1vqswJBJuW/QGcOR6uNLFTsbpkXA9pFjfFNGPTqcf+TXfkujP +dEdFep9QVHHO9ZN1JlHz0LFUJADUl8kE75PATHzhrdyxzROEFwIylPg/mzZ5j4rS6R18BhRpE8/j +jJhx1hVi85+RjDBGackjcejwLOhpNF0cxIbJjxDNC1GCDRc5UcNUh9+44v/KRNUD+gXJgbA+sdbX +f7RCY8P8sOO7XsbGoAGrvk/cuRWv240U3zinTrJ/h4ZXQ3hUMFGV2u8FTjIhq0FDJU/ub/be8xrG +0j3aeNf3O+9LEuWRsQiQQ9i+LKNhFkUuDRFBauk/Lz8yRYd3qWQ6p1lyVqf4x4AdeVaggS7Llhpc +++asnd5WBkAj1W+FfMfL/PGt9xLEwvg9BLHsEVUUcq9RvUjl7gvPOS43ks1aJUf7nTA9Jumn0TfR +hSegbds7KtljDgMWIVmwJ0OWCSnkKMmGOw77ro5DIl9nXLuNPElQgSnFkN61kBSmHukOP/q9GIi9 +OzQC0M1H1IZTJYFIrsSSFD5f3sEl7Has4HB4U1Q4WJ0O3zmG/QJBh1aqrUvXrx20JD7suyAIVpL5 +tDef/dwCHPU1v7fTzw3hdvhgEYTjb1qXofmn/jndVFsY7Ihl1MQetSYnisidTul1hj7/po/fguD1 +zC46wmILH0hj/2JwGoYRzJWNqheprQfRfRzysf/MseSF2Fk+Edt+vNS4A3MCz4bVzwd4LbpSZ2RE +dpZZhlDbQBrYx33zlBD2f22o3EHzRGtplaqDsf17noSCZVFQQYRPiT2fzXecE5ygYzrvhxJdcwo0 +GW3Wd1QRdIAKbAgE338oy5XE/g/oVy3QYMOe8QRNo2d19L/JdYiaZdU7aBf6f0MGQK5dXb88N0D/ +SUInLcolFThh4Q5dJO2HTmXZU89yzecKxQLzT3r1mLvpmeQR6Hmgdw+s3tbFxacyUP+8CBm9XVFD +MJzFZF1+mQ8QV5z+BEXo8wudBoKtlXGpzK6YI+gGJobCnB0a74pJihHaReOCCMjjuF/TIqA4KQzP +g/gliMvOTi3on5+9tmCk41rdKq+6+HjYDabosupsJUH0xtOKAnd+OYcqJg+zXAes5TBcolmg2wzq +sbqVJ2cWYPTIgZmnL8kzmfeZmXi8OV7EErc5zDf67pP2105DCWwTOB2KnVC4Ip0tfzJtBWexzEFM +iSibpVZjfjFP501UhOo+vFnEgTv9IcaGdApCVU2nxzL13cMuk4ufBFHMXK5vj3TY/kbjyJ98znHj +XNpqfZANZROGRwfv8GIeKKNXF3sTF+3wZK8rc2DtxjOPFkbz6Epu0hFN156K1dy0W8oFQHwM4uMz +PSxyT1f+Mo0y2UPJwKXLjHziOi+P3ZrVG0tA3kfzLQ3pqpTzP/iPymJFJD+EGqUNo1gPFWfFheSi +IYEnWHabEX1Wj9S7BYZ8mWj6nXbapKoHOs5ZQVpTHdkcNxVZ8FUEhoZr8FaPGKh1qiZN//VLGZGk +G0Lf4rj0ELyd8sLvhT7vmvTT1ViCt/3W3ITlVA5ifsBhNNSFZVZkorYDkm4Ra1xOMAOQj9U1CHQr +8qRKbj6bp9oT7ncLfvzhWJy4d4Ez0+92wU8DQqf8ZMmNo/dt6/0iFeJbkZktZelQIUWCG710EiIs +XavoGvwG1TB4H4i9wQoWVbuQGrY1Xqa95U6dAX7P9tstbs01HOdN+WoQFBwV9eCp8Kc0L8pJ1kLi +sFza1Pl2HZcSeIRqROea2tRzjWUHvsfaHponQR9g4VcnNIgl0DWO1mQryp+VCxEcFutzxUMT+sOL +PJbCiy9fmElCsF7l9FZzWFVIbAZutiNITGXrPoE5KTJcuAIXxvwtMDj3uLNhBWIJbG863C1i6l84 +A8Kr3E+SnybMFF+4MPjnxPZb6do8d7cQSGJVORGwgS5Ipk8pBztQpajWDwCCmpb01mfekJw0FQeB +VPLaKqr8T8yG4FR2ii+lxysmNfz3s1sFsioCqshJxLQJZd7NO0HD2HSNih5eAsoIaMDmjZOtcpJD +svNzwgrw17OZOKWsEQBYtjUJ6XM3b96gjLQv5KYxMetxmOT9I/lPzy+thXbPCwwDQjhTCneTH9GO +5wmMgJuRaoQGcXXuqjt+wjpKjluIsrT6sOiV1IVAI2pXn27fAAaJJJtHvFVg+YyzDvgXBEo+IkgJ +CrsST2+v6h5naqfpBR6T3ryt6nP1voxAOeqkysuOkPcuHpOEYcVXWOTMTvVPuepenHn78Fmq/g5Q +UCZuIOWl3nVdfDs20VoMDPHWh8WE1oMUBffrhoLwH2kh2n0sBpoA4PCIoziLeK0KdPl6w/AkG4+u +KFCgcdY6GiPShKaQnMBR7viPqocAswaprIYuamBfH4gCQHXoQffkuO3B5VPFaoBVL4Qza8F25st4 +7HU74V/uhzkVJ7S1YUDAtB0gwZOwdAAyuTabTGHcNvOf8LjI9Q0XEbr3Hfib0V+k/hbejZ9afYDV +0GnlJxi+YoehJhhUe/oG2U8G9/phNo/weDtlPrUDq8k79v7hiejOPFEchzCNcl2yLteSNLV3c4zv +0mcuGNwJ3xFF31R2ZzHnYji4hvXZAohUFmovL3d89nDXfGZ3vcvn5NdoZsq5qAaJ13n27QsYcF4Y +zfeLbt2iqy6v+RzVu4YCbVm+fjhX316/wkKQtrz+wISy5Uuc1wqtdRGZZmxsWyLW6ReH/oBVOhNu +fwYYcKEbHvFc7U3jGeSx3zwF++UgOfYjaA4SlTb/in7Kx81jNf3DlLZqYoI7YyjkmGae9puBwgDZ +c9jCJyhANUAE2q27DU+ebeOgEADAoHFfn42TUhNor79g8pknPiJq5YrLp92NNy7WSGV4b49ywaS1 +XWG/Pa2GdjciEaQhTyPWc9bj1DczsJvWIvZmMlnHWza2yRBZNNibro57PTdyKJrAh4PpaMjetRf4 +pmohIn6IsiNsAP18dQOESjmCxNXNGBTd9x7XlbLfM/7ghgwloPOFDxGnfhUUvuzeSzwEpIpf3LMN +ob/2uF2E05ciP+Judn39ejugxSgbHmqG15aSqfLQeVe4KML5b7ar8Qf4uWCdsW2/IJkF41xg1c/c +olQyTfIEeCtnnHv2wD/xzE6jr1Wxz35nF5lFNHqci2w8/kkyVfFbdyjztVDgKnRAWj9WlRPszOo4 +PH3GLooxb9WtvjimBpFKV2gs23ii+keB6mMH++xN0p1gl3nT0KKGmzaziuBzACl9HAZ2u+ZwZBDR +tqetXnC6IgU2RN04A+GoDc102/4zxYCRxyfgUNE099nJuyR63W/vrrMewJqzThMlzzHSBSE1zYF7 +Jew5DYZc2up8iOC4crd8bL+8JJODaY3DX4XumyzH90sMCt77Ee/A339OBweLUbH/9QklGeQafFD+ +TSXoS+04DrNe3Z+i1u7D2/QZgg6lJouCw3no0Yra5QWCJh/Jb10bT2/Odl52arp1UsX7DiEFmplR +uLq+DYv58ZKiZD5/16DIaxxNLWlpjv4Qi+qYa6mIfca2yXcpAted5IyA0Tf9fhylPrOBrOy9TKG0 +NgxJTmdbLY4QVKGnRCfpVvIWBOvYXfsOnZ8FXX7hfdrgmLL5i5eDoBdeXHVFHqU4FztgXcC2Ibhx +5O/uq5nPgnuv+HTxcDMpXRyzl9rMOjezi/178agoikR6IHH7KM9Qh5WgkhUt29Pn628jjFodDtB9 +wDNT9HxA7G5cCcWGshAi7lm0yszgP7xNjIE8vRtGHEE93b4F3Snad89TMLtub2wfjcAnkj+h/aFL +pZcnuMXEtbwL9h9bp09awO+O6x6joF9MXHsxkJrE9SqogwCa2zB7QwElJ5//gNE+coICRCUgdi0r +SCOHJO3ZAncv+F8ffIpPBi5ddiiYiAGE9slI5L+wsCP8av4ADbRDqPwNdElxPU2I6GwlBp3WvVcY +oK3yrl54ok5BWlZa3oDwYn2wOhnpTdfnsrUdIJ5RQcXvQ8eLijos1kL8ROTkbCyBT2ULU71duWkY +tSNSOS00Qj2+BEFpSXLZkXmtxxYb0BWcRuMoxyhwX9tJaBIL+p7SclzBtMByChO+lFc/hL+DTfzz +Vp7ApA5YUa6aoM88Kdd8fNGfdOpsi1LHP+Yhyd+pUxTFLQ75/pebWEy+/dMk5WOG2p8jhpsruyOE +pS0tqoLbFY2S031wU6Ld1jMvDNL9gNC7WC0vnei18bv+aBA0rekOkRlSba7+ZhC40p2BchxWQaaI +gnRsjR1RWJfrBCGgkS1BM29s4fIXUNQ7LHStRKRWzyJLThOoTpO0gA6Dz2vtKR+ZSKFyGy7tuxTA ++lWqvTan5KqAOgn65uC2Z6gb38FflTb+FtE7o521UBIcGcrJfjdjDVi8WBvlN2pgspWnJhY30E6E +4zpBXVPdDr3DherPM8J0zyJAJkvBAKiy+scw/eokXnS5smEhrp8j8LFUkWFELK8teLGKjZqPvtKx +DRvwWUNdwhl+uxmC+sVyak7YgimJ1Dny5IREkUwOVyo6iVLZBUeNcOGzqn1i2ezUWcdF75kFHBt3 +aNqtZ09e80kxuwabv/8W35Ft+hU1rNBABgcG5RP0nkV4JzDVxYhBASBMpCjT7F456qJdQxd70PRp +qMntHqKZhHAkoUoxmhw4BX3Q0/j5Y9TU73CjXqc+sm4heiOnlomNLZ1FtIPyRTdd+WsnatFxzrBn +sRYxnbTU6A/vJlIQdMf74qtf/Rc3Q8bDf++P8WyiP777tGSCiquy/cJWWBlFLq5OsrchSC4UOZIo +TN85dXecDNVy9GZQsaq7qvoy7H/CgCl4k5X3FsuLrScIsjb9GvG4h2yXHCIsfDBi2K95LQtamaR8 +wf6ZD85ie2D4/3OYUNqzkybl4MlzL+QuoviMU4PADbVaG9Z5GkpnYLWCtDMG7LsvzvvElhqbLquM +eLhoPMMSn01uGB6EIiqrN6lt3lgoXMypXD2i+/R009qXh8Uxr35XbLaXSPqBdt5SE4xWnLZeQDEP +XTwFTzjQqiydlZcrvFTTjpEUIcc7l8IRlICtFXiQvm2BXOs5WSc8h1RCgea6LHYT4pCrexEvUSRl +w/zGa7wCd+1lcEtnfXcZwJSylMO771qXJY09cGDERcPOMIGVxvSM3gE+pineN3bBj15RG5Dwlk+C +89vhL4/M+UUvZwTFyHHidSPvHTvQ9S1gE9FfjfSIuaty35m/PF5jP/sauVorO4fZcUHYSCpryJPe +NZzdY+AgEAAitPf40rZ6t03QAsxxxf9cXptTkAGARrzeq0N1bgJwypiKxCDZCpIF6VfxPyr29vrF +csZ2mc6KSe72lKYhOei6Y3K2W3QoZVJLjweM4qqlt7HmW5Mam0HkPqGmHVv1jJhgE8IsbavNFiM/ +R2PmhAJgXMh2r3cWhnbLUmUOdFe5Hw5qhJSnPWGas4kwoWH/ditkwvvJZIqbr6jrFAZzbPZ0tzmG +Gd5plUvv2YMSrviXCC+PtzpUs37nGnwq9PQGl+Bnzrrw1xzaraH72JpxI/iGR04NmZkzxeMVyAv3 +2+vl6etr+EowdJxDCSgzim4uCyoJyWvAmGLSjNgPEPmdx1zyIAvzY6tIxcNe07iaF9QF9sT6tqWt +3N/Lg3RLvHtqg0g6LaMBVWwseWXBco1DVOur0O2pMlHYFj1EdDecN7ZcVrLyZaBWLzJMvBP/L6/u +InYWGtIiG9PgquCCV8SHbADE0kR1WcCrl2bI8w++2WToPdQp89y7bh4budJQ0nA9g/5XnHpZGf9n +NyPZroGpD8Av13JRSiCVFXuLQaNELPRbCesUkNOnrXauTiWJ+io1lIF6iqqEhXDCdtzGh2mr+blQ +pyguqiQPoCfBMNZ3UeE81MteGW88TxspOA64+QDfFVEtlhsv2+CAY1cMc9QBbKXeM8aKkg4zC2HC +T4lrWVVAIaJmA+gBfqQwvUFprnETvQnW90kNkBQTllwbeDQRX7gdjcKDL/yt5lUXSUvMcjHHdMV7 +tAStZ9NCfIBsWf+yrSOZL72BVjXEVDeJ//aIn8T+B5A8KwGXxnaI8Y218X6J1sINlEvx9AxJZvQR +b003Q8EKdLA1YUAmsQkGq150H0PQFW7L92PBlDnRES9eeggUe3OvhRgY5m5qtjyAGx4AIeHpCZE3 +5jM2VPhfVNC6HyL5OW8gaRjiLPf6AMJ+2cf9G1d0GzGkbEL+KRB4WA+YzOqwkZvh0RiXcUdpgNbR +Y4jcljByTg6Cfj9DTl9pJj2SJQPd3xh84mxIrRh3bFS85/uBcMvxu5m2E5CKFjZbVXw1RH2eN0Ks +UC0f8PfjvW+AfFEVT8Ea4IMQ/hYAttPn8JFS4sCrKSjzsd3bUqNsdSU7OzlU87MYAQsNMgA6UCfP +CAwNdMblNYn64/NqT4Xg8ySf4/owSwYyKSfC6v7FJnxf23TuXQcJQ7k0glv0fZbFc6wdG9q/bLB4 +HIHOEzxoqfiIAqZ3nmleeWShVqyIRinn9++HqROcj2nS8l+x/LNS47f05N25FxTg4bXRK+kCC9FE +FjWRv3oQmiRkaUf3zJPXwT8JvTLb+Esa8wzFeWTi1Z17nsIRhflR1brAi8GXVTIPUIRb2du79INL +I9xj/FaC3WcOiffrxxcVrMO4yx7aynZtNo3zA8hZzSkyWOOAj0CZkrYMGIYZSVWsqScmd9JHsu0z +dblfYLiRQk/ckxVpSYleZ+WEWgA1dN3t734WPPJbPXb6ck9FUhMrQD4UuFPDE1Mq81afPQulsdqZ +Dg0P/LQdOcU3ibTIF/lazX2DKoZNHRW5O/8Su02thhjgAQodt1OSwfkLcVZV5x20ZdECO7M+9QTJ +j20RIrUPILONBCk19Zqo6HMJEG+hj9v2lPjOHL90KHjI12dtlxumTeN9SbwSjLCb5y0o7IBR7YQ8 +KHWEcbp9RFlADM1IiY+fFQql5SnqdfiPPrMCdjnzMtZG+u7b5F8OeHaDhbxtOxV5DPW1WqYpVjs/ +aTXpiwous0gUKByVtgJppm4SnYfzNnuSga3tXXvPjFLI+5iXIhsDMmqFYPj4xa8XYowilIcaEd0a +PMjrHVcqB2p1AEFfPB7LATzDu6mJ+fW8gvQjkI4nTVqH/pOer2hGIFpzxVBijRCX6V9DADlwnD0O +sa+NdSZkg8ZWXFwMJnNW9UNUEF1bc795K+udK6LEJGDejMgd0/JCMvFuAU4HTou09kitahGXImH5 +C9RriaiCbe0JR766QbV7Ey3yCSSc9XcfksJ6a2FA3MsJ2PcbyoKHws8pPaSpIxQUIyzsU2mVmTjh +h5j68RRm3gfdG/UcRLNxJuXgRXK4yszMrFpamP02+JwSawVajxtEZbiR7LPRxDay5mYOJTHmWRS5 +WLpRD61KnDrn/sNvReWmQOibN/bfppG0pICsY2lWibrBYX7vbnfKMdAvMsMXYJRnZlqSSFpyI3O3 +2n/RPi2SRcFIxfwpeSn3uf47Bt5sITJNh5SJysOHHq85A6dxipBqK6uoyDSqyqlbJJ8OIyFRyw7+ +X2fQU26dZYEBiWnjOXbC/0dBlLDCohTYnoYSz7zQyA9CkKzPJ8nlDq7qe/jfmJtPlgQc9PAZwQLt +Mk86E0Rr3uaCLlaaWThmb44PulHPZiJ7W8TjT0sSeX6ASNkK1gNSJsJz3B1RRUl8DokOazIJTovu +SQ99ldLvUKs4l850VZkfO/e+zkxwTNQ9EuHflkY7orzSeYEt8Q/zJ4jyX5mIy6J9egxgqOlVdGYv +Qvno8KctEZwwyImTxWrstk0w+Cu31+ihIp07OD5k/t0hd8xI0ggQVplACtoOES0Uj9EeafGdQR6v +j6D1zwz2seLvLN8GdtRJ+2eX1PdFeYKxY7y4ajk36nB4b5BZN6xZqdax7EvPHKdYC5iy8SsaCvcr +iC4DjJvwNMORScIwKBZjK4efgZPgW5LJW4w4qUyZ+1+rT2MRJ2qyR7bo/bZz71cGrG2Q3kh4JlCZ +oy2L4YdmI0gAnr/IWO/jReeo1YM0X6IJCCiMNLmvwZ7EFFydu/EcZQGvWaZbYsZrzu4tPymZkFKG +bxQ0J/38StHH9CY5UjtGSTGI0FVeLjcuC9j2sw2Aziopyy+byVtflAC+Rns3MqAfaw0SN2Tep1GV +1lGVV8e3nxkEe8B8f2z+57uXblJp22n4/MmEsBrzFk038VE/YAN7GDDgn9jVziBV2nj5ibHWfybD +Jz9FyHLiRrtnMiDWWGtXk99gu8sRmrSmoPmbflXrAscMyK0G/4e+uXmzzQ1EWus618WWDs1odBJ8 +5lYxOTXN7SZXPb1KP5NwKzeSxfKbv+e7WbhS24TOLItE8PlgoIj2igU8hoZDO7eeQIRTJ5p2pxuj +HBHy7h0u6skJOuAqdeCTAAkEHIHgla2JVJXuqql8BQw4skc93afy1UD8Tixgm87FOBfEyOH0pG8Y +2CC5EvgF5rO4D5Pt34QLhzIOYByu66hkTTiJ4GyJ5MbdziTzxtTJjHZ+VcqXw+jIHqtoHze70r7t +4Wta+tnFwV36ex4SY+1k+MrAKJTPN0BR35gZ6Qa0kFEFnTsAIzZSMAqS/ltXQWS0u+CoMVgTJLzo +nZiPML77oELU+L3ZXD14m3z1d6OmCkrxKywuNl70ZhlrVUv3uxAeW4wSOKfygXdMwekjuiKmL+Mj +qALreD3LwbMVvMYy0zr7ErBgTxG1hPbuZXc6iOo2wZ3BY4gOpizJApw3txGtJfX+kEqFYaA49HcJ +HsmdddYnyAfcFyOa0N7z99RjWzRj22x0gHhK2p3+gRWpXip/tHQjMXmSCj2XbNxnrVmHpqJdj99h +Vnna9OneYePmUolemFXeG2Y/VP6g2NdplN+qVMIyRvdUjHofC5WENCd/sbBz30LFsBzfOOCn8oOY +DB+Z0MJobXfRZSSs1eW13SDsHuo1BHFZ7HUz15F8yukItZGv6kAufWge5m9mJMyPXiKYTgJG2Q9w +bZmF+6RaIHpK8B78K7U0i7pTrc4zN5Qj+XhMHuvQxKfC4BZ8J0ngH2sL++8Yrn85Hh7YlDNGQbvC +57ht4zh+V3XojNOkdOLtaY4vDY1Q0x997HK7OWU4a9a6Y9u1pg9Rq3EekHJRATLOkeU14aoEbOne +URRHs73zJ7s/d3RTZdYaeV3zEjjUEHTbuXYQ/XUuiHeZee4exGUhI9Ln2MO68Mq7g9h5NeRDaa8i +eQWx8mRkRkMlqng5z2UTRgtVFusF8y9DV/Lwe1xv3KXB9as3wsyX96w6Uk62n/EktmwRl8BPzbAd +6TVg+5QQBj+mTD/cP0j8jtkiMm5u75vs5wLRYi591EOlsxLcRTw3NZdj78TZZ1XhxRbJZd5ZB3HM +0W9jRlvKk2jiYB94c/bYuFKxeN/WoEbup/dycvyByUWcZpVHSwA/SRfyy/ASiRsgL3OM8PSMOVoZ +kPMKOoRQ8qJVgkToe9/qXkA1tLysmfD8Df+eh4f+uSo6sDIgztzrp3VBrAsQoEDBeCszgpZT6LLY +SyGdNSlPBtWxWDxe26gmcMm9t1l2MnP5DUik1QHeOvt0wSGqq+dORAMpOtI1ShYlfSS4XZu9f9kT +FG439MX/kn5okSQaDA9sCQOOtT6k+bznIGWINANKuCh3WIJNgtOef7yCWea2bupUZbQtCf0DEqcd +oGHepLmRuwOfhwBmMg5e3igNYelxsj7sHK481ycZrjMMQXef0Y4IXq2PYCOKWtaeGeGwD2pkCRQO +kXyXt7OmlaARwa0CPqja7GaHxMM9q8zMbfLujOeH73spD2hqsyp2oE6XkeqBnOaXHzlw8IiyVQGs +EqPTu5w5oDHMD/ShBm5xe0G+sNJABkTRD+yXnSM6vQfePGQQIk10nBSe+drlZVWjvkBrTZc/9Iw7 +dzvIFiilK4R7vAaIRKSy2yl+okBldlqoIrqBIS5nHzh78vl+SrXz9rkYcvg7KEGWlR0LiSHzccOo +Ge0VCzkeb4XnE87cRhDgNGJarYxCZ+d9zDKja8y540Uch3hoCXwZh835GQL4gG9HETnZdVddnkkd +uGqmCdrW2ymY5TXOsHQAzU+Gql0eN4oYJ9GAQS2cPac8N0q2GGFcj8TpM5snee/DOQDPmABjCJCU +e98kZPCIq77s6bijdv13+1W8rsfhY4+7EUcXQt46apKqfUmlaHeqKpZuJ7EzjNBNsOr48gmj85OU +YHp63HDtYjwdHGCdIDeaimQ1fE5StODzEjbM18DcKGI+fMslTevnTVFfSRgbII+9ydnfyQ4mUjai +MkHKADHve0FrqgavBZeWguuoXvMYvl4GfAi6FAsX6154dFxRjPblarULulc5al7iaRJVVHUvx6th +eq7k9hn43zS3PFDOr55BulDplPRyAF0Se6jRa9zBY2cNraPf9cUKVutTyzZombPLcK92HId2y0pv +8Ukwif71EqQ7id5wDTPySfjTuTAIBAOQkZ7ZrfctBiKHNUOPKf9LouMvuLdaHeIdfx0nUmKSeKXS +4JlIdhNrJVz3TuzOgiJDU/XB4Lt+H3MipyxbMAJk2lqz12LCVdmjwECi2PBh1N+tRwe0LnSCvUja +iYBMNMMfPbOP277Kxtgb6hRHirebstqzHr0nHe7iandGnzJpMpxKerVK098zI0DNSzdrF7sYk33/ +5D1Smt59Pw3+Gfqa8WuNVZUcIM9XbXlTlxzYlB9J0Ley+Em1xqH16GZ4X8brnoMTzloefi6i8H5a +7dXL0PkQC6rY9p3LM8YE9RNXQt9ZKTQUGdlpgAC5+s8eShEbPCdkBjwP2mMxTaQ+XipeB+MRsOAs +Mk5pfZYa+MAMk+BsW8KiP5nYgPsDe1xjenyaCnLtQbJWFtHupgRcRlInc2wG3EoEEmqp7RhaxkWn +AMuFv7Py4yeNz7PPhjUdw11bwzlJgTsUXbXXvO3rZhlI22lKdHSenen+vUPdCvl6nP7q5Qm72BaB +r8vws+t+/sR1OhT39SswISEZT3RomYPRLZ1NDCCrFUNm2naF+XPWtFpc7bbo+ko1e3fzemY2MmpK +KTzOxxlkMK8RybjurhKmNSWilYJABOGDqGOo28iU2JDy0HYnubeevoHMRyDHwdhHu8flrN+qCzod +58WhMpx1+NAsNmAv7yfGEUDPYKPy1vv3sjtV2yNUvlB9pLWlc4RLDieUIW5ZoaIdTX9eDmTUY640 +o06go1OeOi5mD842wx34S/iEZRHMs7GP7WtT7NOthNr7kw6DkNeI2W1P3Nz3LowNM3XEja0NIeF2 +KlLTSS+LWL5o069ujsFDzZ0zaB1NLwyhbFgbt2Qsn1Cs3icx7rjT7y8DTH22YTuF/YejJ7KsgjWF +5VLePqhJxG4tYGXy99P5VxSVny7lLT+rTh/Djvn24fKlXZSK31mwvQKio3ukQotoTBVcmO/0rRIf +PAe701cDiMb/7jHbJDbZJgGDtc/qsKbMnW5oV32Msmg+Y8GDlKdTH7J7rnmylGUB+YUjAnZN1lMe +KwTElEm3cLLbrP5HfXm+ZnWh3vSWNaJP23UOoQ9GCgYdX9u6Ju4QLRaUNAfrJVcZs7UCVSP4zRIr +kf0JNioON1d4cQkJJXPiARCjE3fOHLFpH8jUPsG3J8X44mEWy4yMhLnQi/5i1Acph/6O5mEDzTWe +DNIHNkIa6gcubBJiQu7UGbXnhctvGL8vzvTPC6wgT4AkifYWhqai7yPlbbZoldgu+K670ysfaZss +7r3qkFDWvFtp043Qt1bizDznGZ/MK6eDn07GL+Guhq6o/FunvMLOTyu5QhSDTIMoDVbQNrcrJTs2 +9Yv2ty/PeNegZQkRW6FQo4pT4YqMLvVvDpoVGJSxAoAVEDu3TOqvl4b5m8dmuYyBDGSbGudNUSKY +i5ICnpn7o1QRqyjTyTzcyMxUVMDGoVVZfmY+f1UPecKD3HtU6v9zL05udkRAtiDgqVem8UzubAWu +nPabCQTYEUxabNDOjXNCB1FsAaiYKFsYqNgZupk6sqWYMuVh9zMV7cwVw1Q55Ozx5ZNW323JBqEh +HyWeR1f5IbuMwsYLkRYv+3QzRYs6U0Ls5+d0ZeI7AZjXt2U7WOz24v5hnSZy+twFi8hiodvGM31d +jWGq3nNO09FwYSreH6nrrB1rX7LPkh3M1Iu+xFn2T/O8yA12arbYv7Dk2Wei7a5VoQj61skNvzbb +wOLl3pg7wwE+uSTpQhaKlSKgH7r6ZIn51zszsE7pyDaLRF8xfvHMp8qJku2U2LX6JWhvZgC321f8 +zdIAgv7b7NVjCLk1jDq/lqB3yHYZ49ozLcuC/UF80kwY6y9NI0xbI3+JVWyDMxcHZSboMXNkblM6 +6IfYQDn7XOdadLcR114PVncAm1NOzOrO6vEMck8ii+xXg/Xs2ZA4stglUNMOq34X9iAru4DE1ZwC +auofOsXj2Ha7IBqfREuuLjVtomEXobPQShuWl179NRDkLvnSEefA2l/eipuMTTUG7E8TXGHs8Gdm +dakyMHR//h09sXEzXWZp56s7BWHAnh3HpZgCmrtwDth8HOds26Pfwp1BGEVydHLGvpInt4P7PONo +T4xaT/OD3RVjbCgTrPJMB9bgs7a3qK9pfV4SHeDb/o05GbHs8RGZCRxg3uz5bWsiMqUs4K/3Yikb +xuQu9J8XV7RfV6VZM47zUtAE+K693TsH3I3wniVxXcG/d925E/Rww6idTcSebqxhKDd4yvNzO2No +PZ6NE52cYnukesdtZdkeh9KKo4AhbatXHgOGPr6fu7I/ztpSWRSpBxAHlPiUETxalzAWc1a4Qhm/ +Efrv9SVEyPs66aAr2eFHtrSXxjFPd7Hzau19pKR8fbeutcmChnWZkwoyN2wG0iLXtZC8RA8CoWEd +7ebK9Iqxn0VWBM0wsMHP+mBVuud3Fb1KiF396r/EOgaOjqT61BxegXoUiGOA8GPYExcRr6zBv6bK +OmSi86VbVh2Y0z5UDukpVbugrGSR297mR+e1ULArVWCGGhm6uBx58422Nz1KtU9Orz8Cj2dYmOWl +WGUs49zkrF3GuAINXQEN7wNXxineV6YoyPJi1pMjxIpPnlrcPUP7J0o2NixZVoMVHhRIvCMi8ioq +unbwSOkuSuyWySTHXLBfxYo5bdRG1vWqalzuFmd2kHVVxncw118F+h2q+It0wVHpJWAuPLcyzCl+ ++UZyoKanxvmAWisxxn+H4G001J3O9C5xzF9ZKEA8Pi7hLaDspaACqEd4/f0QwxTq/ggn3H60FfZ4 +GeaiN1MNwyFNUdNY7ftnJA1lc3tTaCFHZ5GFYkgSRWBq0NsaL9tfRHd32jp5kTNYF9QIMLgXV/Zr +OQDWu+Z4QXey0VwwkCJ112D9X3VpYYEFRUzbttLNZl5yD5bkCGYMYBJBSuhP0AA00mBPQjgdErOz ++NLyyZch/0SnmxVC7nL4Ae5jSMr+o7uip7L3LnTDMqCbQGWt8gyK6I3yvnswBiWWPOzC1Y/OUvLO +ytsEYdkY78JC1oxArJuMMEwWU1XkybF0YRXroQzSoA9VF2vi6bbuzWCVrutZxuiVw+UfNgw/Punw +3AyN3AesUVKSqSTR3gSkKOWYIBk2sa8iozi+VQhg/mZ5ZxgAHFHUFZtxGeHG6J0Yv9orwUObGK0v +vdOZyvw6CCzhLJHHh07l6DwYqczhfaotNhvYW8035D6HFy79f60vA8IJXGrxT9N7xjYqBrB8TTQi +tmUR2rTAS2qiVZjcSLKrCzzOZEJIpQzqH/7TPsN5GHnd5CLAn62ZUZCLU8r2xPnYEQFqdaxmSMGx +w0TwVVPoV6t5T9nkKce3HmY/EVfrrBLPt30hMDMQjs3twxrOsUQPlK/GDvbcQy6xpjyMYXIizR0n +7e0/p1vZRPKmD9mgdb2HZSIyPv33BvVsGn89zzKetSdzaMcBRoMbbX8/uQFxagdyZZE1AmLWjB+Q +8mkZb8z6HszV/5VwJWvtl6HQTcq6v+hs1K8ONYnjkczqlaepx8bKr2bdN3l6t7Kw5FjoExxCEDDC +eJc6N5wi74UdqdtZcena7P9AhVAU3mABCKjq5+iVNK6qPvFStB1tLGgyqb9N7xmCj97cCwXtZoWr +4lUw+IGUpzGCGv2uvfHa54XfzDt/xnm+MvMlg0vUF5wyckTGu++/2hwQ4n3AOtvk1twSYxzZ+7IK +un4/Y6wPYYcAh8k5Bzb+uOp+guTDJ/SzREaHHJlCD9v2JKovfgU+uVnqwaTR9WpOOjcosLM4KK6s +Bf8NPEfpLOVVA+samt3/12cgIOxgWAEBcDei7d3/Yd4aK9Y6hyzl3zjmEyKfGw79nChgajZy7ij+ +4NC+AeSZ14aVbuOM4zX8Ye6Nl9UNOzl531PbrxOBiaFIPmMN21XjimeMnzdYJzrOuLtTZDOpTK1g +3vrrYVcSnxhAtw19hRtDOX996kypYvaKIDkL1FY2onAoEqNoHGLv52K50hbhZWW3cjio5bj4j1QE +G0k9Ews0Hx0zNs/TeWwigA9CI2UJ0jqNpFDmzmeDlnGAfUZfZM5LwQPtIW2E1laYkr4tjUpkbtA1 +rn3x1wpicK9lnR1hWwqUmYTEGWnSgONKjNoqckoPpRDpAt1JyC59J2A/hBGMIbFq4Zt+Hc58vfQK +KeNofiJ+Lk4kwLmyGLwy88XGsOnyf0/KdfXuOKClPskIYiEFq6PLpCEZoS4Cu/NZjIS1JBj1SeYc +qtUXS0RR1jSoKR+GELXfuZQFcKvwxWC9gw9VicpGkelfLB6M4j5MUMUvSKQhwlVuAYRhuh0bAOIT +TwoY7GOpgfxQ82/XXT/WA3ezCLsOnMSoRnBuxYewpgm2abvi1dV7i22C0Rs3nUBJCLIL1L7cwKig +LQnoSPCx7VBScS1RiZMxRHPULliYpaEeG3GkIhSOF5W1EPXsqvE3YbXTDYhERESP6tY6YtQipAqG +cQ8DPv9tgYqrISCuktNciF86/2i1fNk+JXf+/RQUbsLNQiY97YHig2RZ7ue1Hx4GqtfozRDndbLh +Bd73+czrwE4h7s60fzX/2lejQOK86DCrp6wUoN82Wylxk+2lHsFsRrzgWIii0LB4a+W02uJMyVbW +nSR0jGXWE+q3Fe6MriIeI0l/ucqx3/tKPyiB7ycqiI3GhfhQ7ypOj2Xvn+LgReQNkXFZCL3eMKP2 +7A4E/0mILyrwHSUfVEwxdcelXj0TeX7XpjuY2ZBTE1vqipkUW96cLnncA/P7EBZhUQ//uzwrWiq1 +txvx8afA4Y6uY110yPa40znUR5uHkdBgkGj4jnlh6n0rgxP0BMewrCdEm1yVaEtTp3LyceOOrCGk +h5LroUwcUrb8lvsVyBlvpsiGc1A95W7qMylqgnv9gQsYJ806AH47ciUlIQjsDMfDydVkpOjmq+o3 +26BubDF9ak2CQUS9y0zpclfNpZkJmJueEyuAyaXshpv9e6E0XFxariTLG5EOXP9y1zV6AyWuduao +tYQJAUb62AbP1PpbR+4R9aC9QuL+zcXd+vTJV+bOjx9Us1nL7f+KKsi5zV5rg/t6qA/72GodlMWO +WQyhGp4zqsokfIdVGV5qL2fM4qB6ZQb6TcdbLgiWRHKk7+Up4h0JZuYKjvw9BchfNuqdfWBxt/Xu +M55I9QzGxUq/36Zaz2oKTpiHXFxyzDWz+PWpmxKtIZ3KZ2uAbefkZcSSfdSAah2M/2RQ/h3YTpx1 +/J//iG2XmpQQXXIR3qX0yXY9d2AJyhiAM0IcG+WeXIJLfhHUOTgYrvgSO0egBvxLzleMSL6XDShg +u/nI2UOZIxgiTK7BJOFmNPSE4bJcmg4VfX3+7cvX8YPy9DDVCjcEojLpU7/arVVLqvFPBTea9NAH +RAmPcsP2WTuBGA1gUIqlRsy9bJuDb89slkCYEh1WRVU3iRcCe2OD0X+NmR9LwZCKy2JKIpVJZ/oj +DYBEYsdtbAC4+i5zrsAGhxpIez1Z1Dvt+7CzedWHXvjzHVrloBMczezJLme7IRyfWooWxLOoXlYV +rpCC4I9nFmKi6o5mlpy+NlKnPa53z6wm2hgrDs887BHFcQlwlIfZLi/FGgVll5JsuGWKStt9DBdz +O+DPQk691cJ1pIVx8alseDiK8Mg339blo5HB65gaoR8vLTm6symKxePVeDvcYwP9NQRJHrssyft/ +t+XE+QgC/hCCpVKOy0FRYf7RkU9s22VVvrNBQJ4QYyWdRxXMn20/CCC2Z1r3mIuNqGfF+vSjhKBT +7IV9OgV55Ak9TF9Zo4sjKr8eFHqg4cJSfMR9TAFvbqc/wN7jIlbZ1ox3PO9SuXD8wlLuZPLDcASm +lu1b6W25KtPxE2arTGDjuh2iLcWniZGSCaysMTAxCCGA7NjfHWatjzzk8RvB+/KtW/RFaFW10oJa +C7MhpguPzSoTch5mhaRthc3jU5yx8G8h4moXxPvFKG3zyqjmPEm45GvK7f7Rk9w5w05g/YoEaW9L +a4sgFFUuqsGop2bnBhYX0apQoaaFt7bX2pKat0R0CQh/uF1ENQZ4FYVAe/QdbkPQdy9I2kBQugOG ++NoiH+WtImQCOr3pnM1//IQ96xm9hYJWaG3+PJMr5kQoMgTMhn2E5+HVwFI8giAI+x/LKNASispq +CqY3yniIOKIJDo9FSF+GQ5qK2QonZ9F2XGrwnqXNJY1A6I10IioGg8gxwLhUPtlT6G0tJ+edlaOO +unFwaaWVCako14DHt7jpcQIl9L26V64HWZnjMd1fw5ZUH7avi3K92zwRCtVCY3LjktLrKvcVndC5 +79l32rCx4bvaPXL8V/WzFW5MBgRl6FOZD3pZhmdE9h5z9IY16fjK3A+zUx4Wpo2fBNEzwPq5nH/F +yR/3i1/L0pM2gmvcOkxENMjt7wCyH0oGOqcYFNBb4yaHLa6rAS+RVtdP34ZDp4zMJKJOKQmkDL7l +vdu+sAqWjjz08Uca7CoUtUj/T2k4cIAYTQyJkO0SOY+ibuVMqH5qE51a2lLkPxEYGGejM9Vft4Sn +7vEIIO+XTG4WcTN86UYNkdfib4YabQ329IU7apLjvyj0+cs6XUdN5Mh3IpmoldcBPVem2OApNWj2 +fDPkaZ8floxf3PY0UR51iqwlIo+OdMeBVvsh21a61KyVcIBB+AF6W+eLi2ssGREgBRAKoRRSbB3q +wtxUqlAa0e687rIhkC9a6qoo3w9UfstguaQC01tS08YBLVVc/lfUXVo9M3YNm/LCBWF5xh6x26o2 +/O8R+IoGuaDh749GjfN9WEbfi5DixKSYg/5PEXmi187Ho+pEKb7iflZcZMRRT8bX6VVuLRKHRbem +GGRJq8PBmxXdaLDXwxd2TuepMBn5jFz3WRb65rwT3fi4STS4ZujILUpif1ROjV9MhS0UzNZOyFPZ +1XTsKzWUD89CtJ/zT6fJtUEHo3orHdoFipwbj7r/1Idhd25MKjsKWXOLpCnWnlPzjef+vJiL6xVt +S4l6UTpoEBvEtFNqE+WcOD8MJZlhY2TplTn+wJOp1ul0N5LfcMDddL4enLyLDOLpdK1+8BlVmebo +2cmnIzcYHJMSqazFHqS00/p3K8m248w7hHaQ+dJPp83RGh+Df5ndTydWQ0FXlgAaP+fIWGpySgR/ +7tfvy1TlVxxOsTHtwP9YjKLjwJEOe1wDhwrBCArWkHGjMmken45CrVq4HIDLl5/9ml6zXJ1h2nmy +2aueyvBh4sqmr65iFBFb1itS3o8NZx66IWmA51cgogompkrbvf1mhjlWWaS73akbOrWu0J/Hcvvm +fXsjfXHy5JfAkqElXMRDF+daEmPsDteY8i1HPicjUZmF02syKRKv8KIFDy6LFzljksJBwAw/ulDZ +/u1ZRbszR9S2VK5Hj6BsKPuT1QS8HuIOj12MFAICOhGGvossxG8Iug5tJKq710VDw2uZh033Oc1l +9EJqPma/dYVXYul7NLVK/KmISXteTLrNbwXCo+UONUqGX4CfcyLRQJnav+Km/OsjQZzDm/RPbtMV +NXwPcer6THIG/ejohccrNarYDlDfx8TztWQSpbXlGIkb+ql3/dWm2dmbWtpCAFgnQTikcfNTFsKB +MJ/FO06d+o1XLKQbRZujjaxAuntf4zhp2N/N2foph4KTlcgH0s5X34y6m50FsMwqwCtSxo6TAZdN ++FPgI333ktpS4Lhdj9CXUIoA/ZkE0EpCII8JYS7gAqmTxT1OAAj6AbO27iZ70SUk/1fmkEvh2Q4r +g19d3Q2/nfQxlBPObPGp+Dt3Wr48w7GY8rhz4cNdkfvVICKa8cHf99sBDcTNRpwvsGg4J6hKY91U +1eIUaIKkYtmVbp4BxvkTIwYKkLZ1ZsOD104wr4uwfiKkwKl7PoiFoo/PKNvbJoLoG4XPaE7PR+Ew +Q+NOqNKdGfa8Xz8xTurL5aWsjIerSJKVxYijCnE/suQKmplsBEMuEf03fsKNuhGoMw0KkimsmnQJ +OIMjHMdToVKCL5Dd0cXYskmm/XigOsrqD0PO4jWYb48eBodSg2fdIBjULiCpKjkW3dKCNvkKuLAI +1Qmib1lmq+jezS8HgHDL6SEzpRUQzHQiGQDqBa9nnPMpZaZVrzNC97IxPnpDUfY9/hvjVX8bH8Bm +x46I9Gz+nSL1PwcC+hZFUJUkWrfqQwn31HvprASysr26pjw+rJbfJ6pTdB3eUBoqpeIxkq3qXNVs +opDx3ZaHCzpZoWv9yBXCMLMTLFdwQOUJtQIpYBPz/7UNHAyFeI88nLvuq1rjLRNYHE7KLT1AaeR3 +iFLPM7OUb+TStHPKQdJAyeyUdsEC9/mcz7UaS7zB8NFlauaLpKPxMr7kLPgVPohfYLyHVksTqkFg +6ijZxo2ReOVC00Xjg/5NjeDow8POdkLFFpW/KhLCvcoYWfZim8hNJuIpvrCz2jYoTevgDTs7a/Wj +2qPDcCMRosQt7VU4SrJfsO3NeFJ11upSfltedRbreJ3XffLw0cf3X8WgV+rRGwfIBqaylxAIBrow +cZvTDwSYad3/esi9DLN67tVkv/uiNE3gVppLZYnmHl2bOar+uGQzj0/xKbqcQVC0ibEWAE36PBx8 +c0M2hBv1EnJl9SFs8bgL7z4m5O/b4SAXGheLTiCAbwya4FAo8M6ULdLEpqCBmQPgdUV4GKLZ1yDc +79KbwU3DFkY43isyu8+/ARl1XRp6UhGK0zViwBtCshR3/NYqHspmO74ctxtqdSGzDf90PICdfPbc +ryZRCegiloPUWvI4N4+9PftntSFDaZSresZahFFDivEjwOsp3mi39z/zDSZuK5W6xH9ro+Xis+R0 +Umg6u812tPikBrD9hmPwKZXiCrAcKzna9iHbX6xJGg8vZfByUDpiFN3mF35Pr3xlp5rof6pRWPxH +5tVTmPMq43DV9JZRdJzVkluV/feLYAvIsWot8W4fNxho/gc9sa8Czb27n1k0D5c4mMPJPoK1HRHC +2Nh1gGwyAATLKKeeEYRz1VtW6f4NkMPdDs5+9pTjazAOCGhHF5oZEM0eH+SR1gzj1SE0RWR97JVY +2aLCrhHJL0cbb5IBmzMEYzM3sZIvnOLDBLJpj2mOURg4pDB7cm/hxv2u/boCcDYutIyR8EHoAR37 +y6A5bnvkE2lezogQriTstEXDHWgS3QEAP5jTZkkCee+MDCg48y10JO47DvV7GMksP2Qpv8nVgZcE +pCAEpmWA1sMztf5eRnAdggy/TkrW0iict5IZLeWzNYeku5kOMmWzoQ6szBD/iFHLapCORA7UW42R +ioI7w0UKJWGpHfJAIJlZiieR4cQr7OtFbIufCvPI6SlXNe7ZpW+zaOUeLVW/FKXJ6YJO1xuu9ELg +QzyFYJK1ODG2JN/Un+1HcVOIvL4r/RCQTYZEbF1/sYyhANDnj+GlZ/Pf5/V8DytGXta+hBLKfPw3 ++bsWp0cLhge6bWJN2jcmVC6VL6h5RlH/YFnIGGZnYYraeEndg8q50u0CwCj67Y6KWp/xJObRpLsV +8l6VQdfgmAk8Rh7h6L+xFqJjOMgV3ETuRkauJT8yk3VUL8rZF+OB3sKXKF4g/sOSoFfa1lauz65c +XfyocsvfN2qK7j9Wis4r/9Dk+vRe7TWkGiLK1FCqnOHzlu94BiJYtNtcoOhw424bW6xtzA/mKo6X +HaIInaz8UeuinhpJpV+uUCbd6xaDMGZwGOmRMGdxlB5aBUBpE0ndfbnu+AkmuxE8Sr7iccppkkMP +XQ1O2i49GXFpNQShpukz/syULR4rki9+56MP2X5xYPl4KE0t7ldOMqCIRdpJV3qmLI6c31Pst9FE +djjZyqmXW684xLXkw+nH6I+RmICXL1dKf7UTEk1XlvKBFyLMjzTE6UnKxuFjvLc0pQChrDFs6do3 +jqyxljwNbkRj1/fJ4U3Rd+/swgD+BQTKXQhoPoUuqqS+W3+UtEjatggoDy0QPmrGTjENrShwESUc +MV15itiEPdW9mZpVE1GGRsaEoldpZGE2mn/p/9bdUB0WUDjeu/oFFnqFVAXnzlkbAKuyers47Al0 +33tbvbFCh1L5qZcmv3DYXcDoAJ1OV+38Ei2jvSpBmlW2+8yDDGj0ywqbEWo8wga+H2+EyQg1LMAB +y+fdZqXtdjwmMhU82C6e5V9lVFToRhDIt3AXI1+m1+iAELqb76lyFxQo6UMqixleNKakHbFFMEIx +NC3bg9SoAYnRxwdte0QIFcdiP8t29nxFxuKXkURe4/bhKR+UtXcSbz5P7vd0tsLZVM1eeuaDL+lS +oaevOys2PkK3GbBcU+D4mSbRKJrrjzVny2e7qv8nMFGNVjncxI/UhdgCOjS+0ka2M4hTiN+XNF54 +qAmPHf1Pzj9Qctb4uFqwtOek0A7PcYdBhQyUVDKgUHraFNcK4TTNQvWXGS4selzWQ79Zcmje9Zy3 +OvPJ0xh6wNI36UNGqZ8Nm5O9Rrk/LYyPtbk2acYYVAGRo4dwJipUvzZKhp0ANABunBp1Q8qk7Swq +i1tpRqK9hhzkjprwfX4rs/N3iSrQu/8kxtrm8Fq+dub4Rbd/piqEq34hCNxFD2rtjCsA08UM28qn +M8iiE1rH5GygKmbRLqjim1HNlAOAWgtUKUDb8Yz5J/uPy9xArZ5cop5jVQFS/q0d3YsRUyfEdHVK +anI0/7GJhW+efIcFtduZFd00mrtMNbyXeAdf9xkL0muZJvimP1OaUEGdQgz6Xz8bxkrRDllChnDq +KV3hxAlQmUFEaN6EdJR9NTiZc1EZKiZcwnTPQaot5d7t2DpHyWlmFN3zpFVKsrfQHkrX0rZhi/Py +reXdLh+9nE5eqOXD6vagwByBIPYYJQX0pcWMLLYaOd3AVss1/7NHjYQsHeza/MAlRrFBpKQWnBH1 +bmd3FQIFBmtP8lk8btsonuxG8t3wv1KSqw09CjcHGB+wWinBsxz/f4LdrAFkNG7wSWEUHCoq6Qsf +BB4tdl+MYHGnH8q2lkiRFUxx1+6cpb6AAJ+z1MwX/vCy6JhlPPypqDkkg+tSrqPfr2n2GGO6ngFI +AtBLcjaFqomBoNaufDzsMaEcdrTJXJpiL/TWHWk4pRybZckIBZU/y5ZvjIJ1SNLfnxQ4F5a+EfzJ +trDtot4e/D58npXO4jTH0yCCj2VM5wWIgwOOtXLg3O0wXJgwVqeQQHgqex4VA7feFhxQajvuQ7E8 +PUD67ohlA1mf4YPeZ3K8KkyyujLy1GdzwUEOs9KxkKgNP8r6c0QjtH5g7SrW8HY6LOJcwicazP7c +AaMO1fjHqFdHGxWBkSWQNtSg4egIbeyCvZgSx24GgVzqdw5V04nEIAUaosdSr4sfTsGb5frAOBDu +K0KTasgLuiD874nXUPf5P5w7wzDMGzercmEyh8bP1NkaeM6iY8+Eo3hWrqDBHLOnlsrSEJOaoxTd +z2vVJhC/Jamsie8EPf0icATs08Hj4ypAHorfQG5RxGAZKAe1bs2MfDLi+frZ8qGiUgMjGXvtexZx +rSf2VVPcoWa9+qYX+Ht7Lif6f/UPxgGu4gZlpEyl1cEGq61hFYOBSjG0cdCpvEJmWhhEmyN2PyWO +R2mAof0bpdpAJozojRbfCdp0L+9t/T73dgsW7VgG25HHlbIl2E/dwlLg8rt13Z2qmtA+Uvu440ne +t6Hx+4wlJJZsd/dNuQChcBSZRhquMpWoG5KQMOcQFfVEzerDGEoIF123pEQQo2cTy/UmLL0Igp78 +801gR6V3eLZwpl51Aor1hE8aBsNcWgwcLnhpk5mulR+q6XlyEd5MVESjjags+Ll+Y4+jcSI2BAwZ +gaP7fa3fCFPgJe439I4HVuojFGCRqpLFcpH0IuTuAc5W/mdHVu2ilA9vxAwpKa7iU8tJRBYwuAI4 +BJwvxZY1ahaAnyjWHddSP7gFOWmgtPU1IoojgN+IAi0cIBZMPFd1M3554YP18UoobXmHRmC/eGdi +RYPbuuxHbh29GotaxeEzrXkvwsZIbkcTuWIB/O1YB5aVLzeI0jFlAHP+/QKgOd/RxgCb1PFaHPBY +IKbCj/p0o/dObOvkMxI+gUkUvR5h8iY5YfoIN7FHwPCzusz444hrUd9YJItgNi01UVd2Ma7eHQcf +MgHLkXZ5+/FYwoLdbfuz+4KeCwIG9IqkiicCcPYDKrq2jZOi9Y9Fl4+UBGOXeq+LY1liAkoZspad +xQAAkZ2BiG2pzc/qXC2jrzkT06xJo8lhC8qXCbzNZTjIO72bzHwcOmQUuwtamLrPOM99o6taZ4K0 +kPf+waUMrNb0QbmMEPxwN3hhD3JfLHfxz6gOs+UxZzIY294Wbwzyy+egwsTo/HBzBlB+DnsyuWWZ +in0inQ/EWI1/UmPBqQaH5SfkMEOEJ/bf07yaBYUhsuk6N8q4Lh7auZuoZWkqmzD9iOzXRZ9OG6OH +hLMFwvCtXNK6t8Q3ksjoTEzYLqkYmFTtb8HouV81Ay69NeM03338OJJgUVnOYkJU/gwYegrPdzSb +3JT/rTrJ8S00zCQlo08+qZMUSn0zkcd4zH4TfevdBL90E3kWYvXLcPXCYSibaZ7UhuhrPvRmoO6g +ZUUacxCZ96E5eL6c3AiswI3XA6Hws0RP65RWEDCBNGFTMkY0iM7Cx+Oz76ppRIIGCNcn9cEUrdix +lVbm+hFrNBCEzrZY37wigQ9n9HnAnTC0INUjVnQmFA9kufL0hy3zWsrgNpwCPG5RSqM7Ey/w8lS5 +Efbc+qfbbgHu8QSBkQ6jpQQOSwy2Lr9VeRHIKz/CzP7ugpJGT+XFXOU2Wk0E9Opy6YhyOlv9bbvk +/o0QIuV0JhL1qynupsO2Hlv8IZJKG1EpUYtr74+t+ZPZCcdO795JcmujAyDIIZdBThXJ873Y6etH +q+Wr34ovdS96e1FExIjD12c8Y56XRwugLKr1nm5pMlnmFJJw426fbHm7dv3wHzpm4eHVKWQdKm7o +YJ7AfHt5NbrcSKoQJGt+lbf7ZR6ZvZVAiK6/OybPHy/RQ+vLNGIJ49HuuoVoYrYqKDGt3lylUJHF ++BYBkGvQtlQLiqVEQoWgJF8FpmCIh/yVtP4fCNNp0s1Ytu6riEbAnRtXQTQZwYnDVuoSZQ/mYQfQ +djt8DyPnTr+g+1lcy+SqyA65yghgKbxp0e2tG8ZnNoW3X6dWVAaxjPjVIFSVUmCJIoK/1Kpx0ZkR +CIUHcZi+zLCU/VH9+RAv4tmtkIye02a8NbO2KsfoAeJmI8C+G79x/RMko+D1PNedRXn5qFC7WMbz +HMDgl7+C83kFHDhUe7JXwB+p6+/pO0wxXLdhWJtWDVWFeW8zIXmCp1AOy7MW8PkbMDLFRdjsvIpW +pgTYRYx+O78m0k/MVuFgW+KoQJF31mt36S8gVMSwWWfATE0fYmQgZZ//11KMTqDX03vJgcLzg2pX +/nB5cREtGt62C2XyhxVgQu0TYSWmRFWiD54QcxD3uG2Ty+YP78kE2apAIzfraKJZU5XAcEkD87VT ++gby5Ro21pcVyWIgxd58ji8SxqcaxaE44aBnc8yIXDfnQCVKWa96RPAvMG66iZI5d6YoyXVB+/fr +iw+T8nmfB2nZLLOc8KlI2jo67rVeOeBEJZjTooEPxQ8wCHnvKQtwK0kehO1CNmvOA+MXDoBz7BbP +LCH38EedJ5Z3zT44Tv3bo6C3PMOwVEKnsc+go8Yb7XILTYi8QBVB2B7t2G7OY64R9BHqRmTjU0LE +kCEFMQxk927lvTqABBDdwoQSk2VKoBWdc8i5pV4F3KEM6fnbyP7slg3WTErVFaDCzlbZIo5QiXTC +viL6Fa7c+L7w6BXBdiaOOt+lDc5Fk4vcgXeHPOsJQuSLOj2mwBVkDCmrdH4PsWYJKg5JyZsbz6mw +lpS0cU28LMxE8iNYgXIgXUMvOMGcMjf0S2g5pJ3iPSkBKd1ZrLyBrjpCzkKjISHaOpGCUOYWzNRW +c2d5QvzU/0WEwtXKDEHvAXbeoy43eFbXpZA7JNYnfB94NltAUCjRAWYADldrJZ2vmN7q5nA6Ii6C +K1a9eGBA2iv1SW0DFkbW8J74TxZMJU3IjoX8TGLenfulDOoynoWGlFQpBKgy79ZBNSyqKumT5a3f +7KF5+gqelVwkNz5J7PudQM1aH7l7sgzB+wICFKdjrLxcJBZAQFCxEgkJe+nEBvGfpB9EHWEyVsmW +1NMtqKiNqi8gxK7aTblvSxv0YNH2KE6FtEj80rGR4SJpW6iP74QrVvLNaTwv3HbEY0TMdZe3McS1 +1EvV0L/9P8yIITL+rW7HRUobQRxIv7+2gvN2AW3Clrw1D8NdsSAApb3SkLaS3x+nx0dpLl9pBWfH +0cGXRxwSzpE+kA4lgADvVLmO17lm4+f/TO1Qqsh1Fpr4BtNnYGrRSTGjQsWuF7Sa/7mfR+eWiMEI +XxkLF1ArkE/NVDReCC+s6/yrfjnijENn5E2qionO4MjxX6Ln598kz+CMtWEEWRQ+PrtjLXf3vs0b +mNZfiwZK8jCcE93C8DsYeMO26wbNrAXDST886dB/lLVyUGoYrTgXt1yWPGmX/jsyO4+DutEsiwL2 +ohlSD6K3Pq3pyF0ljaU4jcD8LcMD22fBuKoRG/Zt+N1C3VKLFaVkv1Cfmkca4yCZn4K75rbU/VPd +fvE9Qda3ef+ZOw4uhWrKxxEy/RGyNA/D5p2horgkfHe+nt6Cy6N7G5dNjF09TS7zDkg9cAEh1Mrc +7iniPQ+hL1odDZ4NJoJ7mPb+9aRllNKVjyJn7oRF+gvrL6L3nUtblV7gL4Ech05XnQl3NlN+B1lA +V2Uli7K3OLiK30OVp9YZBX4sAmaWn9CawyQx7fw1yVVJF2JpSP/fSjWVpViPtgNHDIhN6W2IyNMk +L59Yj/TBxmA01a4V+XUjAPI4MgzJk6epIJOoh5QBJmLiRlA+qJAzAVIA8hYHfRxEt+q3p0GydxCS +z0YurrqdoFmGyYeIQqghcZAY//HL2rKmt5geCFndoo5KGULYYUmgibwhafeSNQEItedQm9lMrt0r +ZfsYgv8sc7v7JkfSLGoWBl5qDDk/KFOLfmOHfsaEBk4A9BMaBx3XNOgPSdEeESNm8M1R1okWPDmk +qFwa46nW20GuHvKwirXErridwvtNOGdf7N+U/BlEVQ0YRUToGBhRWQp3wtGvsomM0nZElpVeSZCf ++2nROZUEC5cuc6adL55zrRiNrwFetzV5z9IROubxSe+3i/g+zJObcERVr5OLWl7WBKm6zaeKUZzG +wbXHxE+lkMZiUl2D+pKK6in8MOUPLP5E+bZsmLi0txlJFSu01tvn80Bbt3fpmfdxLmfa3toWS0z9 +WOzR4+2QTmS7C1Bm2SyjBq+a06Tu6iTfx3LxyW1lusEuSrVPAXHzBG+rkKJUwE/NikuBDvyeMZzM +RFmYd4mZLdIz+leMKreOexZB0b63JuFuO/mNOYGGEOIjwxUgRuNnkMy4N3AvUy8MizbNK+qcObdt +TJv3X75EYr09CE97ePUYQkrhOTQcpc19S8B9xDbkp1EGhu0pBSGguzj09xaf3gCztJSriXy1eiWp +tjTaGZg52adynMcd7/k8zfznYpbcf5Nt5DTct+bLyOMNcAi4zgbX68RMf2sV2AiRwbzdmBuUu74B +NXaAymm3LZWDaGFM5/OV7YP0ZWZDiLM1iMF4u9ZEmgSVX0MrqCBNEHb8AAbcuA4yNp0YX91JFo9g +i1yHUW/GnDug8InHbk+wNy9E7dhnYIpmc7BEpHpLHX9t2CS363P38CKy1g1cZiW0d98HqdEWGuRl +im3KQszAEDrvvR70MG+JNKCeBgFwDK8m7jrEV0/hOWIn4uruaSYuZgChlb/kBzMjP1MF2vHHcNCM +MYPaeiRk2cCEHuXHjF8c/z26y/quOuzpj/WfKXBxJ3kRk4uRBtyjcGi6Vwv8s0FMBrShtNqF+O1R +1jBWvBA/CJD1FF7kWv2HV3/krFrf+GC25272lgmruajiDdhDB5SKBl5CJeW3Rc7mRfSKUt4Of1X4 +/ORvQS3wXwZ0eVPKm4oL5fqPpzWP6qbYnPQZz9kQdhAt9ztR2hrHEpgukHAxO7iQ1L1qizAFKmFN +rhAlFAeanMu4B6PeUcXeSjzUDPBqt4ougep5i3Pue98y7Rj/RPfSCLCEw4bfaLUgW+nzV05rLi8x +eQOmY9DH0CBHNHiRjc0yu0x9MJu5ThJBhTE8Y4sqKtWeHHHQXQW9WdJEzWWCCRYINPxF6RybXRD3 +3KhrHhm3An+0qnfPz/JBWSeYHG8aZNfZF4Ey+WSvK4QHEtMAZcL5H7mbBg9lIZ8qC2CaOclz86ji +tbgrlel14ZfWUDhhrlwjQz2C5ABMLsFUCL+6IZTB5GCFyILJJ73TavaB0aKAlXKQwDgJex2RQJvr +p8P96l2G7xHwqhPMmIOcBz4xgNTmGWhlCmJb/mUQavL+pvs2UxfoBFfQ+kkG0HYRZMoedJG3wEq0 +5FBShAQFeqT4cSxI05tzVHCho4RmGSCBeDxfv7OKG0dTTtImMA12Y5owAc70RqH027GZsJL+/W/+ +zsRCkyqmKQ+MwzXaS/NWEEZbrvIwrxDO3w/vfy6yK9JYJEgZ4TYeSeaHfYVglJ9dfdHq3sz1fRA1 +9X09E81c39GxnlWYXgVsUa6wZMpIPcd7lUvoo56GfSo4JF62z75gSxv97ZZSb4CmENKty+CmasoF +HFYUMivUE6UlN+3eMGSJ9XS3BzZXD6n2h5QrrsVPlUMWFJHsJ8/9kY1v6tIU1wxM3jBAFp/f9dB/ +0h7htWh472L6dvt6Jh03+H5rrbXt11AkScC00l028N7VgToA2aH5xsxTr9HgmJ3zzSiK3NgIiaEY +msH5zV0YcFLj/EWAm+r4WMlClWHzT5gIgUTv2/43G0rMpuCNCi0s/AN79jCHzTEL5Kqy6jdR7yH3 +T2aeI8WVGRrlNn1FLCpGLTLg1ZzrH9cH/R7NH9j3Eh3O1Msog2yFexHBmlpwDd76cO0NrKvMatEr +ohVbyalJQ1v93NmXdPHlCm9Fa6Q+zIHApGsI8V7phAIYjOYCfIQUSx4sikza46DwWvMfgVlzfjgP +G4TE3m1CIFx8v/Af+cPJTi/3RZ38zNpDPZqgzJs+K8SZP16wwLpTn4by6jjH7gruEOnSaJAqb0jn +88R5C0VL0mC6CcbvgjYH+UEf424RbSYZry56e3D1hyRhBhiPteOgJxhsAO8z/Y3h01alnvXx1Ap8 +KA0/OxlH9oVesmY1XZk94tZfIvln+u/fWRlkAaEGkxL1kBCXjD5bhS2eDpt8y8x6czh4yAiz/IxJ +T29Hm7MIr2fkGLYtY3+l1GsoMByiFNlqvsW7wN0sVb7AtlAnCAXuGvdNbRYCt0GWNn83VFNFuApb +5c55WzMWZ9MXpCpKArOcTmh4NLn2PspRXiqMWHaE13zsm6/0ciOcdwAb2DHZo/F7LpLLg7wcJhiP +3zshN9kvtIYpa5Z8LzrUhImCah0mwHDvpz68IdlnwO2kyDnGoj+YU5r7cLbyN2Ttv4wwu0ifDmUH +9vWDwdV1QA0I12NjZFXDu9/pUHn9y+GZFxk4o324yLNFhVVcMZbxM1mkC/ff8UQ1gflLuOZ+OpWx +2trTgNkWPTwZIIX6WnbF7DcNGe8LROAthxFW/q0m+puL0g5FgifUbCBnWmfhL/a/t2Akq0XISpTw +YL2RFCm1iJc6dcIekgmaiz6mEp/wg4XGCMkep9jni/DHq4Ji2Wnp+1tkwoJZ8niGxjn67zV+lt/J +47b6Rkwk/LwFSFMgxRCQwufr5ZeX9EgAWTnFaoDzbxQwgDmGq+BcikmKgbMIuaXgsDrKsZ6+U5ng +H10bedOMVWicZSbFGAr9dOg9mZ+FpxUqjpkFtMQjjhOX7Ae2VuVxvxQdErO2v49qXxkzyQazyaFi +/haXiaUUahWIlkemx+lck0GPk/UaNJlrZiUZhba5QjdIrH3K6OHwOQSPQFMjxtPE1BDFmwhY5b/V +oEj/F4Yy0OTDF4giDFU2+lHDvSDw6358eyXnG2RduuRSco2nkyQ0wvKzY6qOrMlCwrYx6QqDAJQr +9ZKWJ4lBBThaBKeqOCcnPgo1bYbme+45KX5REW9MggyExZLiVNKQxaNj0TjWh2RmTsX5sEgvTJMb +22KXs130ciejPjihKFIzzX95Tr7GmW767PLoKcoFaMU6hDaExP8N/UpwOmp9EgCNMFZiNR9tqa3B +mtqMeCbCZVcv4W9I0tOOQ4yL2cCWp8mAMGFAszyoMCwfElJcx94pzq5z1OEsYyXgwOa8T2wrBWSq +IFfT3zuWjERfWV9rSPuJRjR8noOwUVo7ArMux4igXZN/ZutUfDsn1Pt32ZFXwLkuSo08GelYx6pe +M+jD23pR7MF+8mjZ3Dl9vmR2Z5lOJYVTkk2pHmZzxE06NEj04qKPwrWVOoXWDbA0V2YhW8neyPrt +5ruHQQ9FuDmlgHHoQlt4HfoRLwESzUyhUF4SB9Yc3Tp7BhjCBjtXTVffvcDZAI8I3BY3zDC3ABYm +VOQThJWJSWQhJEco0KPKQFt+pRhcB9/qjTy+BxVea8iLo/BY3oqrDVw6GtCf4krs7ZjJHwte9qqN +VeakeyEI41YGunkJneOzJ9AxKT4uxNM71fSlppmtuL82QUVdKCarEf3Ot29+dDhH/cdu7JOo1sSw +dLF6sQVzfuVuVnQDmX4K4JdNNtDZI1zSPaLb9dqmVwHSUR03aSXuwZRX8s3WpSW1Exqya1Es2mDL +Ah+C8aRm8kIL4TW6grLx/c/J+AJmKGyDIxwAYtqhtIsI5Vb1GMcwwyZnavqMMIDjDhBqSAjXvwbf +3Uq0bVZ+gA4Ch91L8gd4u2HY3q52Bc3v4bM+lnV4HWXTSffqxeCZN2ui94aU5zXpbrW5+a13VTSv ++vsRWhHgfSRWpPCEJnHqumpDOuprM+JluEswESN0q/24KQq6heLOSjc7ycHw3F9iONXf1v3beMaL +BbhhzW9dxoB/21sOJdxQcBsQvwKBy8uTyPRiLGy4afHsS+cOfndmXMuPXo8/0ZyESj2IQrAnryvi +hoR1ITB2fkkxU+qUaBTe/H9P6R3xM0zTKLPCAgWERlmT67sXWjB+mUr00ObhSV/o4tQr6ncVcnlf +jLCP2dN+hownfJCIpVPtBI/ZUHfM6KvxTdPnKJgG412rX9kp7WFv+N2ZJY/xILmiqzZjfq+CmLe5 +R2Lja+UHCXkQm8hLTErV0I8Tv52W+aauSClspZjh18bzRczOZhxetviTJ9mLCJr4VEirB2uicHv/ +WNLMEIMFYGhZVZUjVYERwitANRNnA25yowglgxGklKCg8ri78C99h+mPsphjTAIUwmW1+P3PoYwt +OzdWB81Ff3uueDpHLbVbjpL15LISGZW7o94xljr6RTMgc2GR2wgrJ3SyhHRocV4na9thuFnunINv +JWZgM7+F7smFwFJXy3pu5Nhilfwi1EMC/dX/LCS4lhrjuAmN8Y6B+H37Zt4yXtO5Npb9GzYRbgWI +q8XJm0m7n1DkgKi0tLEAPJ2R84NADFLDJL5FASxO3FSRO4Cn+8eVCHWnHh6TjWyvRSx4jmXUYnQL +hD5eF+ufSPNO7a3P/M1L4N0Q4kclcEvqNAO+TJQ6yEH9i3xHDafiddUbqYWaZ1DAEDp+T/uMlDnp +JiCMb8GkDXY4GlWh/O6u4nhiee+nrKfwPn7V2lF8rHYMSr1aK06zRy7uQfUB7UeSnHJMIDfLdSY1 +dUqrMwP/KmLDfvy5l0k00qjxY0+SBeLG5R7QLtixPhOO4gyvntMUD4G+V/tWdMUmejrNPXPym8tc +AcjaJmoKXRMh77MaepNv/nsZ58/jOCE7t1917Znk0YynIl4GbUIfaHVeM5JtnhyoUBdoduwqpDUL +twLv3R+RWYVTwhGKr0qxib8cea5QqFBZkZh4DMnHDdQRqUMGOzjh8KmcEFYC6+Qgs69fiu8dXyaC +/eQGriYBNn7Ne7J8lBSY6Wxy/irTUXBVRr2f034yd4CvC5Opsk6pM89bDmc44Yybpfz7wtmjZyWf +k/mnASXsHuktt/E7SJkEtAtorJ71EROO2S0p4BtfmTbc072n1G3AjnqJh46ORpOVMQkM6/bVsK3f +x1/G9WxWAoPZYBliHQ1MXcNU8S8Yh8jFgSYmcr7rUh5yZaYMBP2fy8CTKQmp8hcTgKS1Cw33kTsd +6JHpwP4DiOGKxAMIcvInY52eW+yFLGpYzWhX6oZR8Nj88ov7PkxKLX44I6qeUZs9Rgahbp2ShBSa +CE1kFzKiulALk42hq996Ik6Uzw9gvlSmgI0cBdBy5Ugwx3H6bYV5oY5oDAPfDKSI7Zwbk8K5snuv +C2lsL1MTclZ1FVNfcI0oAdL62F01YII885mT4nDH2JRnoQb2tP/odGAFTpQJeGlSv9aNx1GipH/w +cbhBH1zDhFZTwlbpSwsgc5h7+7d3cD1R0uF6dnI+hjXr7Xe1UY3ujnbkCn10NnfkoU4GfDz30Cqs +TqBBQP5gxWq0xmF/vJbLKQE1rCB9tdilSoH9LxzIzvi68Gia/8xOlrNXSCnS1AUXe3ZTZYu7OxTL +PIEyDGTpwju/uHqLXCqTxO4n8QCEdxRGp8DxqEwJ3BBzEsZQmwtv9ki8VEJzozB6EEi1JWv4QP0L +fq5eBc3+XnLe3A3w6w0xmqeAyf2T2lLmvRXoU/VbH/rrSVUIWkUZ4l8H3iji/DpD8KhE8oTiNkA3 +8jJeL3kyg82jU1VNIf1zgTNd1adidZTUAkEEqCSiCIKyHN7WoWlg4ndCGBtnRSWozuCwu2ZERV43 +t0QqWD4tDLj9SXMC+rmsXq9MehDWbCmMhQyMbgvsDgwmRP4ovKPZg20NkysAi/INGrKefJLYQFmI +E8/A+u5Jy9iJFVHgMu95OCgSOBNwFvS0lyhP9tS+IKQx/+7RUpkQMuKU2akvYYf9XAVAfYnaV/gt +OdQR6JBhc8b5m8o6yfLvXubEGtt/yErOZtHjqQYHGaY9PmvND99k1MmJFWOYeEJpFFaK5AsGkbiq +PzFgMGJhpu8IlQ+ltbMvt4fTbHiNjC2D4JfGYRKcbXuWL/Xxb409pyeRFnJTcqQ+btclPW+uP3ku +rewEsmwYuIo8SpBFyxoY+UM4IuZ1fNjdfUNoLN+U5E1FFex0s/SVDEHIhy6lbjGI76nE4l3ycvS8 +YiknQhlr3dMYv/sDezzrFTeLQMNRMhMy0G/tU3A2TLWjvScygf9Bbm4yxf5u9Sn2R0//ObxqHYAx +MoSkxCL+zwlrYZD9lAeoNFqB+piFLriMRM/BjbjrqEHDH7j41CfwTkhM5Y+DH6zPBpt/Mr8VHARm +B7u5MV4ZL3YsDbsBdoeZgWaDqSrTfU6ILi7dmTq2dIRmkVM1qXNQe1G70WtKtq2PuFfArm98z0Pf +sAzkdXE6l6PMJKGwpONv+fTH8BtcD0cuVDTHi+lELNkAHKxs5EIj85LeZRaaNKVvWqe5ajnlRouK +0YEqITfgQHlqWRer2dW8pAJnWN8KrM/VqQgbItzJdPyqwZpcwU1cuhK/J1oOetaiixv9WAekyLql +XwAaiCHgHRsp/Ft1xQH2cq0QcWx1NF2+eb0gjyX9A2Goq81MBuTAJ9oNPZLkLsTdOVp9/sAMflyM +xxzxZUN8DtQuGh/bmS0cfEGJI4xSglvIvxts4lytjuMWu17i6m60wxJKDtW9Y1j03cI9mBFBUZ/Y +uXkK3PwX1QyJHX0l79qXh6VeCzXN+pMnLWC3y121zpoVR9Nt5+mcF87qmRVX8PPv2H+w+rHDLZrL +SjxxeGqBX+mu7jwEEKwGS0sN1k0TwfOARPqofuzxOlymXLy0U3TpvFIGQFWYXns3J27TRUyRsq3J +p3jzqq0xUsTWYbe8JSJARmFXmrwtTDolbJQYJBVMNadIYYj9uxI6KsGXIAqbKcR89l/LnFvEPC2H +r8rV5fE2uRvCch7Fem6diYAFpaDaIU9tn+wXD5DK7htz8BdpB2qc8MRofSnMawXOl45QoU08El4R +e13C5aW45CMHlQ2t/6bE5QnAyBKpmDdXCbCh5PLinMBCL7PKXPt/g3SvDyuXRRmnBYFyfg8vDTUq +1NRIbs5oEfn0o25RzrbVBih7XCIDJnygPrxRdR6ODK2+LcMnTe/xpFcSG4I2zF6cw9erx0W0H3lc +u5B2OJDKqdO4vSP+xWo62g9nRhRIViA2MScRV3aJUSxssv1b29s7Ce8IuXR2WSOk910H7FpqtHYg +vr7Z1RQuo2eZj40dUMSo1E1r5k9jqypHs+RMqreAeLlH59yaXkmLalHBXuwZjER/wCa5tgorjL/X +FPstJwts+a6RQhaSJfGC7quN0jKxXPXqJORz1GE7/+Du6AT1+WrYQY6zLX6fBtvghH0sOht8s8xk +grnz34Xc8ZSws4LqNNuAqVQvSic7J7oVXgNzU5b86lMQGWOXy2fjXWrIEiyTK3aUlzngIQ20kk3C +YsNXBzY6xMduKUlWi0doC7pG/vv0LMDpjsByFC535EcPl59F1XJRLbhK422K+5GBzAN33WIJtOqE +7n8SCjtt4VXfaeLMuY9jux2XxWLJ97vssssrGPgBIQJ/sf2+XG3w3PMFyRudFwnjv2q/lZwpF7ei +aF3G1lgKxFuJ2HiWFNUBJIqcbkGn4DYD8x07WNpMTOk9LQoNI6ou3ElcYEBISjehp6UZTcTCiHPZ +4+ICA+T27VbUwECpiusVbuh9TX0L5I2aXBcVl1WfJpzAyuxrUZxv2D312EzMY6QRq+rw3YfnN39V +H6/6KAl/YNsVMPAeK/YkLXLyfED5AwNoQWGvOecmDZ+dhLWmyILeC5aLGHfXgJKGOiR+q7o/4Odw +YjkP7R/h4TZN7QmSVKLeISc1IwHV4MdQf7pMoIwThZJYTOJxV0iotuBHsPTae2FC7cE+C8qNVJRJ +5vtsLUhjn4xDLIQjZvt2qu3TJvcIxhtZNrvGStzei+XuzYZXWF9oA8lexmATJDSnXm8DuFNfjfhk +teGftYIpA+2O7TLnklrDc7COCX7unbPiLncuT1+BULwp3ijYbo4VVfy8oJYMc1SSVRhqYIu4ubu8 +pRNiJAjeNfkLfrz1P0SMYRnwEHZVY7tG1npjXhmxu7s4lEEbA5LjAljO7r54yDxXRcnX+OGs14hw +0P9ujpGoeo4e4y/x0ckpI8ujmUnrTDLdQ3egkVocpc+2uTqVWpAkPl6AQNhL6J7quW61Dr4OoegP +04l0R/7syIu3gHz7KroUldOPHLOC0hYnZzHN8gPBu3bTvPh5dt0msDkbjZbq65mTi55xIuBXWJkh +9M30jPS8mN8xib8xzlHzvFe3l5LhloXMaa99c6WBDmUWgNHeamxrFsvHv1BC2SdJoSUwjA29Fr2r +d++fgTTVxsEy66tA823clbq/qdQ745xSpx+zCYvCl9u2iJATc6sOnhf8+uqhAoMT2t8XsPDX07AL +yI/fV+K+zDXoBBCjRY0sE9/r/TDyiyFe1tZ6TJ7ljmxivI94FX3u29WkrUro7o01VX02iDgeEvtD +Nlnt7CKLrfFahK7P/YDsKFWvNbd/cL5+wCUAkVFYi5jJNtHByMW7R7IvJoTkjkzS1xWwKF4aNTpC +Be29/y+YU+RRWjJYz+8vmnu/7Fx7EJSovdfmPclSfWhCppv1RmGwYB/tbzm5emgfIfTnO3pG8pgl +hh7fLc0s2EE/wQMW86p9HIOJ7Ltlg5N9Yx2o7g0uAcQyUwvDbTXOYUlt5Y25c1WgUPersx1pN46j +d6HAT7sFNOIRhoCNrqji+8lfrJD2gDX4fT+zklSi7Yf5uUb5KhpYr5UUarZEgecopAL2qGDz0Chx +0kyeso+NgbaKwCJujFL2lsizrDTkOvPHCNN3plnt1/fmO1OgF3ya2PvoxNq7cBAkoR3PyCwdmhge +bKtxd3gmsFh7EqAeQ4SbxGc/RhJXUViUwlbWtFaC0ez8vTwxpia4hp2rhkiYpkxIkkHB1mTn2vjy +jtVHfQPdR4yyL0YPQmlkbWBSUr7l9IDDDG1uDi9Zg4mfNvHivvcXhAIoIGGOQaQR1CUy9DLTEBrk +cSVtcP7GQQdBHamaRhqUWKbfNL9IIl3JiQtgTZ8slMblEHDyPaxDSAc3+LSyJX7tpDiDg664YyHL +dHOBQdQySvqiaVgPIwKGfpY8lwegu8lMXTpQ0+LqxOcUMswBWuN/WyW3hztoOlfi2mHmQ7t2SPXp +8TKFmx5gKbg6N74ulX6DMqoaARdwN0EWUVodSe2Ur4jizRLSFTXemq4V07d4QXoEuYcp089Dpvt6 +KSvHQh6ECBZI4K8IKcIJUh4f2ATKaFrc2vGApN9cA6HJNVX5HgCvJJh1qtT20eVytOsZCpW0Et2z +wLe46s8Z2cHDkwjvfoQMrxQrFR0/UECCak4aGCipoYu2h0OYly8M/OVdNHLPQFTLbnH3AyR/67VV +GsvQjHnOk/dgNUtE1514FoIdnAbdKV2Q016NRK9Nrks/kdJnGJYvm/D4ti1pOwqP2HWpVj/iKB2u +CdPoodZxL1tj9eAKrOx41CfnYO3N0G5GRV5XT0XrkOmvwQo4KiJVrAMC8V4IJJjXkHgZpzkETkNU +V3gOSRSTtTVrGQtCNPHUERnR65j0+s6+Oba+LI4cI+s0EcM1xtv3jgigVJ5dlVi0xEDxZcQFxeYV +bUwk+bXXbDdBfpu3/kjwHvSuj/+bmNlg4mhzaVMbEEQo3pd/rEzjxTjJSrLjte/KUv4lt59mQIMv ++PCTdu+vOjO2oAvDdNIUB7GVjshNeZB1QQVvKhAIDF1RYWZ+B+ZIwvXeyVjvfQJXEgMhtkW9uS3z +9lH60f6kiUCSO59oFMVqrLcg/mXQ++LiYbmP68hoZxO5hBfqvzAaTQ1zZw6Bm+3ZSLmOHmeOdiYq +Sw77hHsm0B6rXmSWOhGN3ryYLMO4Vfjg6sklZsgKX1yyJTHnbuF0gB8WEH5K+Y3UUJTYXXrnOsVh +DWGXNBeBAnLEzwdRs9/+Wwur+0k06ypFvdGAc8jrvsc7NDm17HU4v2chbjUjOP62NQ0mukyED151 +HKLh2w6awCBEIC0ZoV6rptqap1i8haiDPFxiBoEml2PFEIDZOn3xJR+LZlHyib00IbDO8aq7Ck/0 +1oXZi2b4coaXA4tRVpE2x6GMuZ+ZNzNzLOsCNf+AdkiP2B0Gm0Fw3sMAD83giirzIif2RM0E828Q +Dr3M6N0FZKVKX99cxWOYFZHgHM5oP4rqRJplC7txfrajj6008cbTIpMkmCSwF2K4zuaoRKtjBDFK +b9V9vpVxpHePQ0jcsbYFb998vuHkDP8IScSThOwyggya2fzcn0g0CbJcC6Rj67phpgwgLSe72+Vi +MBgyip4M8TsRqC3uSUP/3FrgyMn+zomju9yvwxEikiQcC1Pa9naxuM48tEBsQXcE1u9JqMc17nw5 +SEodVuLgult7itDWdJCrS5zpcAO3rxi8DCJDHbr2c7Qb4BXuA3pnYQD/FfMtQJ2dkwebBYOaBBu8 +IVBUopKI6KNFOwIqfpecjXzQ4zH4iSkiBwiOmLu+UQ+wAViLwYqPupqbHkOnxvInN2LOrQndaKdK +NN3W4BZAagx0h+K3Qdo0rv3qHbdLEKpSjO60XPO7IxpOPFZAm5CK8W/mF3zD3d8GdGEvyJhgZ3K2 +B2nrk3jtz6lBrgfG82IGtURl/5twEGhzWPRQmFHlnbINqH/nsEbT/20luicWHiJh+vnX2K+fV6aU +qMQKjF2zw8kb2Ds05ykHYmwyjiKfSSWliyNT62MdjqVP3QS8BK2pqb8QxvOVBllhRdr4dqedjyiQ +/+nQdeqXN16IR2jqkkRzeZVsNUwKUVaxtJ9QnI81QfZQvGigx854bfAPogabos9t5lLoieGFIMdo +QQWYl12zhyWfuqqfaN6rl4kmoS0C2knme0TesMC96+iE17YGSdOTFTH2Ufp2JwperCSwaBHXh12n +BvGm+LsP/1tk5gWfh0KEIruYj/HYkOS+Yrve0Plb1AelDZBMWoTtetImlERWlJXR1QQ2qEy3qaJ2 +PEFHlvLLO2d+gJGnMAzIiSkPF7nA4EpiWHUE4RvckawLdAQUPDkBday798Vq99pXjqj3FWk76/7w +nRBvpjnRM9VyGTrtZEvPgaTGhI4e//1JKpuGKpo2aheQxeMTQdXw6PY0nFuOWezDvRjwa1u1h378 +Y6QPL+mau3EdSU5Bx8kfcfeQwXOdbXlrg/nmC2kgKRFAJQD8dk8HLYFU1R1QS0mq2A/S5bXovTTT +sdXkYXdU2RkCuURhupEwzH128LkOlTU+8i5ajkusAUb/bV9GbFRT7upLrKbduw84WctSOY8msAQS +dvIFZhjg0Kvat+HFsWwBtqL/gpjNW3pmcBxNYOxiTYYL81CuYHrtSzFWWhPba/kXXEneqV7c8crw +AhZeddOICpwF6bnM90PqY5JWJ2J88LDnLspO6mOry2LCAnYHBaVHeX25kAO5SlqYuInR3eRJupxg +hJBOKFV6GR+e1R15R/Ta7GBDRCXWmlpp355Ksq45TT7ciGlxekk5yEaTGR7MKZubh/47sZXnkwp7 +FIX5KKbBkEan31W6bhzM/AIUgowa11+7U6IuKf10RLXgcRJYamrykg95FfXFJz+Z9TZI7/mnALW5 +QDHM0sk7SHehqA+B0aP3+35CIY1CXR/SffWZTa9JsZKQXL7R02rUqYnamjlX07ZFlAzKBaINMlbf +Ys2QYdxnfIDIRfcDCsL5ZBO71SlZ2QXnYSElMBIZhTKrlR1rfkW08neGV6Ti8itsY+t66QBHd0xz +lOKtiSckPmyoClVIrM6jdfbT2VoSM8XPWSsHlcFwSDb4zjbmOhSdxDBrZlDMZwbPImHlCDn/Y+bL ++S/9Z+91u+prZWHLslWB/f7QKVbXal9ZeCrTtyiBClrA7oxUvg01H90DelGQCzgH846aJUz4ogMI +goniYLmZje1N5Z4HrwV5mrA85v7MZ8+sKiCW7vS1Uw2JQhFgIm3Z3fYxXq/1S1G4o4yPJK0lSbi+ +q8d9NBEhLWapnE6l4CuCMqy74wYlU+INbKdXcPcwHPg/slmtuBJ9Wtvcy+lATG9sDTwVXmxqR9Zf +ILsGAr5kXETrkOLYjHQRiwDVdbQmRTt9v7Wm+JveGQpDaFIxgylT4b1bfM6KE1O3vHuOEhp/FM1n +n+pTuJZmQSYDy/QI62ss9q0jfylnqVEbGh2XG3j7NQ3HfEbN5fjo0z/K+vmtxWY5qzaVxXj9atYr +25qCB4lN2MHGd77mYddbeolkovSxVNViH+Xr+dwR2Y6E0L9SVQ4LWC6zYS0+BbVodC9V6SQIxTa3 +L0vUYwGZ/nEPpdsNAKcwyEmdWH9B7ydVaPwAJcYEb/apFodcbL2i35ixZEFJNnuQNTJRf2a3uDMd +By5vUcRqj3vMzFqWXbW1Z3GuBm4MDBS9IJ9MsX7xmsbZ+vqyUcU7mee45tXK5+Les977qPG2kwNP +dJhpFH/6EFg3WaC+mAnyhdGf06xZR/LWnLo98SS/cTJz1QnDDZ0OU2wfKNuxS99nGmssaAv9UYH8 +BvuU361sqBZTMWRQfiWFFfYtU66P0+AtSwl1YBvCsV5in2OhO5qvLVwr3YHnELoCGNxV55KjTk6l +0QqR4i6v/AWyVXv00vdXDOF2wmf1eTf50M3f3fzlu59kHrsKzDAoCQgkoliIucoJtD2ykMUkSr77 +O5UlszJV0hGjWrKbXJITkwo2ItHsrGWPv6LNPojBDdNDofnn3giJNc4e0Pck4pCLKxJKhYJEC2wf +9Yt76MQf0IwvfARZvQYZi4lJt0QhNRFIVTXR6RWNQwtSBcQ0tayI9rhMdVomwchizw4ApU/tsHCO +fuaYu5Ut9oKU9iq8H5k+nnR0TtxcghUk3lw9ziJQHJDjfcuOTimQzhtnJOsfXpwnAPb5b6xqewiv +9Iejpqg7vi2SPUZXanxZladAV3BRTJPUzFONuqO9GhwbJFpQ2USe0FIeKwPtaJrFsZLutnGEU2Hs +K9R5lR8Wb0/D6aQwG9lYGqbWI2/1d87mYLL+p8fvUyqHrwoHXu/mJGEWYG31enook5chbK/407oP +kzkUUK06+sd5MNzVB46qh+OwJdp75Qnf7zbL8Lnu7xqA4FK6wQDeExk4lhEJa1lY+XY38kIFVPKC +iuv9Huv+JuH4zOIYP/13uopAdz4x9BgAo2GALoYNdgSAlvRQ+gaEAO5i5ykRgBrMmB0KZ3H32Fdr +C1MDaFP89foTrZAa92XyPtI979kQTQ7zaSHEMM86tQ/u+XVKeFHZdgr0ShkONszID8Mm9RYgm9Cc +oKyerIqaa7INmN8/27SsLjcZjcw6a212HyzG/iukWyVBDPXSKpjKa6iEIAfd3rssD9lqBIQBlSoN +tkqCtap4IZ7GRJSKy1W8NkUOIprhZlrANwJLwPnG6K/99JrLB4wSJXy+sc42uC9I4kjTBzlW2ADo +1pKcTFs3C/otGkd1WoQw64xLqhyTmUfkeR3GYYhe9sr+XJOuNC7zKV7mQ1mCXL9TAonCPFMyH1tr +oeIGHl0y+FuN5Ieu03me6PRX02yRGGOMvuzk6qrABRcGwbNk5g4bPRRk68Pr9xoxY6jaPTsDZ5N4 +QfukpFxCs/YJboe8hVT7EDjp7ZYxfkNA3e+YM1Ig7qHRl4cuQZxk22TPvS+m5H54hYIbZGVrmfZF +BZzlLcGxac9Opmf0E11t2yGljPn+RkrQy6m6mhnWLaL6gbUde1gFp6nQpnecpsBlaqLlhJ6HiQgk +38mO7f1n1HUebr5QCMgOITe5oMAvlJa/fpokda7gkH8aFY/wa5q5TyZnLvawOXv3F9nJduTPXx2I +R/qLE3ZbNv5YcuT3aTFOWDTckzhmGPuZ1hN4broASrm7A+6Bpz8uJA5uW1Dlcr71fWKP6CLzje4L +ZgpjY92Uf6ixfQ0axA+Yprf8X8j2RcBeOp2TviFT8x0UChQfsOD81q+vSeWuLdihckl83GE2VkbX +UhjW9mBMp2F8SEnQRWkIMHPGBNKNIjNttDbnuHNnrDkJHGLKNrLMh3W9cDQmLwUlnOorrBK+blK0 +0b/FsQrxJAjVsMVGAqEsYyBlNYFDPVxoNFbNmCbKCMuLL3xA+FUSRctzMi9anWJIVikTolXZzJmE +YVy4LuwFlboZQDWUCL1jTkFEG1dtQyOU29BTZUgSwgcwYZcT38zjW8gC9X0U89u4GBUArQS8Pp9n +Ik3PDU2DEH2USRQxojryGK9wi3NN1e4HleHg0ypeSm/EZmle9T+dfw/gIR32nQ09yUxRPI6lRBpQ +dm/V+N6ilNSqQhvy/JI2Pj71qELvVSDeMD7QYkC93u2hFRDFhi6AyG9DiWQVZ+1FM1TzTk7NjgvD +Y12d9t6+YuWTuWrHz1KhPFuby6vpOaH191wRAGxldewbTUKpHv7BVgpbJRN9qr2V0ksdTnvfRhmt +ipsY0qWxZEj3Wsn9vUDNiEH7rXhvoV4quNZ0wB0UO5bYbu+HL/ncAQ8nn076Zk4kz7bWfpjruScG +4CvIrAX9je/Twt3aws3S6ojkj6zBP7aisgNZ8ck9KWVMDArxmSj6zcs5DUvAgOuWrJdWi0EOTEpp +VuHuzi48Ke19uUE6Mr8cCZEPtOh1g8HHxHSdjUTaXeyhYQ+5n7ZG7Ufg+5iy4YAbOPHD+isA3r/W +lCVL6F6oyuH8gOR3XYt9ROT3twaPnfRfLERKnGF4prPev+bksmFylOmU4yU+kVcojLENZ94VbMYP +h6Zm0JD+psXCTgifdsnePWwnp4wiZP0M84i+hEginO69Zqyc5yE0Vc40V0pb/mFnddFZtTOoFyl3 +9/blRwrqG7D+FME72RyGIp7jhxvtEJMV30VmXgbffuQYUF7tSR5xef+NRnzLldz+SMcd88ni8lUZ +nTil/UInJdOUPHN+7RM2WFuzbD5UmnNnskiFnppkqoRWiDthU9aXN+TKufTS7NnVFq04j3zNbJom +0Vis6fBB867Ysd++AfbMkCY1/QRm5/E7sOczSx6lsedDdOWr9b8hJCmXkTKObV78uCeXRsdKgPEQ +YRDBFlcXnhS8kZiCh/Qzza90Ki7XLrcPKdKUsfZhB2Q6r03LdioSZbxvuHdbJZVNF1OKFXCyAj99 +hTqT+Ck3KHbZYC51kbMBRfjKvL9HegpqpB/z3r8xCzjuznGuCOMc9OH8hDmGROF96sGNpzdW0ac4 +bNaKC8Sdwr/m16/QnXiV6/exnnPHB5HVCWM4AA/w59PewLfK328eBaZLzJTfjifRpGN5EZ8lwHsa +jXvQsTnSP63TQBa4f0hpRry8i+6xMvAPOe7BhG15dj3Pwxb2WNuHAzNvkC+DIG9CmI+VahQgS47F +BcvURF/SoUEFtR9oEzbhV9hfoW2w/r53rfo1XFUT/6T1x8LHnyZI4XFgioFTNxF7rw1N0PwsUOsz +eKyW8BxsthlfvunZ5dHjPSz+/BUTWwQr7TYM4Keg4NZC+NQF1BKJznoJYGYzZE4L6u1WCXqlxXlv +lGV69ZZD15JIBCFBKfFWMjjUi4w1NBhPp59Hqc9c/pX9JFEdjO/R84u6xmjnJszZjRYH1bORkqZJ +IMjyCfWDUz7uTWeyF4XX55UMYpaX4WBPUa/UJK1OLh+p6rkBcFWgSA27zYJHd7WKaL4EShLFUDnF +AF6JQO1rOfppZ85RvVvoa2gDlqchZNEzMjNNjYAYBXXeaorERPjukYOakFf+OEZkgJsLd3kKZz0y +XlFyIs1f2l7jz9vUWQ6vV8pXnwz+Egz4XRFyh7EW9QwTVpJfpgQ7/PmcPhky+7GwBr2zQbSTySJw +2/I6LSg81LrfGRHBesEOwxmFqRjrPGtq7xH00oLRyR3d3hc417NB/9Rh81EW8r3hKen4etSTT5W3 +exODJIKYtg1668TTPRsi51IpmeDdU5HC8oAL9FZOxiLiOZXC7O/9TID93TfswPRuh0+k/9Jc1TS7 +H4TThsHFmQNnyMgQ7CUm3W0/lUqbJ7nmzJRly3Fd1XKDXqqm2wWNNHZkGexMAzOrO4baOZMj9PXq +E0BG2MhqF2Ks9y+KSGnjmJlTJsbUMWk6xUdO6Xw4B8vncomoULKBdAoHfI6Jb7iDY0u8qx4BA/Y7 +m3LDh3tz8ZyxuwNYj3vaXaJs5mnHsWBmkXbQZerROYO+IlV8Ydf2O7VCM4jwaiIQ03Q88uXeYm/q +fLAn1DQJ+rnMUdiTpal6pPikiD1Nky+1hbR+U6AYrXiMXnMYWt7teMExoaAlZvMA/jnBNGNlmcru +GRVrfoMh2cg4EKdFrZy1WUaaycp+uslxZcqJ2FTZF3mj5vLL2z1fGup3gOmO0Gp7CiHQ8beZSa9E +GEyEOlwsCWRKtXFpd9b+dhXxEt+2M3C4/y4t5/4/aVzFci4rT6Tdot6uvphQHSvfaqKjuGLavrUU +FCfiPr09XAWRlNzxw6Brbb4VfbcxcotqCrgf8222VFoEfz0uAQIyc6o/dSOyMHUxygT7Ow34RYcb +UkMXY4xTrmpBFXRPil5Jl/YSj3bsJPo4zHDmI12jnZNyGTDbiG0KpRMiz17i/49HnRoyUjoUnI1y +qOSlqY5CHpYWdz8ciwlK7dcnQ+DBw8RGEh6b3n7ZGzOjt9ZUKHYOPjpw3FjE9F+83HIec0OpnLEz +EpYYRO7g83Nv2FOu3soBe2wsYUzsmn6uWUuYRIydhwfUhWuKUg2o9KwlpwE3rZ3IThTqTa13aauy +N1O3uBFcR6UDwEgAR1qvpjkNBUrKgL10H7J42eD73KBkoNvXVy3X/5qTVNQT7tClppAMo9x8Faoy +prdEMa2ngMtl99oa+8usAWqNF8XPcA/H1mR4dAAkHlc2+hW6TDXUGVCPER1lyfISpII7MrvRDooA +L0kYvDSPab4P2b2mEBEBRJ8UW6WWU3c84qzwTPDOE+08a30+3sI/JpsP2+7UbuHxsAXWwLFt5THI +VKkN9ujW7OsgK1sMJ9gGBEH0kNnBvE/cYYPCzUhl3isr6x6FzclRdLCzzkoDz1mmYmu1vBpo5Nlz +nLdE0rgYoTqSqmX+jY7DBkbvF61HMDmLJWEgJOHWHQQlaSd1DSqHGPavI7KawImbyUQqyqc6taK0 +kltd3jNagujELr/gDuOkiAXWp6CGtmbYzOdR+8w+ukysmSzkRLczCz9RVjMunLVBAf6JSj+rG8kS +XQ5CHtkjiPkNZ9+e5Sfaqp9j0Fc1lV3JBV0YXSozz7nSoIaG7EbDf1p5PDBs51fEnlLmIwQ6CUhL +y4sP8m/MK2/QJLplAj2vwbmf269qQY30ZKsaumHI3FH/5GKMJp7MTUFE+l8HpndEb6dj/SnGJsYA +vltl2oOO8aQ6IquXtbFzBAFakmVeCgTUaOZAdg/TJLfkwjJBBvVdKAklRfubym4GNviH+LxYwxu5 +BHRzA7FaRSa+2LhdnKn6q91treyKcfiKe/QqDSqj9h5JZ/X31rhkfoUNbB4ZBMFQoQQB1jFxOgt/ +s4V3YJhxmrus3QTpV3bHUyYyijOUFGw9Kp+8tEwyRuOdITeE3T4TFbMSW/sWrNWl1dgwodym8hbL +YyQ38mK10CuGnM/Gtsn5I9YD5jzVUUB87U3tpnzn5cl6c83CJudpARAHlDqyiKYJZERfak9d0Q+0 +Lw9M1OsZvoIjakzLaPYiDq/cuDXWiDOjcH92hjO6QGgyrS56LI3XeGDEDJneLin5jbikei78e8us +ggdV9Fvhm6ZJKZvS/l7AqtnDBAv6XnN33q0+fTM0QIfiz2DpJT89KFGh/qattf7FaKKRoXmFrQWf +z3kRKuxWS4fRRLbYpdwbgta3tfi8vCHS01/H1EeR6H6iZMyIUYCR6Qo6izoAdKkQmZ6WkN1pF4cd +cLfv2CUW9vH0CgzqAKFEqjkDcuz/gaMZORubCOtIyEuemVxDDHr02ipdmon3y3AK0RGuvu3mH4b4 +liZmZwO0U8lh2Sj52VkOLePPM+Em/m4AXYgYbvT9ffp4mxTtwYZFrkfQDAXKyN/IEfltqOhmZAqX +2TyoPHc9uB69toTO/SsAAjDlkE7b+Ym+7dkEI7vCzg0gy8GZYoCQbL1kwxaaS0aOMARVT1Y64/VE +H30OFbAlKTEAec0GMjsNSg0x77jw9RUlwyliXQa1YEOVcvhJooaqNYOmoceMYfAy5WTFdbiBOnTD +SFWrEz+kEHFWpC6GTw7RP4DN5HjS8sTSCcmHTZHTNxuWqOsph6HGaofpNPzeucyyBQRYxdPbLe9/ +ZqSB6q7fqDd1jGxHYsIFS+YSMK+rEXdvcGLQHzUs6/5fRJShnEkxXBb6tEyIrA/5ygX4frIFwdB7 +PVhvK65AcuLQS/30J6TKe1WvdmOXlRlmxiXi94vU5VNWtrtJOgSPgJsby6ILEIHSQqN2bREQKVm/ +0oUYI00C+e3IXfhVpZ6uhirRuPGrHJXXPdNdJCyZyar19Uy23kpIq4VHzzOqAGyh/rEEMzqRjwKM +U4Y6qqJE1wZ/XiMRodvNFMtZtvirntM0npjq/rwkJL676xVgzpWnyUlmKf1icX24sc0SsisTq02L +9NDDU0SDut5jS6EDR7Ad/OU7teyjczTqhZqDaiilAP4M0t062l48fFzXR/0BW/YUclneSODEmWkS +MRPdQonbhSfgRWl9Pr9IH0r/MH9I5TuzKrduZSmQB2nQ9qULoBTc0T5tMt+ytey/+y0sJkvSMgSk +iGXIEgneZU+rgONoMrxnBSWMYh0Se9MCMCSzdtLCDyRtsz5iMfrp+qicONSZyxRoFftTk7iU6ysN +LSgwBwwQWBG47mWamD09gHJyLY2ODPAMPM7a77carRt2pFFH2+XKiWfnPtooRVSAJeljCCZeDm6k +qgChz3YcXEcRIRYUW1/NmDqx4LoadDog40bfINuoXG8eMY43jq/5wZ1mwHHKh9q4WYXanlg75CFr +hWgzUOOb9GTmQFJb/UxS1tEU5ri3m29/8knHmUcIm/ypE9WONK7VxJIed/J5cThlnF0krjMMRQxA +yNJKm6sAQaWeWwT3WnOklCc1JA2l17YwRS6WZNf+qRc46wZVc/3j/jbcT+Ox6PgnGF453jcAgf78 +z9xkoqBBR9Xcs6Mimwn1zMhRct/m3enfzb6j16kIU7ox+Z42esk13KYEDft8rI17FoMXx4Wn4GvJ +WMhb3og5fJjoBntJOw+RAWA51ihoreuI9zl0TLtV3NTQ2oUykPJvK7o68Rg2U/ADFUacceishuXu +4mBcTVSeL0rZGWTlJMabbL6p7hCk3fdnGJ47jfUTORQvLNipppLvMLauZ2V2aMyxnnJhTJMmS/2Y +09jiEFwF0hZ2lwjjZDmTgJ3c9YNyn4Y6PPeubp8dnFUSrBEUm5kYGYkTPlZ57qkDyi7pTg4PJLDm +y62rxLG0PSWjuG2572wJBLE7rSrggnCDBmVxI+SQVDXc+ry2kFg1CbDX0pfMXsEgkIpDWCfmJfUn +dNk7N6et67QT8/RqLAOHxQJQ8cLdsd0fCGq2WwlOX09FYH79PBtgYexFM5WkyljNlV4+IDQcX32q +BjzpAbWAQpgHp6dRRVws55mbnEFOoF5jH7na/JTGXb0De1SPW5VUCkvDpZFKdfLrk4GieLSovtNg +Qd1/L+uoLz3cXZvt87D1AGYxBUiAEIL4zkh0D9K+XQS/X2pVYW2qMP1YDVdyAnPm3OepnvFB7fKt +q/iiEjzheSSN3C1n0aCLnY9PDMmwFRDECyGRR7JlXh1dCO5igHtOqhmNFiaMljOQiFO+8ejsdbM4 +kkb3wLEb0FMga+3wuNSXf7h9YtsELQ3gIuWtr5XGUlgktde0bPw3X1jRjivq3LrlDjpyHAzngFr9 +4hBwqeDFs3k3vxjRaSU6s8WON7l3LN4XILxbS3ecZ0BPGOxQZjkrVpQzl/+7BuOOgxYytPA5FV5t +RScMTIKjeLFx1XJXQuPIykGnUPhdoDqJa089FCUgMQRvWDcsy/N7pbc9/ieq3IQRoaoNpyNgrG0j +bboinj1nrEawGCogHwI8A4Dzux/vpNJYTnIdE0PSH02AJvF1Uujk1vLZXb4SdHSZ6nSc6z2uoNQA +O+pD+RFq1Sae0jgbhjdTiIc2lpqZmMACtcCLSh+RqUX/56YfF9bDY0ZbEcIvFohD+40HH0G7+Qdd +iBinc3IymsZoZC1vewvNHb9YpdkNcoJrVR2cGyBXOfRlsLmLvHHii7xL0k7E0AopcEvT6hfpfAQ4 +j83TbkeG6XH25vc1PGqcHt6VfhoB9M3x+eCAFYkEeRegKJlX99wwKslLuCH4Vahsi4fl5UE6EhbH +seWMSnQyL2ob7sPKW/9JY/FA0L0LQ0Rj11I2JY+ghn8Cw1ziVi1gltbwAYU907iDVMkS1p/N56bq +jrxk8FVuffOCw80Y6vw4seQf/U+73WzbiJWZ6ih1ELQ/zG7BgF1RorJcD1scwU4E6ZG480Iiw015 +sv848w0BorJwr9xpArb1UnpeWMk3HRxZDWy9IOm9zpUicTudVhi8qm6wmfnrp9bDwFt/XTt6aJku +0tSi+bsUA7MgbvU3GKwY4RS2KavnkX4aeSW9jzs9Z5otqJUdZl09l9554JNTNuIIyLalHrd00aDh +0KIu03rUAuKJjbLY10so/jZIPP3cHjiK0cH6ZkK+8ltZpZLqtzS+134wdkDdw1Phie97jWiLfwEj +7SL9oQp5QFC0qCsLp6Q4o8kePSmu+nXwB7OxbSIz+y+S8E/pGYs9GrVrodm1rZXXerodrG472LTB +EAU/GdnpFQO4/gR5SymAARqyY2ldTMih1TYSmgBC/siW/sKopcEMXCIGV/6GeS60A0bC3mFF6PdK +FxhoDFj/Qd04xfOvcaWraUeb1FXZsI3FNB1+Lb0Puqn0RVjLIv3tz14CXnym2XTSLojT5T7TwaAo +uIUJeyrgkYK8HPucvcdiI6Quls1vVXGl9K4lk70KCqHxbePWcHOloJRjvTkW3kM6Mlqi3ylEz5iU +rO0g+gF12cXNNZjdAVA3TH7Gi1KXu6XJwdJpH3Z/dtj1ABGD1X19ZGInCv4tWripWx1csSNTaBRK +v02gpkAzP1nP7GNldUlVd1FNQSX7Xb3Py7YJy9mS6eVNFP1jZrwMb2OPOCSB5/m+JTXUesPYg13I +R2PjJ9Zuh1LiHNVF0YLw0s7yr6HPbuUq7WGIPXg8ANyXlqEI9iDhkKQhMrh5syXxyeGsYeYofZWN +8Q17nAP63Ms2BbaTvrwZLRjL2ZZwX5+zsf1onbF1Gb5r84SVNo+t9tUpBVph2Es3xj2eNhndiXOm +K6kMCZKbBmR8tixiuB7whEv3mTvogSJpXMNMmYFXa9EVemFVAp19xJdEXdxtXIUx2LDdy9GLlgUV +p9L9n55lHkzjI7KW4nRTqp/DXxNGpztFhiDTK9VjgB5k2zLVTQTfiOcIc/MSNKOptOtucbIlqt0y +zhKA2bUWNO0rNI5wzhlxc1JHCYmMbihDLuJAOviOXb01gwf6tB2uyOgx523pWaxpYkR2whTKJnyY +aLkQKzkAwHt64jPsDJjAelKsJRIRxmE8Kem8ewPNaTxQ0EbjtiqnHlevNHOoU/luPAGLFf4pIzxB +HlMkMR6zVVKbXN09mkRcTIcEDUZ1XrBKe4rg6ZCvk3dkBXb1neCf+GImwrzqcFHaCpwCcBkqSase +psevdRcLN2McJ4Yg1+MrKqrVy/Kice3ZPPpzVeBKXaSR9ANtbMNPAmIwsS40LxgY8L9slZ8PsuLf +DwL/x+7OVkjZLOrv7kL3lCsP6U78+So4QZDFE/LPerCgUFJe2eycFeGRHGzmJq052d90VPJYpyLY +A1UvsNVGcCGQqn3R7J3XZ80t0y56k86dYVtZ6rTRGGJDq4vMGcAolqMnfmeug/YlvSRsNWz/3psZ +QgnBC+BaexRLiIaOebFY3r7ABzS/hWHwM4pdsDVTU+NNNtCVGXs3uv8chs3gME13b5wro+twb8qX +rBPV3ujqcwPqwv5pDIIE09h6iSmwL030eAf704vDo1YqpS/Wy/+3PhHKqdQCFLMuFQFJeTJA76oJ +zBuobSuS9Z333VHbTgO6jrrCSaLT/dN5mA7mGgajc0RaDkszIxymtzgQH32pC6aTu2cBzX9/zDf9 +QFZgo0lgXFqXmz+s5ilVfvmPo2hyRx5dk44SQa8RB+/Ufhc8pFZ85CnfDVuQumaiRv75nY4rQjkB +bSydLPww3J1HmNMTt5y/x1O2N1TCodos1oOF6JC15XbMCesoKyim2Uy0/rJqqgk8BUxg8zWuauf5 +VHqJKRhHiUODVrsr1InDVjyh5Ihl3JlAUkRYS7R1qSHPPPiFtxl7gjOY4IcfGHaByvDgKlmqe+Fs +2qrIDlq/NdhERzHES83aU+8ze5jmlUXNvALNSP0XG4FCoWKhmFQugI/KgJBqr04q7T/8i1BraJ13 +YNszavBlsSbcAjKJuVWGFRoctP/qFZ89lAsUBJi/udu7EFMxoKhF+Z+5WknRV7Exy0pMpovom6R8 +22b4s1hy/gpBD8tpSwx1z8ndok4RluN6mQ5Tv2axEKI/bUV5Vr7Mk628roxlKFP5qvoGgpEin7l2 +4/HlYlKIovL205RQ+cmslLK+iQ4GxbRgG0MhEmhvpn1A3BeRuxdkeaCwpvcmnwVBm9QfS2rxCM9X +EC1zFZsHIMhIeWZHf+72WqHVpKb/+jLG4efcRccrDoQed0dHKzu4wDCVrxRM1P1EM2oZIpj4unl+ +6c59eVS5Zh9zUxuF9WG5xQKyn8Ru1gNtmhrgVYZxcDkNWEjlgW5MFzqvNhNB2BRqwbogE0fv9Lng +upA3LVuMkHnZeyZz9HLWiiN6FFacdLPF/4m3oKiVXFPsSuWUxLq/eS6ejkUx1t5LwwOwRaUfOC9Y +jAA//4CfpaM9OgJZQzQmZJu5dLS1+BT70bRxIthdL4+/HNo7RgJ/VcpN1XT8CVqB+JCigd+C7J1e +hE3oONHvwWiM77qXhEqbIdn7ZSHegDsN3Q+p1AOw08Huq9ugOqNt0JVwqMUuE5V+5FYnjue4sGMY +EONpxf3GDOKn33i91Ni2zY8jVzFLn8zp7hVvg6J71YpPJrI2x7dhaGzTxRkg+/84mbjosFwEE5ix +mr3R4tijx8BYkuuekrO2ME6uXVFY7nehQOld5GcA/q7p3j1v08Vqptd9kDLlR2VCW/+VjxlhUz9Z +oZnE26Ebfm7B0vCeihSp+UK25MC5Z7iARvapPoLkdVr+4zD/s3WRtDrXByqOsNqE51O/N/Ud5zBp +Y6Q9dNkoRKkrvYrnZGGXtslq3OA5J6IOMoi5vZkwBAm8LTJodmYBcRtqcW6kDw8UD1D5tmfRqTGo +s4YF9n3Ix1Ds/oDc1LsVBWfzKJbbwMcGycUIn+3oANmNCy1b1lxO46/2Nnn+vlZFlQqT5V5f7pgN +YihgD+Upl0osv0e84nxLlWrYmmwqwHCerES/0AorpZ5xLHNKGjsxHyveKvWPHOvdLC/apDnTEaD+ +8p+b1yzslj1zQf8a8V7oLvw/kXYyQx3XsfIca+0PR58WiFGhCGhpFGP3c6OCt4ZhIkx0Vtc9ngXM +blqdkQNy4Cyegha6u9GMW/3mCvtVVfYbNdOxDsjpOZfDyOpj1gaL/PR/ejhqFtGVvkoA3YABZXkq +ZvxZMxG/ipRE9yWyn/GgNgUk1Oab2dWa3uu5S5v5OB3masfG1vH4r0frm+rqA1O3R0NL4RPqOYZB +7QFneh0EWp30SuelY5YEkzJZ8/lXCtFFO+uRMpMSJmHtCLAanItG0MnXfg6UVvvStLlspVsyNl5O +XTkcDCbMSb3sV03lTQTb0+bUbkinuBqmPYs/PvFYjCYGCbh+CRaXiBgzOhqb7kodS0GbN7YAC5+m +WEMLfx7r3lurIkW56uq+cc3gXqDquNOSxcdrwh0kzuDoxVmOjJO/dokJifVDvjPNIT11ICmpKwvS +BRUox5mjJhXNnfT0WEzuOiU8RKf/BJTX/9ivDWNwO56Shk547duPJmY/GDDyNd+wr4ROvhN7kRzN +jgd6gE4SsT2GJVKul/NnJ8IagTDs2exP7fPmTofUfRFmgrLGc65bWUOPQQ+bSMvC6g5Y/1b5WsnA +Ndx0dB5Rv1BVIcyRW7XjIR+VDX/RClkPcOtnkXnNvkAibDnNuBRR186SnD8rXgUvtXaFqzFYanBa +wQXpz+bWNyERbL53TpFt+7Q+1n2y4n+H3b4VyifBx104ShbV+vWWZqj4Pv00whm0ebqeJfORaG3m +kSLzrMiTmWeyGXWg1bP0Fdp5Dn/uo6fC8QDun1QmveiciuxI+ecoXOAOXmllAkBHV/Ui/v1Kz3Ts +QSsVneTNTaGlEGCj2AN7EpoQhbC0foqdKkzikBY+u3F30MvQkOf9VVLYQVyn04h00IVhoZ3q4mdc +Y3jtWngErs4n8rN0/YJtLqtph7WV/3XRkx1H5XJTyx4WmwfaVqVC2YwDJOdiRI83MJeiLqdZYGoB +iTwbpjnty7hiTPO0iJj/Jb6Op0EiUKF302H2z5Vnt829hTOOqNldo9bBROA75VKyPUtQ+NkmgtSC +oDOe0ybYcaafPsX+giDTFnevjqtBbx+Mmu3R874dZ3m/jv9DyRu5pdcxqW0Pu/ecZpJ3e9CaT4Gm +0xt/EqIj9ioqBu9rRg4KQlcw4XBjSP5WJONkhJ3BSpc/gq1YBWXTMcdPZBeCO9HB9DboOGVXBwKu +o6+ca+zJ4h5Mxkq/xjlofNl0to/SZKnuGNytonSocmdYEiNpBBLb8ACfxvNLBKplnP7o+K6nXlGn +fHgIZEJzAVH5xQ/4CaPSBsjJpqW99Yl6Ul/qagOIHwNV+S3mXDuA5A3L7gySUnDfZRfmZFTAf9Ar +xd3LEhnVbeDcF0r+JGV0JuDo+E+eQbdabNyzyWYLvH5lW/U2OLxYDKjPK5eCj5XrmS6d0mAnnpDH +Y1HliNBscIpwm9shglzAOr+dKar/QcuN/G+6b2rsj9qT1R/Hwt4Q+oIMHLTbTaW9CNhfVIhALm1j +SMmCl1zUdYMxOGVynDAhEcNZOCandN21hizHt1SBgoWB66PVh0daOtvxnbnGBpia4kFcU2hfgQhs +RrnjtjiuOMU5ZRtR94jttWLYOb109PgvVv9LHYd2tHko1zrRAuNJ6swnaSDz1lOpuzPstP/mp0TR +JsE7bhmlYBPr0rN7qgqb+m3gniDpUKVKMx1/6DdB4mryJ+pW2YdDtbFaRB0kmt6w7C/Ln2ul0nQT +WZQnkzJ/DIdU9yrZCqwunjjRC2NepiIee3TwQGM3qDjO6MCCpcvynzaApeylscAwGeR+/kbX8Csm +8azX6uYHHNaOvnsYFNWxXhNPLRRc8G4OrWa9N+Uk9WaqyDGHT6CQD4mgJT39H2cMSyYDNHVmVvvn +quTa3qZYFhCQeFueQkE1TO8x0auS0H5AHm6fvvPE3Arr/YgLWiCIVzvo8yhsX9EQCJ2j3wK29kjG +ELhQB7wBqZyBpjSoYZ2kiH/8HOgDNHZ1JuOAnBMl3qby5LIUada/h1aFJphonWH10r1lfXfizeOX +ieUAlz2oebqr6n2J3RfX9sBKTqYy52C3nQ8eplpDODrsyY7v0ALVdBeFDaWiQqCq8rgIALCmCBY1 +IkWgnXcXymwCEM8BYrqCzfcPeIbKutIUdpFFdUEtppfIF0x84PG0sN8ZuM55wLVLNMGzO8v7lCxU +XE4NLq8NEyWXkmd4rT92Dli5hOeDCYJGJNg1XWncpkgRwARNkcDME0td8bs46caemGesOvJlRynY +reo+uA2DzL8Cq3oXqxaig+FxSTWUQup34Vd+LB5MacJ6RIlSjlC17y5grLEFYbtHVg2RWTHa6hAk +NvTKqp5GGX00iCVDr49bpOhiPGZNxI9no7882djrlInVZtV4ruZs4RY07wkx42dyY+zq1KNoiSdC +iNHByLteJiHo8fgnCaihzhTn99rWt6bwELmbPkCOO1C/GiMj/LXJ6+huW+9Hqgdx0mRiBSS5dsZL +zJcBoWJskqOLO4F7JRlirEf1N1y9/TQQf7G4vE7fvxN5ZN7FNw9Rt3XdX53sXJaPgh+X0H9fHjY2 +1VGS0EXGiQdtfAnnHZSTFaRumbRSh8qwWffCFf/agWB1AjfYl0lZqvLZqcmkOnk64VB7lgUUUw+k +7aEfa3YJNOQgoPm3mwjXbz9/5N3t7Pez7tZf3iDaIT0m1Xg9luQ2ZYNVKFC1L2PF5IVI7q/GPnMo +c+GX6BeYayEjT9KW9GB2084+PffGZ9yYbFI1jyb6EcRgvMhk5kfY0Img3BRW4Y5RaqhbCLJiS4+Y ++/rXKmmSvYJtJ6hGhzH/y3/lS8w7TalsOWpfKWGACFsthZLakLpP1L/MvvEtp4pKoNhckmq48tSA +djx1lyGyktTDA8kSaXQnexwhrVyD3vmDSW5dvDEFKq7/y5mEbN/BmrpayLqEM5qxnTs62afSQzR9 +49d5zuNaAlFEv9nphDKZl+rXOIio/vlhzZuF37ZwRpODU2heyXCPtbjZzIdCnYZJkm4hnoxP/Xr6 +LqiTOHpv29gmEW5GCUmFH4tj782LorVIYVOWzRE4PwMoU1JRvTXNJTvxVohmJJp+esEtZR2+GFTG +xxlJNByjwkxmUgVGF7dPP0kNnuU1ZpJzNFMlwBHeENw8QFcbRSDhr2RzaowVQh0RwUGNYz9EClFu +nILDaxeCJTaRygDAireURnRf6XXk8V6JUf/lZH/ZB6hvGdBmA7A919TOhEdQR9ZoJ7jVZYUlwO8u +XjUNwf3ku68Q8AHoEh5g9EIDCrD19e8T69c5MeaBUdBpH2XLY8LNrICuGMMe86xpob8REHQg8om2 +3NRstrULj3oI7q3ZnmepidYy1uGSh3k198AekNI2scot7e68Ol2io+m3OuQfKvAu02vUksD1Gojc +bDhiFi4t7eZkAbo2sA7Slez6NASDqravxvZjy0ZzyibtfNCDCFClug4Q/PnHXO+GkeH9usP3D5N8 +BiZZPsUEmFzw5pW6pLtm1YFcDS1XcNocCeCbMxGJRc8L0lKLbCgmeYg7IfBncwITxtMIA4xtDWDj +fUVzebtsZWy2GAX+gwgfyC5Y3QeH24rBYDzHiOEoy/IOk2tGNseqTOlk4KQE0IzxoonGKADeoeAf ++FJBZEp7i3jiZ+jGGBFp7i7Be+OsNdq235tYDVQrzKZ8VNQp1hkX6RScyxF1nq3JFfFdX2Y/Eor/ +V/f29cgh9moBx62TNNCx5TF91bJcxIIMaehsixQ/sQ4lrLqlOTg0iyS2ef56v8yDOZ9TH3z8ZvV8 +uvjDAdRwL7Oa6JQ/Dqd2sYWFat0nQwrjwD7TkTGHZLGfDyo/ZGKSJODNQpvz4goHf7JOi7klAoPR +2fUcu90S5M1JYpp8ZESerFYG8Lk0uQUtcvO1SyKbL/rC5eD4iZkMPbFw0VunRh0gjALrhvXmvt9b +YGpkE4MfPvd5yxVBk+dfmKuGCABgZAy0KpHS32/mERc2Rupnv+OqL21guXiAs+BqmXwcOv9I0bjS +FVW+BayDDjaidGsPt+AGJzEV9q+j0CNuX90X65dcDr5RzfeG7kmIVa451WqHLtP6YlVxaHh+zgR9 +v3GXhxwHguwi3STnXao5qvmMzVcMrqe8BQKYM2bqv8aYQp1u/8XQKeIknM+FZ91v4cS/4xB9AVJy ++CmcHF2D5TQKnpwNr4J/ciRR3MrUOM2AisHQ0vPKh5o84cdqMUI2JVbYgt3qGiZDnnX/QsK8GAuw +Np5rfv1/cpKyRAnj8Vp1KUgCB1cHOL+STO+sYzUvtaqe+/vcfxx3YlKw/Z40DoByZ4tuWcGYI9Sd +P1T/1EF7NEZU/gXlCYKiKZ5GLJ+K8hWVHSKcqJbEcTgnVw/ys9Br51x5Z3afWwblKRqNoycdAaIc +yYnp6nDoEiP4rMrWImg0T3CkIXJUDjxrHgu7z8uEmDhtKrNs4aFPs7ObzQM9ZTC72pL7WRnzyINz +ixx/+vmGRLPjdUVKIV0AfaqzZOh8F39TW6yqfX+cMpVykUR4mmAF486Azvn+cNd5nYbe/ysaZPx2 +caXEXtfO0tW4RuyDzAvn2dZaoT4A0dEnHve7zCf6J0PRXtQ0lD+fRGjiPwcy2U9xn9bCPSZ+yNyK +Q/AitJBFJc89uMZe4G4fO9Up71IAMtAnjQHOr7ylrwSSqWk92iSNVu3p2m2n6WEFX6w6HNLtJ/df +Iy6drijfMsE5QFfE/KOHRvSjZrvZYIm+Xs2QgdaL/naqNvC8pg999eYawPy9EdUwRCdf8/Iu92et +URsX+vvTVd08F1NkPSt+E18CDdkd/kRtS085foLi+3m2b1gHM5D1ZG4qV903Ui4x95hraNfE+bfW +aT4B0QKv3Ik1D8GhTaxgUN02f8guuikcuyuNVCT2IMdBOcSi6pkyORU9gxdDg9BNYQnfiVrA1CL6 +xgYxyjmCxFn85Fe8mUT5DVgi3BTme3dkfNwrVZdAv4ZkLQkDVX5tI1xO/nU/pNNNhJs56HSdgU8w +0MzbsJFyCkWFxLduS5+ECSi+r565SaGeosb1zNw5PhvBBXF04tPzFg4LGpSK/MnMBCDwuk4U5dB6 +pMFFARfiU+bo1kPGF34cAFMHpN9CHAYq6ppmmcNPmlO3lkHf1VpEwW/zlBq0tRJ3tIdyIm62FzOn +6O6LWDM0E3jEZ/qBQK16YBv2EWz9+iOc9IxsYmN8u+aXryJ1uyAhcg0GNiFOQAMKaIkog7Qthz0V +cXGPL5hdYkkpSOwmuiw909dyd0FfdiyZMrQdYIoRq/MGCYsezGkp8gMqo4g9u9V/D47CjCXWbKTS +80aYhZzHygx24lG5JTbQc1SSYYMdbNAnhRDlPoY+HhTMoOnrr8vJFLO7qjTO6XtpCFpYQ1aR3LLc +Mrx2tFuMtxfyB3dzoRRxWI9qnlXdXFRlZNOnA7k27xshQgP+JjxH/14jXF58aRdredtnZRqGhCXt +tZeY0fsX4nAL3CWK0WTFH0KZuajD9+3jmD7ZheN+q5xq8Pq34HdJwEOLzZ6JeqQiSzcmtm62mkGs +rllurgNJX/1zODdu1JZf1oA2rhB1leF/ICReaUcaSGFrpLcqexevUYaFgpFzpnfEYGlUYHZOL86h +0RCdZbgHHvc3OjX532+rLojgP7zcNnCCeGj1RJfmEdfF2ljx310nU+n3DpcDrpjh2D0qixaWdDxj +V6Z9dqm5o2KRdVWT0chgKxC4zBcFSfoxxwgwuK4ggd14bhFT7bSru6vAMhX7jGS33MgzPTQDIx4i +rp4f39Txgi+LXpzBlnv3g6/zN752rtstPxn9Ho6bArT+b4cz3SrC9AY5a82m9dAIrZGIvutDX4Zp +iqJr+SpOo4ikb7GjDwXNnMgN6pVDZ3dhd7TEY+5BUd3nu+GC70vllxEBfs9IToWEeXMDM1ricW3K +u/DCy3MUrdykEvp6Zyb5BboKLkTwThEh8SiA1yKimlHOOwM9QcaMpyZ9F3xZSNETUq2tyV8M0dxM +J0CnHS48jPjDEwxt7IcjeH5RR9y8pxupRU4ERgLorMK0F0j5yer+mxJvHujsaSntCaPwQ0HAUS9l +cWgG3CynEda1751pDzXYn6r9M0bf4bcR8cNEL+AD7n+sYYzssz9CeLP2eEj4bjv7ZS512DGOWrJh +GsdlFzwbKWPkTLp6g7lKNq63curG9VUHbzsuDTwBiTfbpMPhilxPUB+X+6GZ7mOB/1mQTctlBEnd +YNSSEz7Llo+nH72iLW/COfOWbQb94D4Rm2D5HGVS4ICoeNCLOwxWSUHu+v2EKAZf+7EkPPI42hTZ +NVReqLascrLOcAFN6tepmFKl0jJNDMCj3dXJHrpSW0IzVBq91z3GZBNmkVjCbnGOmZ9blXVmCdiD +7IWk/PX5nK/7wRS4hLWWf9dLHk7QeFMCJbTAnFhgqNtnZzQzFDwDnDA2d4xOoqJsGLrgsCPlsmqG +L0TGKyfm1DfyamofxsWzmPosdQnOtXDkjI4gCVVrB1y3q9P2pJEnc8aE8bYZuIwtbiAAAa1miMRA +RyuTRlqxFj77gIr0COnA11rMvMr/idgAHhYIX/H9ek0AVLojH8CkRfifKQRCbupAYcoUmuF8PV6O +akuOLNsy6oLTPV9mFuBH68XJmx6IokkwhBHeaoG3iyOnRaUooS9RsCNsIgd0eNbtObM8rO0YBUU7 +AtCto+bgZLNIxXoAzAmrjKL+tUzapzvYn4ypjKP4YGV1YAAtGr57V0kYSjD4KA7uc2D/82iV3cNd +AIKplKybLPYHFgijvZrs+CPMa4SZFp1i07yHI8il/NRMol59hFbucMvMQrpOGoILFxzqjbYw3USE +Q/eKysrm+DIwWIzYOguUpzJK9rjByuAN0LUkLE7UdaTzHrANVaBenGoQXdOI5wkQvtvM3MBGmD65 +OtgukYMYCmk9u5dWc+GL+b0DMoft67PzUs6+2KFwEwDwlioVX5gOWLFvv22PiVbp+RlJQIAYrmmY +BPhfCBFugaiqPlVDhbaSn6pys5uaOxWnois5UWl4cfj7w7yNKjZycWl6pQmy+zxOnrVqF289B8cL +USOhvsgCrzBtZKi0/weYjIMA4rTMrIK5SwrMP6SwBJHuHtvaYn2tm+f7Ji0toSt42/lB9wvgqd4v +YClPx2n2f/35gbMdMH0DJeeOkJWiQSNMO1lSjDaB20z1zlpNTHpOR47ufIO5DihPZ0YHHWi7UF6b +j0SJ9tJHXBmepdo+vSISxlIp9Z+7YOGYT+nggZNNU6Gw0QGNylP7JEAirf9oy0zfh5xQGHHEUqpc +KbbfQKwRZGEX3D0+h1j4tbpJMdAsHAJDq0WqlLlK6tQjohGOaNcNqtLjIT36tHU+oZO0WrAA4dkq +DzHcl4TibGcMNC1/dLnW5gf9MpMZs4uuA6jGK4PH/5T3rrSAlmgGCTbXb2n5JDBuK6m46yvub29u +FZ4d4Eulg8THLkVsvdJpOJZQwDgaTDxgf2aZ9EdM5pNDyDO6zpDYSRc3MzCmIlo3VVQXvhhOBdSF +GoC+CG6mAVrpcur+RxJES3mHSNjhHOIPfPbDe8jxnPG5I8ZXOE8ulvS8kWBkKoveQFh/VTTMIkG/ +6oij1AL45TtJPAOyx+gbuBmSzXzskX3ddEaHZZrFy1jHPs7b4asn0gu42f/lUgTSf/l+mEpAQKkg +mNVLfLkm1NB5qnRNpOsGJdzv4iLbqDmVE47qPi6paWIBAs3oZ6BgsCzyFK9MBO/BNhZ5UpkJ8vNl +fbkyL0f47l3GmjR0Q5C32mIWrFB7hh7ygOY5gFZzr7kim+0DJKxU7gZHw1vZETMdlJlwqbkVHPQq +nXmOZ8+tVwY72K1qKRu81s0EuItxePQJkAQDn73U7o3cLyyRokii5q70bXAhTzRzAnVydkHyy12X +lWwFLxnqkvx62ajkfjIq/qTEwYsF2YwVs1U25rT8cceRM3cZJK7iNuB7SsVEzk1cFmct5/p2yA+g +6o/NxQfbuek4X2FEJefBFzmmcUxxBOKu3qfgIzRZdBpT5iQ+HuQfxdDPz4dfa/D87Ol4eUt5ueNd +i+vxMfgbWqk141GyemaJ7IluTn33KbAxsT5da+9HrNObwEFqLt1HcYmATmjsS0eaV6BYe8voEsiA +EXollCCiWNXnHZd/OxDZ4K8tS86JCdOt2WeLoC8Wbny+tLNCTSXcD14IonhN2aTLozTsvRIP9hGf +Ro3rNwV2KBnDiNEpmeuuOieJizK5s3+aSx2QzZ2XlEMBBxoSXrBj6EktsrDf56g3v6gRZC875skO +luBhTQeP0Cs2gdTKJVkb/gV3C7W6P8tm6IPE76j8hhIGviKi7M1QjfJj2rui0yw5uFM/RFfFl9XQ +CCtSNSqBUci8t5mmk30sVPCAlPmEnQxK6o8nLgJeOzq9HrzjtPTBCWXXXOBJlG80wA8OWIn7mjvL +kJLcEc2QUmyJudzbild8jr5nIAG64r7d54Z30GgDg3TKV5BH/kQf+tN6Ohf6ZwEImKYx/8THpq70 +xk2oFJNXumZ9SWG55Fsq6DQ9idTHtkRO/P3dcqnVGZb8Icj/5HElE6rx0DRT5pTARe6Jshgky7EA ++EA/i4wbrUb+xTOP0Z6jJPbEeUvNCnwcWA73KN0fbohkQexVc4t/QnWBIb7Y8SGBOG2vkW2+2QqK +OUTElzALMxf3ll6GtGjTMgdMOtWLsVR3+LJaJEBWLVOjujJbBkLcax6UVMSLITAWlKBR5hFZ+659 +bCmtLliRCQw7x2/ml8tDsEBi7Qf56QaYMdbuWb8vau5A4KilEAfhpvLVFXRdwNmCBH2kE1AwJbBV +N9jVTwScHzYchX8tLOIk2IcA/Ksr6ZuJlFbqrd9wJcEIghewm9MNa8tGmTAZFoyZjMQs9BR+8/yx +53Dd9w7b0uEzrrxS+5tLVRGZW8qlXRdmg4nE5kjxHWFYl83Y5QIM/zs0o2avn1SgVuA6e31hOYjm +5Ie3IQbEYJxKSAfOppJkaQhKwI+88RNW8/NVM5GPiAkd8cP1xW5i0Ccb82YK5M2Tpj8zAJROCcBT +5WZXu3tGtu7t7+M2e6LbEIWzptfO7tjRbFJmYS8alq6j5cdndkF73OS4bBrbPYfH8bwaWp2Dcvhn +MWfKPwMGclc8HQn/2dCzyVcf0SILwUovkuZK9abQfSIzw57/0uX5MAtnwm8LZg4GswpVI32tvcMT +5MmdhigReK+4W8tr+JUDnijl3Fg5gPFGSA8FXpA/fLAPhIBMKS8pVaWB9Ho5RDDFlWxWRY5e/HTj +9l7+3glzmZ9z1yohFE+Cgvekq4bV0IvRegj5VV9qFdW3x+wWBQRv7qguUwgrBwoucO0T2KYFRCZ2 +mSZI5FV9E9qRZd7fRP3NKr763T0nF3wmpGNXFFC3wmeBx1AK5UxYp7PLAW2dfYBbfV85Rs2XC1yO +yxve7JMXzzRcScHlHVGgTNBHqOzvjT4Mld/+s4BoAExxkR2vrPo1LKZ+inWBqdVK/uqwg4Q5s/GA +gJjDivkYoIVcmV3AoAJpPWDVxIfvs//K8U0qXczvnId4/mzdT68qtTDwUKbtcAPPmmY1G9bvYuAu +O/NLuS2VAhNk/fb7cSJvz8NOsr17KoA8hmfTP0J332okXGqZ8B13hrbv0h1mCQaY2B4buFK4AeBs +dvxzHaEOe70JeBuIXpVqtkJE6y1nsIUkfVAXUYpXoKMaMCD0kNChcvqblEoBOO7ni3ymC4rmy90G +BUFqWgiXmlic6UiRKFgHp24ixA0Vt8+WUhB6h7NsZwREgHsUz7332V9yH2JLIY4UkX7rstsw6SY7 +vEavA+WkBNoHPvvmgeMiIvJ+c0PmjVQBgsfLjJZkQLyUMj17pk3mx7PDSYlEQhVzXG8+U7qQz/lj +GkqLNykISfBUaR2n6QIDVIx+EsxLwJbbCzvBXoziafMRJH4pa9sAyyXRZFM8caQpr2YQeXLm3MkS +I9WbtVs26dAE+Vv7P7TXoBytL5Gp9mWvogl7UHtwqX4Qu7ULg65mZQVIvxBviiS4o22yUy4tFbaE +JCNe+80UbBp8FvfX0LyC/OirAbd98gwe5HUndM38fW/u5NVTHh6J6HdL74V8lYfovWilrAqbl5Oj +DOZArewET1n0EgbxwyxxaZhsRZbuYlP4SLOk8WICoUYogQ9ePmZB5snVPT/DymY6SYuNEj7100hq +b8z3wMyUkTzheSI74NujmI/sO/WjMlInK0OWXa7znoSP3vxXULe/hb00DDP0IbtoGzDJl8Pz+DNZ +KmjytGO2HZXrlO7chTIHrIppyWVd5JuZjZunphi5WmhqHF/VFumiLCA1qSlTItWdKEVCQ2JvGR3j +Y1+2Tvu6ZhJsvsO4a3Extbm5jfjFg4TWGBJ6kdj1I8caoLPrv29mZ5F+KaDERfBAn6cMhburHlKn +pZKGNdBT03htK5htEg+NQjjDtJy1KE9cktHv4ca1S/n5ZbJAnego18QOy7wnrxvnid2lbgE9+psr +gxuEc/5myhg/komht78jXIC6HfEwAj1WZHpamS1rWFLL5v8UbBt895XtTa4qHw6QRV9WXllCSaBr +Yp1efdO/1i0ZZCku6Jq2EgAWtarT5IxQsiCnS/IWoV/qzaGSRk6G3Co0CE4tz7kYnVEJdsv4Zy72 +vaEZW+EWjhpv3qfbk8F3Z7uLcvpiFHsdg+mQswxP81Kph6Q9LKAOyOtKiJLbviDiWc3pSUwIJEFb +MkAbOdMrK0XLYp1eEfxYdlUKmsGoQFzfKta+vK1NmwWVz/SlXK/JtXFLsUSMxxV2OtlIO6SI9BIe +bDOva1dM9LiimwLyb3XCSEB4FGwv1ZkcTfcIvjsTJOtsaFHB79CLI6nTklpv+A8atPv3pUusFyyR +L++sCqTlk84uxhSghSxlesJ+9QtQ2DHFCYUTsJ5G1PH+ET1G6q5m2DpALz9mKCn1Re5ZReaqQwdT +CZNUMiHiQ1DzuPX+uzFpuK2ykbd37+wTH0k+anfzRsG2Tcrum/xIrJlHc95qirRvjpbYxXJ6E7fY +t324zLOYtHHY7clnWAVGoJo2cN1Nixa4yHVqepwWwZCEYaZdkzyu3tuFZgcfMiNFBTltCb+C0+w7 +6qEz/rmIRz94Anw+bJz3DKMxXsqUiYMcqEOrpsEA5+4MfCziFl6SsU1lyMwtPdFpiRXspFSIzIIZ +ojcB+0FpwCqPI5jBxQx6TJw0OxVF2UkY7U7OVsp/76wD1bxr0IoKU/7eweqUGmQ5obeiaYyB3mP2 +lXWcKLQUyiz76GRC2EVdaOPXNWOct1yzbx60BFne9VhYKV59plADK7PKQOWjHJ/yojpqkQADEnGk +DXRBTe6s72hiFL8jcUluQskQ+ITcSyaLjYgTEL0RbsrGbfDsRyWGCPprgQeZczJQOf15zJE75Td/ +AQjSHZ7RW4XbcW5mFqY/4DvRlW37pLnV2D/NL0Chre5C6feryhKUm+QBbP32gSO2Y18wVlMOO9Lz +2ni3938GVKBWdGOZdZ2yDXep6SzqVONLtdBEH0bri2vHsy9qsFNL04jxe9w0CQ8OHxQ3eOLGnc/f +fEr/4zQ/T125FzvGPgLE3NUProvqKE2CIrNNw5w6+K6FsT6PuVkkFpe/VH9pyosWOr/iXR1DuCsh +SvlnEbmUMZm30GC4Hnq1YHoNHUxw2SmZaw+E275WN3Sc/X7H8FKI2mmPouGsKth4WezWDiTu/Ap7 +cMgM/2MzT3C+951tfIEG9HAWZ3Rc0C0D955umaLgSpCX07QKUbgpfjIkh/BQW928c0hx/66aXCl0 +JcKo1eT15l493lU4HljPDpRpBalXlZjJSNqE0egsd9T2LQqmmSQswfq7rtPxpTHsSuaYZT57L+is +jAz1qqOLimitG71vWLYsV7f5Ha+d447fMfhVXJ6meyNpTJMdne7iwgGbqKpsV2Qr1oXC5H0UHU2C +/iatjfkV2xrY2tAPOO5zrz9vtLWD/yNoqY6hiAeL8xJ5N3mkxHfblrS1m+bfWwzhCzoloi15RaFC +Qvtl/4LFXEMPSZVxdJz2PZdu5VIG/sVbmHXSZUdOVxU8amsJpcCntoHlEe47Scnd+t8ZB5YAFQbW +AMjJvH/PiuhsN+N8ce4DP8MqGQX29UTbx9+/7oW7VPPb3O6YKkQQtiCSfDm7lsnqKAHB6S9U+5C2 +367CxNicudIzX+gRuG4ICO+zRS9X4daUkT9YSRcI7vtf0hVYqQJk2CI2cfj+/UyfLhBKg/0BUikJ +KvhwK6hvtB0HeSFcFWye30os+kOL8x69jjkFNK7FOYkhtFA3BvEEo2AlkLuRvZUnQAX6o5sTX0S7 +owvrboQJBKghHUe6reqnc56ZYWd4wgkgUQ9UbyzWIBrS+83gYXZlwRzIQGshqA6iFgj2UPkODpiJ +bqH1iDXwKl/2ckYdWIVPdS8MEBM6rJjVK1JVCUTp4senUlbpqXLbSW1eouln7fvNzwnRfcZlt7L4 +IxInk+eyEsyHW+HxfI2ZnWvZ1DHYRI0VLOnEycUFJG59S8dnUVyswh/eWYiMu9v8rJV2qxzs036i +VTn2PrJ6iNaDOAxqnCM3GMwvkHEErCg8nQrlL7ivBlzExdF6hkWof4TEMg3Ob/CvnFth223+b1jR +dVGI+MRs8weeX13Gn/vspx78ibekEcTaNy9qaqayuLBOJm84QPv+kQ55eAeKPZFy/+uR7n+CQAvJ +0rh9TP7a+6ngJ+iPkWbPO18VVgk8KJ6wsQldYFKCgQVHstkkEvUxbmEGWGhOecgd8GrnNFbsXR+n +Fn9lhhJELwC8kX89hc8uFk5GI4U1oYlde6Om27NeJJn70Qq4idMxZYc7K9tg9EKxdZr0To+9kIm/ +dz9TRqtFS7v6HOS3y6LJ/lUm8TSxLJCQ8NKgllUIRGEradWzvMR1omsDCAbPdHGiOvLSnrwGCNqT +p09LTXN1Y9bmy9fHhVwYzQzPbtbm/Hmz+6fS0wyuKVbR0TSKhDX+Jvf2daRrNgNOUzJ0WVrv9Fhc +Zb+w1m5P2dsemEwMZeuX3nn8x2HF1MhuYwY4Ksjqf4L6xOxBJl9DF4MkovjF2HWpll8KJuupXv6y +bTR/6fT6LRZNMlUSEuXlyXWk7zXjM3dSAZk2jbIG95s2TkuWVpST70m18yx6ExH0ZgkLbqJbsneR +8LdYuZmnS881gUCNsxlzntrKsWQZ/0kWOLDGhpY+rTAOSTErjdtcd0F1dofSIrw66D5+DSvDduab +wcK3M+2fCfz9dBQgiuNa9aXnleBhMV8l7aVWLUKm/JYOG+Ylv0oahtpes9HGOalZKQZlCuRcYgeH +N6vUDIEUxJd85tMNuXcSA39MrXmfeYI+uueHjCuVZdDdlt5IAFmKqVMpoBSN084GaL44hb0TC6eo +pYufiooS9/DG1p2G7kjadbreKNZ/bHEY6XwYNbHg0W02jLMyZGrEYv7NNbKbsADsRxidS1mBcrWP +fsgKWRuG/sKzF4UIki5EYe95k/3jfX6JMMIbhNzV4qp0Q6IW26+7L5eVTctWyODBJpS9AbX3A3No +P/vTjeX5Sp6PVifBEtot9waC6Ssij2dG1cNMu+CpDHaEyFxtGkYfK9bBLVxl8rWaxllbNiXQfLgC +9iwqEFvC2nQxaQBObIRMAlaXqABRO4X09TOS5qNMs4iUX9xNXVV/cnLJv83Li6Z0NSh2geFYTOWm +8Sc4XJBR33e32QmPd9cPJxlyNP7rifqbVBUq89kD6iTxYf5fyf4dsnAzn5zdED5S3FZgvYT7ThtA +ziFRsM5147BgaJlka0wzZ4XRNc3d9MoouLMkan+CXtFn52NstEMK9pKvFYP+BLKiyWb7yMqBfvSy +t//vzA/vMO4TbZHkptHVg+encmFZkQbZPsZrQVnOBvD6/2c9aQfen3yoTZ3uCyaTfWQXICEO6Dsr +F58eMB89V4M8J0QmgNGrYKRMTVV6ozwRE4VeCSt5Nn/OpiG0zCxNdAUdTZ19gzNv3I01t2n2IBAX +xX3bZiAd4XuuRh8ek2T8xu4XT9anxVeSwgRDKzgdAabmE4vZd0pOjc48fuZwNj1fhpSkkghoeUNj +Xt/Gtop7R7R7iSsDDFuowHFuf13TsO196G5mlbwxuJ5t5sV1Yx8syH4NI4L6o9/ripRv1Tuf2Tt5 +7dEf4Ixb85wmcpddo5qdnLVz0AFCw41xmIKmcopk35gVPNzpS34QwcsFfiM9WPAwkRQffNk8Omib +eOF+cL6OBcBQKvcqtVW+grqznQQ66jjasVzk/z7JFCNA4EWXQIXuxkD5C+xjpaUFFif8mvZm8z4a +rjmVH9s8xZ8WsKhNpxHpFWy//4esnU7MPQ2Q43soNko/VJa/1LWidfxXSdRORW9dHWmB9dB4PDlw +mHVwcieqJAeeV4Xj9Q/vKHOpnvcWoJAlsvguHjigAw2ulJ34ZoIipaHPe9vS0RveLaWOujJtXqo6 +A/Rmm1Z6ecGKX7W11L6izMAdTrvj53MtDOJJgG+vuUaj29rEHifESJ4S0UB2ILdQ6nfXjvx1kRP+ +lHdC3uhP9ki6eQuFC0qa3mD+4m7jyiy/cUa+7hMP3z4av+oKiJxhoV+MeuQZwTA+1CAgwjtGS+Xc +2o6+JsblNv0M2zqDNKBCtAOiiFPmKzWXefFQkjs9Rw4txPI4d3lvOeV5CPBXnyrl1mJgZ/LF4EEQ +/JZnk/2pWKzJXmpYHqHxMiNvjPdDm12cIA0b8Z9nXK5lawS3Kg4SBmuJgn6XMel5biAlPy21J+63 +LYTLtwiQljLsA+IfzwvGAetNIKDHEcw65epNKV1yqJQWl1TBgZYJswA+JDUhCOmhp8MA6T++LjQU +TX8IkO1lD0XwA4LzFBPEG5uKjVkerCoicPt3HX/MynmAyo8BtakvkpgT8wwYsC50kH6O4N5AE1Qr +LYfQPuFK9BsTWf/TL1IapgSSmr1hh3ATSRYRs38zjINdRe9aCuYAve1X4lZl7cptT51qcqR2ClEG +Aj0fDYVLQ4zRbqi02FpqOWwf2VeWp/IpLuycc0kbUDZbBx88aOOTpCXkxscEnv/71FVsph1vD1s4 +bLYDOYeY/PldbmzWe8kmpP4Ib2akWzYwKWSEUOlojJlDhX5XjrPcz5goq9QHcHtYp4VOL6c0694F +BUYPAxGpFd36hjJazdLgm9isB/enITyi/gch3Ixk3iegFdz0DwW9yhB0EHXHhgaJloZHMo3L8Jjj +1w0eK26TsRx4clVoTNt3fK1vbY9B3gbGUvs/sCcjEq4tLpg+hZ0j+rKH1slfP06nYiG5Hl813mcC +tLTbiHopP7eEQQhSiqofc4p9YVd/gy5bYFxlS8RqrlVs64/BW8lLj6p6sIru+tuX4vYRaLFhBkaj +Nq99ttHAaxFm7TYKfu9rzfo+FhG6f8a4SqJpHZWpma8S3apTE279WmEUqWjzGQuvJ4o5AaeZmhqN +2YZ0ZZjUSYLnhqNqprRjhmxiZDbMRyw/BOsB+B/BmTHkrKply/7aIGzNJlh8jMvYLNAJyr2HlzOa +d4jwm13ssj84SDxlnRhy7ulnlXycJmg4n3Msl8HNC0gUF9XZ2g9LWe54LAZcWSB8H/9RDQSUTT1f +0OZSGzPTtsu7Yk/us6XCWeqviuJOKTDxfuCPO/kE5iRJsgUPBOKiySrALrvY+irrmpUwWxPcO7l/ +VGZxpUh4Neg+sqmNVNFFniWQwSj+KLInLTPxEhOqcYqaEwEFAxGwqz060xe/WaTh9cvlJw9he2Aw +3UhnNUgu3Zk6xmwsVznX3A/G3ULCQB7LFNkMN+fo7BIXKh72xrBNhSj7nwL6OhCdfDYsdsF5lG3N +0KEhGB8ezZWhdKPN8FDc63xwES4xQm7nCYDT+nXuZEJY3aZW6VmTygkDXHp7P+wWe0ysM/PKHWxJ +5l1QURp1BvOiFGGAya5wOBGUMBEdSnzDaBmUrBlhJ4uyyJ0hJldynpuohQpwZ7Dr1RyFMf842MHO +fnFsFyuhOhWxt5t/3nsugPJJgllSMCeZVaqMC60ezPWf6hX7EVEyqf7tUZTZhwlEbnXVmQvEbmi0 +77wTrw/MqCUiuDtPZP687TMQ3d6Q9Qn4skMmlE1ppUEJyN5eg41C8K8iBDF8aEwFH5QHsCr0orXd +QiJs7yTW4ZXcbyr5Yd9Y8AfXjKHUSYmLuAFWN+baaYGgO3fyXowtwOr1Atx6Xr916ZS68msBSh1e +3KK4cOyIda6892zC+9qBfe5qX49avksrtzLMkx5fyiaR10Yu2VPPzqeuRTkhBpatjarFB82wZZ2O +p/ZD5DfcGus4dXiqYYLl3poV2mWkg0me+sSK5ioTlDxuOkeTVnuHJH5oVHMnrgQ6OMHi5ZSbZAXF +bGppNPqDyTDnkE0rbtMp0+eV9xm8rhaFqDueDxfjD8+vNUFaZsaxYefpq2Lrfgoq2OpCKgnFr8HJ +jRp+Jicg2GHe1a+Z5lzurlbIA5k6WvfXra3p0owLHE0dgGPs1lSSaVbKbpPR/lQl6jtZhAj/LP+i +buo0YiqBlLVowqOR4gf+0t16F+CpKQafn3Wha3Q82o7Pu02qrgb7GFJYDGq1WDwi6QFs3tTziTab +2rQRXVjIp7grhHLkBkNvl/bT5atB178y+S5fr5ladywzcesnhCua69ANoa0EF2PQNkDPqBF9+3nz +nlmT/9qPpDbt9/Uag6cHw5atnXwp/Vr46ESroCxFuT0DmVh5pAxReygHbfrEtN1ic8F2AwKTFJ4a +uKKnxf/uGsDVhsHRfHq2IYsI6GiNQiipkhSMxBDzbVMmZWRMptHrLFDiTdPNUfNjx8w6Tt6jZw6P +zNnjW/U6B8WHYXfaJ5zT4oD9noZEQcAtOHaDugeyoYLRZbycnaRYyqF0Wlqir4nsWtPi8+lNStxa +p8RLxTM1VwwBufNUmsOFL7kEaATrE8kBczZEb4d5mveZ0OEUkkT8dw7kBC+9xUMMifwOyF0k09Hu +4Azmbracn4813waYzy9JJIKJ67fJMT9QorV1o/C10oG/6AOtiiG/pT1mkBxX2FLY9QACr8shC0M2 +KP68HfanS6hRkvTvD8z61rzZhtySYQoyk3VwEXgeEVIEPxriKjOOcNz2KBOlsxOTOUROfsIkUT0I +YstSI/xgdOe5TxNu0bgPU7QHb016rbTOXi4sABSPZXUBHOeumgFSHmumvMt8FbAPAyf3g3iegQf+ +TBuBTa052sN91UrY8yI8Kqf/0ui6klj1o1zYi6eRUS5SdhYK6My/VsCXIWTQxdtCDE1UOLvR5RQf +DVkhYZ9Rfly7Id3TCnawQwsC2rUfv8xSKYyJ6A15sTF9bkrblfefPbL9fcXIK7xltjDJ5ah2ikUV +cDrpiOCdPKcW8tyopfOnE/4Wr6A159J3ATvDThX0SR123RymRsSjQ+iC9F7sGjD1tUWmJiEf/BL/ +6JSR2g2q7yN/g4QpB+GaKG+bHfJWCjZ193GqMVMKfMvHkCfmLVCIrz5k4NzTTPz7Bn2+HeYMEOXW +TYzCVwgB9f5QZJebw/+HPf1p/n1pe7I813GIgVSk8S5vWPdiNH//vMW3TJ9wFp1DzIdGySCjCpVI +M7jDBrfcz34Gmjdned02GguZP5d0ls86d7SgyFHhpzlL6C1ZFoJ8niczexGuvOGixFYp6QweVFWf +GbFkfg44Iy4xs8UCCLJmyWA2dtLLDL4rptvpS0mDHkTkaIsicPxmkeqJ5HN6lKqShn5XiEihCDSK +Wrxg6nuArId01JCXTS0sGATk7tjY4Do/uTZmh8/LzIlixKpCVqwniqc07vTTflHjaMEkyQc4MXN1 +wZaDnHZ0UP54FupsmRdubdhkR9ehUtc/nwTqT9Pg0JWWd4aQ4CPYTbCTp7LeL5nyVvzWnfv0/sXu +5mt2F+9lEqr3MO46SlHOqWhxEMpXH05SgDGczrHv1fK4WyIJgLGBx+JxZG/xVAEI/lzLlkkFIsTM +7DX+IXIuAf0BF/Z9MlG2h6S8hS7uvh5+gDuBzzmiga0Rsr1RQKfERYjq3DJjvpL4Ddu/b7X1GhAt +My6PdgiOG0NSXnxMMj5EClFoHtIUbZxvqrGzpuLZkNWebm8Qo8/zUIdu+Ikq1k53w5iUVgB08Lb3 +27H8y1Cdi2wGxrg7cSapqefM42sdo52riRd61mwDzTzxBcQYjSexyDXWjjzljw/QYs1gS1ZD331Y +mG0Vf0K1zC0R+6ab8UDgh0ZlNNTrUfOnltSrOlJZdi/J2MXe4vPpYzzIcEHjDxJ8K94277XOdvgM +Dyzk0J+/PaHCL1P3mt1zndqnls6/CSaaN2UhM7ztnD2e4yMbtswCTlIZwQ3Q82W3IEjUIDrbpUGs +TZ7wi2Hc4HDmUJsbkbOLJVRc8+3SpAMoOk9RusQ096nYocW0AJyIkqClmlNq0hODwZgeS41ZB1Bl +v7npRhuCi9lOpgcc2uywc+HThB6eDyu5tzT6ZCU8VQGDkkEVBO+Egqw4fIJhmjQXf7NZ/lAARhfD +eSN3e771+F7+TkAVi1NJFOAtaMfqYR5qICUt8dJx3mXOv2PP4g/jPzQ7qSbKfCVsH2mSdxYUAkUX +pYmus1u31n7lifLwfRXX6HSiWRfcPTRJMKX6dPnr1DhEiEkTFoiqO/9VKdDqLKXyhPgWInYoTspc +Vu7gUHzNS7riyutCJJXbvvuXF8d0pkScGtm5SRXFYV48xCRNgjEV+OPh+LxGgRIUCFzrccSKIPAd +CSOZZn2tJWBcX88KGdAWcWzLFtGv29dWzGd6k4QH78xeeXQNoYNDaURrtgTjo/ItjG2ttZ+PI1uF +rE3KG4ur603jrOS+nWEYbYh6CCJ9tI7jXZqVkD2mLeHq8FoQm5GzRHYPUk+eTJ1j8LYWjVWBS9sB +SUXHNP3Zi3bvLcB4X20uJ8Cis0w1ro34DLz7Li/US2AcndlC9WpibmDXw5vM4YihE//iMftelJJQ +umiJM7raTBImqVMcpuTVhjqRQw8e0lOd7Lib/E6h29PXBKljhUf42vbKF4l4uzKYOgoFuQBosztB +kgcpUKFiIhWa0ZIQHkSZCBzhTRU4sunC8oDBnLTotYn9zCdxcBsSI/d+odZZhxXpv9alFdTxtO8c +RXnhOI9BYHdUw/EJ4esFcPMtG+/eomo5bzviqfCyrST1VVtFcSzu9V3repNg/NtLj59Upv5sZgcA +58+ZtBiMZNIwpYrPk9EyOZurw+1EwutZ8W7LFFE46C/zFxrXCemfBijDV1AJ5kDNp7AINYroLpdu +kM9sUw8u/qhlK3OB8uHQvd9eM6f6mO8Jyh+FLo/u11Bz83Ng+pgEMMIpJfvGeGh3xXspSdY2GaqD +4LI7+GxKAb36C6pGLDiaD9v1PZBEfa75T8x94S6Cwt7twlk0pS2wmQVuLkhmkO2yITj7FpXxr1Xn +ETVrjJSJn2qP8D7SR31CU+lbZD1kHArnT0xDvHZ8xiVPCxOSvtN/F36n6af/JMmMkbxi4Z6NMmeW +LExvzvteJAsU5z0qqvUDGHBSFWweHpk/FMo/SXxpiY66Bo/GpLOc3TrlI87K/Nhajv3zarOqvGL0 +SYj3WdXTMQf8WWOIN7pJ+MJ0uUXD9pSTBpO7L0+KsvzXy1a5DBv5+ycwP5fJ4UjGmzZUdToXNsNz +LyPQDPiet0h4GzxVdZVXpPxMhkOGDYBN4tJohCBgUWsD62lHrPvA3lkJe/VtKDh4JcNG803PP4/z +8y0CXPNeWAUDfjg5uuY+7Zekkuv7zy2DSocFDbO/Nfm4+jwwMroxo/x2HsZlB1xhxUiQ57L37t8o +VwzUabubFwhr9PPjLxpadpdZeeP/jdPUnpb5y3q7glA+OP1dT8GgJE8qwJofCcOPGlZKw3UMIGpn +cyvMRvRTdFV1nSrY55cmxf7XQWvfiZJyr3Vl96+oWGLd2QLT0NlypzIMYJ7ubu0HpHQEA6/MWGsU +VziDYRVlhYvlVgtq0X3KYkPfOt+lfgtbnXHqpBzxQazzqvYrNMY1f8Mk9vftFK6cSvltCmjGKaXj +nqXcUk4LR2TnfLLYozfQ2szBsXPNNlXg2YFiWYeh3IKkbhnzyZN93XX0hdxQiGs5V/3PE0epcm1L +sJFZDwb5fgor1QoBI4fHplTKQCcl+2JNuBp8PfMUkQ28WRbzEyLyoeqwzP097TYY8DloSIIvEWic +v+zsyGogjp7NwQdECbW+93Wt1Cc9qq+sE+QYnCxeFZEF/GX7Gn8Id5+Ejk9HAsjLZTZ/zj51uy18 +2Z0JiDfoQ8CXZZ+UHiXbFUDw3EFYXOLJafTUF65GrnEJwiXiWltsBFkdcjCvrK5ooNTwsLpa5qxb +ZZyL7oz5Nft/QxxbLvb5emBws2mHGJdwQZW2crZcyzQWHThB2V4VBSEcZgx15hIQnrpksVMevFIe +x5I5VIQ/D7TNrev0hcD8U09j+HgHCRH0MG+fW1OKWv2MltJzqqZJUARFYquyCfxH2rNEf5pS+7tC +iQ0Kc3VipTAiSFHGTXajX1irT7kEklHtSffPA7OrZhVcQYocP699rmnfk5csdhhC+KAqgioq63Wk +221e24Ei72wQzHXuhZn0c5Pyc9CEOkwKDswk76WT0OPFAyE+mQQOu0FBAmCfda8ZJZ4kGXZUpVdx +OKHy6DI8A9mhlIDZYyPhKbEg1DGiPi0fN/fxFdXrvE8AbmipHKdZiPdTDOVYQVZPvCDHr0v1MWRa +AwhN1/kmrsrTCFIJ/LFbG51ESm5A5htJxw0VajzNq1L8AoKI7HIRHUqKFjKCkB8e5cHEaddUZcTR +1cRXnps7et0AXwBAGitGpbVe7GAM23Haa6pGZ5lcXJ3Xef34eh3EzM/VasmnyZZ1cOSg9DJrbJJy +oO60UkUoG+xeWimsxA9wJrAp9pR9nXKc0jg1OwrB6IbVXUlhdFBcQSooz6IGyfLaYnCziy7NzFmZ +rbxd0r+Ub4avGrGsaoNv9u1TbZO/ddrB7vcyg8G9Ck7+Q5zYRoiYpcjQyo+gNBVedKplujOjY9F/ +f+lN1e//bLzk4J2E1TH04Y5QJbWEPpA4fFs8qUObnP1LEAlbqpawHLTNcLYhwqoLQ8dkMkW3C3ZW +LXLcq1wxgbpU54PTBu/tBzZiTMpUAMKtoMSmyscAaaOiE/1llXTiG69Xzmwf69QtD/HYfh016K0i +iObNDXXkmNOABlFkckThZqhAt3o/fTETib2m1ye3iAntzUiG4KdHJjA3xhhGapyUT8x4FTw52uXy +LWzeg17iAIDXE1+UJMXblMsLCwHBC7VW4pWXAwXdamvu7S/SSB2V/TjUuQhsr2OZY3TSkQD+hCut ++PyCJvd/40NYYJkhPHY7r7pPxO2DE5RYBdkJOf0ZBWfagTcBALZlPnDkpRmZxBqAEmdxROTvB31C +BsUmtD6X5cHMaqEAsQ1iF7u0pMm5SeUhYZ6goKMofEcG0ZUCnrry1++rZ9YUwF1U+Xa13A05nGVP +1TGYh0UvgjqfG4ATpVbQ2l8cVkX2DGJFhSISwk9g8WViNCYFepSqr0QHfhqDWo+5cYGh0OoT+Gx2 +1lVXAFceNNavsetINy7Jwyy1kbnX7MmWuTa9NTR/oWYM518T2jnNpygYW+xtT0ZnZEK1rt2ZZtyA +xAlY4b9C1JjWQ/yU96BNCGrH8XBp6kcd11yGj+sDWz0QhBgQNwpNAKoWHq4qjoq3umF+qb/vLYrP +7KwSQr+QcXm80CzpVhMPjFvNcJr4oF5D9aR/BPjVp1oOov+LADyih/N7lHXjaF5I7Cd5BY1yGtSG +lPhRMbSajtYAhAI/3kGfcuAx0GIoLxvRwP4DyIaxZIrHlslb0FJ7Z31s6W4BFtDkjMyf+nwZaiep +Sft6s0WC7Jfuk1V4bms1W0tyvdGy+T6tkUAENblS3KNURc6LVzJZE2zR7djKFeKb9GemAi9V3vjw +KXqN2M5mdU8zVb+IT5m8rjdpp8wr475dftATdYo8voF7r5L6xcSzEXAPJGOCpsCLDX8Cv43QakPU +lL6dczvgPtvyvpXvT/w16YZfI4kR66kwLA6Q8zS6KQHzYl8Rv1smltJnVrWKBdP5gzuf8SnSTU8e +mh0MyvvJB1yERZIsqXYHx5hu3gdTbVOO3A7oqyhKPoc3X/YocvDrcth8xOYDv4GmHFYed0RB7/Hf +S6TKxjv+Jks9Dsov2mjiHEcxUIHu7zcZ7Ci7Qo00CPmVUC+usfqdTlL8sXWV9M0xwOX/S37vX2Dn +rZk4qx1AQTnaCK8T5PnbJeZ0ZGbFPWEnz0vUHmGJmsgdiH5YjNZ2Fz/Gxxy+FFAIXMMArXeQsBon +7FcN6CilSGc0bLB1ZPVgbqFmJr8r6pngFrxLdrFqKgc04bqfQFgrn5hkGw8TWx+i+ebajdkrTEGl +kQ9XWAv18C1acbi7CRHTUvRowXglii3KDqfN8waX0cJBu+fLGVDPxVVvWeQ5m+3SD/Vlk0MfhLwj +Iu8Mu0quhhR9OWbeSvttnbkClqHOFayVhtO1fU4SBcVk41cB2yMJ4YszSkJH9T165/8oZznIGkzs +VEwbLMtb1XGMWNrFyxvdgXWpBsJGisNll0JlxgYmXik9Bj3hRTxVT2GH1u1lZa7F7JRrWIulx1T6 +F9fSJqRr0h6iAcvlE3C+cMeoFEZ8Hgxqx1MoGqtd/Exz4vOZUL738InTxSlxfgVKiBwmaP2M6Pt/ +TWBjT2EZXYWiVPC1v7OTxFkfaPw74TzfpF+OrI7j1Y4Yv4nU+ZUvCbAh48qglcmaqwiP0N1AWfOy +ttBv7GA57VWdvi5imuhfQ+jsqPKA/bePUVXr+GUNJDLqv1ndlWSlZ9DoEtL4xRtRa21Nn+F383Q1 +610yw0FLrtNZsJ2A829rW0SE3yRq1tN/AXHI2csHPSe0g4OZt3dV7b2Ofo2/Gxs251DAl4kL5lr8 +CtHNiUGQh9h36Nkxv7739LPhL4/2V4UOkDhRmlqwagX5MvZVrWUjcQbrNGt8x2/I8P/+wb8uQrSm +36iyyn5KKXv4XaWwym7CxfjyTe6jtR+3O6dgv/mXczjbi7pmehGL+6a+HfqMPxomwCS73+b4WHeN +JwFFgwzCkd32FhNEIUxwCSkS7CHm94q/Bbf/JfYIN9s7kb0GYdHiiOFo6B/IiTYfyWE4Kd6cYokr +FFduOvqD0kKbUWuMLcr7ae95wX4UvrKoEqDP+exn62PZPxjH0+Fdo7rasqV5vzmt62U/1vHK9mig +JzkusrVqQxcSUOCz+hcTdectFZSJtayNUwltLvvP4VU+F//aDlW+cTV72kyxtv7hae30Sh7DiTiW +eOFAoyQyfXdYqrJ4d89r5lXMLvsHUwYds5gtT5MLip01qza2jZWnXgDMogwnUgKFZDyUlGJ8TEav +xecIEdTJ0P2vIwNAu/ceogKRge+/0a9/FX3L3d/ce7YmgpGagTdyIHhjXxRJwACqeWCb3deKa4lo +Q3GKZmBVgAKAaeOvqcYKO5XUML6HciuSGB1SnRuV1sTHXgVTzY3Pi9IwY7SxkPrG5e3AHGu/QFuL +Dfdhgo/Eb9Y1yaqkVoiPWRi3y0I3EtDdwJL2WI9pDRRqQPgZJ+hXQvlxB/ihCg5MaBrNoq5Cb/5I +AflY+ZDdZvHvAL9VyY0VbsAi7ezVpYHHTcJE38UUigyzcDlxq8cfcfOgj7dCiHMb7gXdMdnwEGzd +FpBgoV4Q68UTUQ0nQnSEgr/dXQOICFLVlVJj9fs7avgbvoofFe39lYJpU4uosO6TEEoQYNQuuNid +Lf8y7vTab/By93gU6UsGMms67z3Sp7uvAKHtHbqXWYf7sGqZLkv+4yqBqXmrRWlAt0nRc47Jyy3c +K3CawV/eo3R6ZikfIZGbNqM/Q4N7PiFR0d4PP9FFbEO4PoP+JYBIwPtdI3lhqbOFISrUQ0g0a9Ak +jmMY8NpTofFYuXtIb2BlVd8dDAYunJLpPDau03bn6ChnVohpaqRnjI1YMN0SJS6Tr3mzrqqaQtX0 ++3ufUJi2T+pUsJh8eTNlGpdq4fTE5jdsE7G9UN9XNKexJWopH6oT2D4kgdZzUU6mqAqA4J8vn1vE +rKCTei7o3Rkit5H6IdAapaoIfjNYMRaShQpmMEKrUDWue4yhT+EP1q66r8WzgOHV8IO9cAFHCfTo +KRpa6gE/T3vYmRqHSDgrk1PGKLSGMQGAUmWzb195/Ytu++npiz4jusXNLYxotXBM+NneNKAvWFbK +IHalfIEP32NkZdPenYriMDyKwPxZB6r1CW2/NxLAct3s/26+aBDmOSOwJZNbLKQNzUWShX6Lf89E +B0Gqt+ygqQqMvC9oI/TlsLj7gG1YCyEJQnfasSgY/s7cK5G0Bi/XzHugiGAVYJottkHNUPUU1DPG +naY34/jEvelto+ifsvMbuhG3U+bLfHseIjd4R1lUI2qU7u/T0av5+TBOZk4DFk1aPoujum7WYmgE +38trWa5fJF4Z0oiyckR03xrxSesAgSK4NAFraaulF11pllre+3f7T8LRnp8uipvVAR8Zawc3bv/4 +aECQabF+YnyI/jhnKOOfeCpr4NF1Cmblz45oyq18fPtbsnm7j/8YmHlM7yetMHUk20qPjPWr0DoF +ZkovKVyGqlNgaHPILLjgxg2bPVCAcq0AFvXGHBVRnR2jJBF9MT6o88vtZYXyo+2SuUQbAlPP7oiy +wM4zePQvY5nWKOtYuqxwV0Ck1gCRaHbrIVIxVt0ZQ74egjIbyM85fEoMz96OBx9gfXgPxXft2Cjz ++Bpp/U1ENi7dhtqkaJZdE5on9HQ+n185rxmbUqXowOUFGA8eXOjNLIOC/c7tFAJxQHcb9mHUSyLW +nEnjH4rKWWqlrvUZ4B6Gw3DcjdGdwwEreiHjFZnV/Rp2pockaivrk6vYZPCyomENvp0URCg18Ldv +/RDQZ3ohpNd5L8aigMNl00ZHU7rD42M3UIyxGRSdAog9tXW6W5lHR1apOM5A6C1aevb6UniLgHNo +ZHenHbzYdP2d/iuGHzJmK/Ud6TJq1qPbKgrVPmvmxCx0diSbzplBqZKL3I8xM/Wi8l5to1/CLl4C +1AGMc5GASV/YwoQlFwvQumQdPH68a0Sa0mygZEAEAwvMrwKajWfbromLdykYf0Y9NfMuRlzFfhFT +abuZImk5MhLRfe0nKfAyqpWVfSigmZVz5NADe2dmowCApuuuqEfjtq4U/FgpeoUIeyA/ftdQJZm7 +DnQinscQLRQBAfY/V4uwWbj2TUB26jxGrL8un2idVjBJMOD8XrDSTsqDjVRJv+HKTl/6eygZnIDo +PDoU+4mi6IU517iXAKmkEmrZHbQztAYeKnxjq2/XqjIZiu7tpplsHwBfhq1+y4nUowBFqDrFvqnh +KayIEmIifN2pQ1NBNY1R9/HUFNUmYpPuHFPhK400Syh9FJ28QRaEk3uGYw1lA/QwjN0hpt0uvWlW +QI3VPrfnfrqUdHBt5IN84zcyyZNZ3qCG2+CpmlIljrCV8oIKyWeUTYJeCwTarf58p6qEFwyJOrfN +28xHfXwO+imxZ7EUbDiN7Py/O+Qb9MYHw/gx87JfgWqPElnMlVEL54WEsKnPh0D39cG/M1WVZ1BJ +6Is40lcYXoy4A8t4KxSajx7Enb0xzVioKArBvJVTXjIgqhGJCTXhWYHedQGKC/dYpml0SEn1XQQC +efQh/GcYQr2w7Q5kSZ7L+pcZJYY1vYvHKKWjw9PqGf8PX6JiKDJl7O1FeQbIMgPJJdoM66g+CgsN +XMDkI3BWbpee1unLIuMczks43cYk+Vn3slnHnp5Ji3oNYiGEFMGeRrHhmgVAidyIeWM3esoMzjxg +MEs7AduRnTpbT1ij58xjNa5Rebt7qIu1nvNi/ebdM2HiTBZXkb5pXuvgWSEI8zP5cmEeEglHTD4A +RTTLClExP7otjoY1mnE7iZd3ZwYIDZUH7vAcfK3Vv+TYpWf3zrIMDSesGpVBZgQPS0UkpLsta0FE +1t6n00pJz/IndOYAPvedYGmpCHAlvM765QK6kvA49gPcYf0cl0CfLziONddnXKsd4RY/C1Zlf9nn +/BQjaU2s4CRWP7kGmP005vUPgfXMm3JeRtvL1L6f5tbEBmEJgMJsH7uWfXedwfTaDTWCXEu7mf2m +D9dt6ZwbwIdCxRWBWjnqm+BePpVQBDe4T4Nq1mHDACh4LAihUxQot7K/8TYfmypSmA8+aHQHzae8 +PGWEpyMtOWiZ+y95DixUqulYS2t9k+BpUGs00Yt1WOG3X8GovzG64irPpJIFjjgVtGDp4s41Onnx +8/7b+NnPu8QJMtfOuQLHXpQrpiXx/7BWJEElMypkfQFaDVN+2MSeTgVTjdHd7ghrNbksii+hM48e +T5VRhbJpxJn390qE+xq8kHpRbEJLGoNsgzSsgaMqkuqbDMxIjc9MMmC8lK2Mahui2EnofTcMWYGj +OP7Sma5VkxRtwMHcmj0nAP/MKB1pIFdMLC3CtSzfZ6ayrXsxsQf0U/4Qmw/XIG0kE5KEZzTY2LFZ +109auUAaT/343d9PU+sbl30UtQaf0O7sowM8ywleGHu7byQUslW5CCpW19xTgUXOHo2ncl35yQRG +7aMsVo32GLq6k/5eMvF9CWLqVRZmPmNnRgJnpW53s8JLV/LfpxXY1y8ChXyrWBHggwSk88oKJFP/ +kt+kLj889ZmVp9dYK8UvO26qk5O9IiroR6OFoATHpz8RBXEDmryrN3+hmlUU9aPnXsIS4h/AUL2W +BxZfyo8tJe5q7X4dS5IqOXhhzNAk7jlN3RNTCpzhoK6ns37Dcv2regphud5I+e26sIAQUCbF+bDj +WtWS34kuP70gsormXkukcvSxEWi0t4tRoJrdPIuQFIa4TkXYACbNbYWFX0SBBjoRyfEKo+uM8gNp +zW/TqtDCPzfMJU14NPnWHe6w/+u77CzqLLGKM/9DREJiKxhMn6jjHLKyKJrDFqe3HumLkD62/4/m +xh8cMclhk1JCXOGhx+h9Lkv4ARwPqNYlG4h1pXacX4ZEJSZg3nuZhABJiXVC3UJifLxihiQR++r3 +hGkOVNz4wjw2GLQSbge6Q1mefrmYmS53WMx5S9LjKSzOmraIehT7yv1wfZcCUWJps/dxGJpG/ejM +sxYjvf11LjUdKiTzwTIX7+5CblfFuNTdnmTnF5+IxhS0de6rqr2sLcBVa8xxgi5IRInc3nctwW2f +/edeWakgE1OzKy322DzXhWQ/RU9MkglofZAnCbWwJm2WmygKmUGTGPAU1aty2KF0/sQrfsuBV6Bz +n1IxJBtwYBL8O1O3Ovmnp166gcTQixG1lA4FmIXxgW4jCxLyHctUb9ZVdUWViZ9pZH9MHJi6WD4V +2LGYnwJfQl6eshShR/s0KHndygtO5JfCiHESBEUD/W0JskkZ7yfPyVbJ03+K56hK/Z0iX/SDgAGJ +/xiHa/WxOt+tda+AR1jdgGVq2QvIH0Yl+sBdlYtmgVQPg6qCjhFquSFGqW74OgUuKuZkdCXlof4e +hN7m1UzHVNb1sjdBkdKfFXg3TIi88BA/dYpvmgaT15MKqdKs3jdkZi2BlAvqsxs9mxwyR8Ww35Tm +HdfFX5oWFNngxmBVQv2foKRtZN77t+VyTUUmW5wjbSSRIpyNANrTE7ZVwNo5JOlgA5IZ/GTAWxPR +rasCW6j23TqLAaZuhCc4p6p9BpU2qzCrHybFBO9Wt7xMrtuWFDVpIFcht+gxN8gQMEj5FGja06HB +a+R1pcWwOHz7S9ofzxQt8P4g0RlYS2yGWrdlUpiZ+A7AMC8k9dxnrY0c7LtnAcbb7ONbxQwRdcq+ +MIb37FYk3+j2HPOEPIG22jhGWwhAYC1KzQG4/NBAHA2q6oDI1t5vdd0cSOEQln3p3OxgRBrWk4hd +G6ehC6/vbrbPW0BeYLavnNTpQQonvYQKjuT10IbDupvPROxU9sdJKQUGmP9QJoI9wSQYI8wtoimy +9hfa1dPAwLBWaIpEzzch6Wc7+PNX+maSMaRRF0sNy2YgXeFGVjC9WY1VKh5zh43aMxeKoZectF1x +DSq1iUR04guNBEZ65NhcQiNC2ujkPjvWJK6b1qrGwDOQ/ntZ0Vr5GTi0bLYBmfjfrU895Ym1ltTc +ms/CR7zAbW17hQMTQVFlKOf+4Uj/pHIbO8G216ntk+4Abmjv02S2W6rWRzMoS2QmmGqUqHX4KHJR +zzzlf4s9t2rp/wIeNcA5nN6hq1T+R1IpF0uShvH9l6eS+NFTiFGUdFl6QBFDCgzWGJV8/j4A1KGh +VbTxJgnOel8nPmRuatPO9pGETWT6hJecrKB7gH7q7Hu2uEc/C8O3tHHNzLeg9Kjw2gJWK/tm079p +is1ztT1t8pAsGrH0a5S2tE42xTSoE9kWemA6Mw2khrNaeuHA27OYOARtreOwpU5LcBAy/HBz5hx6 +zKF76L4IhUhDhKHImu5OOPnfhcAH42IRluL2EDi5AxOU0j1ixxc6UQEhBNa1sGAFPbGc2KnmaK2j +NEkrBIQqfOy0PTHFKDUOt9XtZlLEBvljgLzZK3TumqeHyKh+Ruih9HrwTg1lXM7Gk06GpfqR4YHL +HqpOa2pZl0i4viyNy6reUhAzVdPu5GklDGY7Bt8DjSIEAccLZyrkGRvGSzuqhEV8d8RJZ1VCXbo8 +z8+++rsCxBTLsCywnCMbqIPx49XiiZA95RfEQm1Jf2KuiPjGNySvRfo6TaQIrapoG3K3rwTSHG2O +M1c/fGKrcfQm1AT1DmlUIpZXAS/rE0NV6u6a2Za2bxNblzRQCkN5j996NPHmyYVVYTqYQUUPCzO3 +TxR9KQDdNc2V1/wORya790y5VzBOvfUUHaiIME+9Zd/6c8Go0bnIoi75Qo8Ag9pLexhvKdZlgD8T +ZS2cJQG+NQqhEsORZrKYuHVrG8Xet7FRIa2YtxKSNko0ZQzBKkQ2/Qrbl/RF769UaEM4Y0EtJYRv +lHTCJnrjqZ9Mnfw11k3GIRZMR1ta4xxZnmCCXxA3pPjkIipKY6yF6vvmhNDOngb9S1PM+y3rIR5f +SbawZgsfCdOoMuzTMfVmqajQPG1tqbkYxjQKhQHiIf6pfQCzUKMPwgvptaFwQ4lpp6KAYkXfcxWO +axYemC7qDGiNr4b/HD2fB1IAmhaPJB+pdNkwx20BX1lSWEN6EIpzYnqtj77cfR3ythm2UHLVKZ70 +vQSed+ur7QnpNDGIISlyEhV7Z6cxRZhFKQjGml4uKSdNlxXgwV4LIudmzvPrSrxt7UQ2c/BS5K/S +fmMad4nYAX+niOUq5vl+waLK7Z8nnQk3A41ZDB2RMvgXbfDemjiivuhe/Yrr3syjf15EII4WpARi +J7RIjhky3Dyk4e/rpotLI68PRgbBsK/AD+k9w5J4GUz9huIxs4hiX2MvWuKWkSTFhIW4KVKO92xg +2OgnvCeGNDRWiQV+EvFINwviAHO+v9BaPuecwh+LSqTaMhU3PpoyZINYxFTefUFxiLffm8+s9oHz +2l5ztD/FBIXmq8V/shumvRVvJdAGi5xnjqm/7WTrzR+Hp+F0lflyXVYXCoTrGhZT3U/NW0eGu/wR +OUBrTRZb33XuVbNVQr+CgwHO49aDSNSrcgFeVl7sWE/7bilnqgu0Unlu0o36S1ON93v0OFGfDZbI +fwlB6a0X2PqTxO2/tlMXte8MMr5FcoVMZMQIso2zrh4zneEXQD2QzJ+YxiKCTASPdI0klFvqYWwa +l/heRXrGXtfz1h/GV17jiRXbCLwkd++YdbioFl9YQNF5opqPytKwgz+z8bWxHoGHnwvXvd8oZoy5 +XvR0vFpoyLn4i/GN6u3IQh6CkkQp6I5ojRI/lMIsdc6Ve3l7Gd6P9WwK+YrbhFx4YzejJ9weA0Pq +6TKW46CHfuV0HT2lxFW+jf6yiVY/a+JLsRUN5n/XpIiC7z3JGuRcM8+4dMLTzouWmh2x3rqUqvMd +NsoS1wWsK0lBmUUCWZ3V/R0T/zijltoYZ0unVCoRH7RZOEsQlBYUmp8I9n50yaSbl/WSyujXqDXO +ISHfgIdzpl0L8l8BVZH3D5J8SiU/9QC/IlyC8nfdp06L41CVo31LNZwuloC67E+dGdn4+dpEHhVX +129PzxvA6fdPxsLrBZigg3j9jvenvmrG7Q6RXOFsVjHAOrOJmmSDEMZq0CDsDWUr8nTceDuGpSWg +AB0UFOD3xd7p6FWYWwQpwqAgDWE6vNwgmiQwEnrpGF0a3+l5YsjU5R6+mHqcxuOzioDt47UhLArT +f90heePY7uplG08/aU9i3+epDbHdcFXNyG2w1cKCDffbVD1/vWYzCtUhlfqDwFsrTAG1jcNBZNCs +tkkFbL3SygwKdKv+YD8+US/FJd8IAhaGFHVKd2DGEkpq15LUcGiAm6X/9TQP/KXT16Vi9xtSbUTv +BVegHd5bomytV4+PxmRugaa4MiolDs+esG28U6XaEW32Xao2DIKdJ7ccIpFtZBne1CimEi4FUYEM +vign6d+Kkj3v7In6tX2JWsjXBr8fBsbes6IgGri/g5RkkG6JsX7LkI3YV8NV0D2Y2MDBXwnMiZ3W +xbkNpw4Zwv1PCGwzbMTfMRHEGmL+iZPkoSX/3wrSPRpdc+hmc4lWk7xwJLzqUQd6c3wrv/feFpZQ +oWB1dd9ABlppPjxd/lj+Jcv5UWk1h2/EnNfcI210Oyp4IRa+uZixmVgkbtE9LG0MpyAR8lo0Bp3F ++w50/EVqPp1CdOVyI+GWD3ML+rzFRNFiJ0J9mdtPrW9ezwPI1fwG5dp2z/2ZY3xmEIDfwH4KMkkm +RUIkxMY6KoMfy6o42PH5AHwgzPNhNrTgdpmkzD0h0XtMp/5/AajJAxJwSKSWAEtVPatW2QzoSCwo +javSeRNtnritJhPS6unZLQi+1fwkKUCc1PsPT4awZToDV5cwazJzIT0cG32T8baqPe24KqztNArs +Tgir3x7Ucy3kBfw8G663ySHb/PBoRn3U9OLW52U0gG3rAyjnkZDErMM05F2WwB0g2CuSEsw5/WCN +3cOPkUel5CTKqPt6P4cs7AfVN8BpvXOnDOakkJaDYbmuKin8o/3x22jTxiUyRkKO+tXaGynPMBG9 +pMe05+MAo3n0FMHW6zjtfIMNhBPg3fLrlroeLInvGAVbw/CV8pPcoJR8m2TQrKbMhWxME5qtvOT5 +nC321rFi2d9JM8kXu5k0B10Px0lWw07PEfzifthoYh7EI0V0KAoIW3AjKaRMrFYN3+nh/Y6DSyEr +ASvu8mlGvECImP9fqNCjvmfxyr2kkYR0Z8AftvPkw4zWjYICfkgL0cVKFiIKcsfX4hD24Ej28S5c +gk6/H2R6sLRSE/3tE+kTaTrpCPtErhrd60a3tOI7nZP/cvjU7duuWLCzPI/Z7GDzYcuyuR62iKVc +jyd8Bt8rYRgG/4Ce/WZ7dtFRd6jl5PSP8zfRFQ39NCAj6blKAZAH0aZEDlPS6smzOZfdRqIF+hBe +b/uAx/NJTeCDcubSt8wEdmm5vf13jIxE00eRGVFWXl22eZVMBkm7+T+fjeNhKw1P3hKOeXdiRWPZ +y6QR7G/3H3NAWjz3WNLobIf5+Ph6shPOe/6vxxfXKI/bzdiByVewytzxZeBIhT0dhxtbmX+eNX5k +Cipg8fC8c/1dbXHS0x5Ex+XTp0UGR1LicQUftkxbygftWRtrMugOlcKQ2NWSZFljq7nk23lkdXOV +JDNMc//lSUQb0LuUd2UPsT1/FAD5F6DhMgnuZkeRvPYfBtdITr27k9EizOs+A8G1szSmyrkn3p9b +mtKIfMkJb/mdofdA1iFyNyHqld9J5QvWGIb2saHuWYUWQjgC4LI39j913JM5+8AnoCfKtl03l0h7 +gB7vDvfmuU6BH/EU0AGZRUuZYvQRHNfnWuhP1Yjfz/4tem629eEso78nvykfoi0lY9vHkOgQJOSZ +0NV4nNkQaHuX1XXQXZTLbPGAo73nAN2HFfvcpmB0nZc7K7Klb/SQvn35arIIRLAy5zBC1sENjQM9 +RCPYM2qPqJCE2fSgeYOtH8IRmELSg/3y7FgdtEB/tNcJbQSqBS+9NP/FYkhf20XT9sVlYY9gyHPH +potbXK/OxHTW0AM5EFffq0p+yUtVjDvYgINTOxQCcslkLyhdtXTcfqy9F082jmruxKIGQpC/AUbC +6a2bnrCYZQNReqQa8FXpusatawDAtG+yTFx/goGZnK/Zdw88wkZ8Q4OEmm0SlilImUjVabwl/Srt +YNKMx+tm7qK6DjNhXCBwfbRWzKGxdI8WkRGStbPCWQyKfT4asvId6LIzgk3IEda2ijsrz9LHaEcJ +Tp7KM1lpAwGs1R7ezl1Y9UPRVzICoPZeZiLMkfshlVrjqIXR5jRtY3tMRJFVbQthRXl0y8QS0cbP +BLU0eibkxJ/TT4jOLWPtbjRgYrVhcKx2hx5x6uy3drTpYa0H9UTu47RkxFo/r9S1mSKQsQmcHfhm +50b7U10rgzcMu4m8d6fW42Zna5DkLpb/01n3juDJU0QACJzkJOjjYxtbvF+Wddk8qswNOQIciLcm +l402k27JjstAycvMx9snnUBODAxGMpO8JlbzMNq1RweS9Jjyqyf5tMO8lt6ZQz2v0+27i8itSDxP +C2dBGjt9cuUBEOxvKYnjvS32cFiY7imGOwZvPDBUCHzDjMa6Gxlnhhw9658a0D+ZNI1AKoOFTs7L +Ku76Es79HBRDkLkTcAxLpKAfk/9Nzy0SQy6nuUyOkyqBVj+HiS9CsVmWJpPOvmoXoOP+ZE7x3POQ +0T26PsG7ER6/1FeqVgiPmWfMHHXIG7l7hb9qeKMRuwFL66qgy8ejfp85on7TMZZIl0lO692mxGEG +cVQTLpeuu6GIsHid4yHVnogitXsWvlmuUPnwESmPbeWFr764oJiAH+x9mby/Aqpf4ayzhNGJ2nyj +UI71AOcirTFGHr7ANDG2EmEVfeabTnCQCg98W4ea1hp405wESQ4mBHYKi63foudJdXyplVrbsSqG +yTMiyqbH9DhZvIkAKi3xPYZQuo5OqglYgb/DYTNejjDiQ45z0hOmc54a4v42QHul6zyCE9spnlhF +TFNSNJeGo+SFz5Gr2LcPjDkz1oD1SPi/ZlbbH8a1e0AMU8T9+vOUyLq4fWF3F6TnNzgC/zKEkpEA +rqZ/XeK2l7Q5sd/IqE4uT+Ey1uYcIISiBJuGTrM3YvaZTg5QszUoGC3zvenaxrIuxH89nBZ740lm +53yWV1XOKZBMM2giJHJjDE/nc7b/iFBzf5SxpkIVLbmAMkbypSqKSPB0dW46X/dsT+w24IeyFyMp +qgRBHtoZPrpGOiW28HtNbZu/CquET4qyALecbfoA8e2kicujAz4Kv2KpYXI9tZfwF7+4qW1KQb/r +wJQiA10K/vPMs9EGOoGzidNb2xJJ4j/324cx27vAyeKX7k/+W3svsiY35C5wLc5cCHWGRRJQgC/5 +39zxDZPbn5fwEpeJFBuxW60AoHD+bnV5y0UpFgFdMctcBL63CJCZWKvrx9POWfb0TXvCVj1yu0ro +vPqUVehvwZpGutixokV7Tavnh2Z5RC18m4eiLv9oS+xLdX/TNYgAXDMjFDdbVKikQmjUf7B7EGWB +dMIFgNA2dZdyeSi71+KK+R3kd1r7UUWj80xFVlXq+LbzyTATqJmMVI+U9d7xxpqNRxxHo7dLb7vt ++sFFKKxy0A6/MGvCPYJ9nMM8rVERK0bThZ9tbPsR2ZQoQPBqVUECE0r3e/lhIuoCLUGdK2lYCE6l +boKItkCkACV4toNDAbC/lpSZzWJnba4UsdC9DAAh5erjJu4Idubsam4GkXFgHMRyDJf0S3/Fzm7m +luVSimSVKFeTYeWcxnS5m2TiMYVbYXC+0+i8+drT86e0t8LhUeL5I9Uewami4a3u7s82iXUrOP+a +h4AJAeG//jrJ0V+e2309jKze4AwqjVVP2NbANNMUbdEZo492UU2iTtAc9V+e3aDvq4ADyVLUhb6j +MUddkgxBS6If8VlPivnnGQEm1aavLyKoeiL//3JNGV4ADrM3vIa5bYtf+KKE9ZEn5n598YacVRP5 +L5WsCqOe2zLdCWnnZF1LTO+gCdyeTxogTkmgSwXQszejGz1quEGQI2g1lGrHu//WE009qyaycbKV +DpAUgjJUTsAkunWi5hqD3QbbECFrtlk/GQsDvNBFEDfWANo8rfcIdjQ+PY0SY46hU2grFUuDA3A9 +SxZHYd5SUspLgrnpjAoDQmm11tpDb5GK5lAlmRpawFaBShTUsBMjLRN/Aukp6GMVmI88+1GbVBZB +jutwCGaUlfWyZQ9Zk1v9ztBorOWTLpGIHYv6LB+TtLxeGpRYcQudgRjlX2FP7uTGznOWQsA0BG8e +sPJPfzbs7T860Z0oFLFGOxhb46U3jqb4UEj1cM1F0L6O9rJ/kD5e6oNutRgW5H9GApaxpQjuw8hz +wQDIvsjjbS6flOi7zfac67jc8YFP/FgSaIdyhKZskf2+3IpmstjIBTzYPukBvrIYztV0MSZ13L7H +tvkvWbw9/BiBdRL6xrGuEULw4qCGN8i1kGWaF2O8Q2vro5lgZfP7tXRHQXEELMNm+r3Q6gZMDunB +KvAl1/hVWoyeosytAOtx0EeB8BBXSK0iuUF10/APcPI/Rt50lYNlQHdqCheElkTOppVdnbk9yB+m +9bpyb/zqi1w7bf81R4UAOc5zYvBN04YZspezQItu+zPH571ZqQiA+XbLoPOZfOhfLZDGxxvszEzN +54OUYHc+oyqOag9d6yS6r6CRrg8R/UskjlcDKAnI77/GjrVB/aVN/da9sdOewx083Osiaza+SE8F +el9RQM8pU/QhNHEpiutygBQjGOnqVWWrnXFfdvnTe9EVjcsE6lrfB1P/oLgJyn1n8H5pHdfg84eg +mtct+bWlA3Ot2nuJtMxR+rZanrNq/+rmwwQ6sxvGHTC4zjzBeZOTnphUFLDlZCLkUMz08BFM6oVV +bSWT34RqeUBhQelOKnMM27+O0t3pD8xTYaUOp+y2GJtE8GRqKuT4/ew/jA/sLibi2/rs0p5V9UBy +BsEX2+6/D+zf+HXGbjrIm1mxHJcNq4ceah8yhjfAihHHIS6jGJRy5cM8ZyP3ESUN2ddoWoCFF9Jt +50xuWE4SD/fupT3JeccLR9ZtFmA17HSySF/dpIaUofnmD8RMwy7ezpr/4/98TQU/5y+MiiofG62+ +/RG8sB3w+5Q0fOrdQJLzRxG7bA8M+H6ol0u/vY1kQfg0m8X0Mma/P5mTy1hiU8OTGCQmogr26CvO +amYQuzlk4pGWV9ZGCDYhfwir0WMCi8uua0jZtSyhJ3JsP/jZWV3m/Vnwk47/fA2etl06K4ohrObA +zhtfvQofqDEAlRO7yMqqgvnq4Iy1qtQyzgPq3G3nS7Crody2vP8LDpvy6q3zBRE7N6s8vBf1wA3f +quTctuVEmBgpUhYXghR3UEj6S/JkfW6b7a+f0ziy6TVvljKzi9qSsHeFFtTl1pn8lmKZlgsHw0Xy +FQekUcyv3Pk4wwxhPu/BvFVlTO3sJiVqzDX2ZvyYyHLPm9CCgk33c3ZaKapMQX/Gdg7iII2+3wiP +QS5YqmTbKLD+HxI5dj4D5JWAsdAGavwqYtsGc3xoK6xgkkGgnufvvdZE+UR+Jqp2Bri4VvbXXsfP +ABu+mJmEyLXW2HdethhsWjerooL7IS/gMB6vzPtiUeNPa/YHLfdVDWjn5W8PVrfbvD/uNXjbLNm8 +N5NYONmi4eTwmVM29RYgFSVtES1SKwjajAcaCeOgmbrl6mC4FH7ddOW1Vs5rbJMjaDSjAyvOiVYR +IwHU6CE6Pr76LOO0BCe1QTqoP+nQP36Xohxsxr6+f9JBcmSueoiuELUSkngLHk71zq4r+GVFWeu/ +lHS3ccN7R0TNGiwQQoJUsWCP3CdxnUoXtD067+inGSw9Z/2aDgasLe//EeroWsfsqcPZRF6RIq/H +q5bFfCwskQrvdpzXIyPvmzTB1yD/uilcPcBsAHhIG/ueAbd1/kx6iOzUbsmkYsJKWyOmNjO/+omJ +GBZuopSbqsoJH09TxNZphZ/K5xRXcy0Se0ZLBjvrxER+DGmpAP209ixGw8OdbKbPaapZvaaHbJ4k +hOVbNc5BdTrwM+u46d5kj/cA06PJeuR+T+TvuBQZB9dxgMagNkPvDdIZTXac5/u+N7W7R47L89cb +yrvFKphM+tqJKgD6XAqdB80lNWKHxzIBZKmT00Uj0hQO0d/AWWCnKlFK/ILWqarBn1IeTNgj874/ +QHAFh4Jz73tYeqBFiTmow8FPsYwWBmF0gJKsr9dNKyLJ1aHlhnknYIKRCVSepOCrblYYmxY+CWYo +RUErMLdXcOiGw8jZAUfKx1TnrSFfzVDVfTarCUyKoG//Rkej7yeRO551Rus7+PJpmI4AwKSVj4TR +b3FVewbSVTabQ31/zzwKPIEgB2SluxuSThZni4+KaqnGqodv4jwOxytQ9HPOxS0VkjFHuk4QrlHN +bOXzpJ6Y0hF8Xe1DKR34tHb9Cn7Fsho8N/25DKdXw7PmQce415CJ2riFFqMks09L4zTILvud028z +m8vgb4oDUCYeIzc7bymudE7KJXf8B2HT9Ha6WbsGqRtHq2TabL4szNOHgmQ7HM383GAe0KW+F4Fv +zSkqslI4xu1wLEKWfK1rKrOHaJK1ZpaNncZDS+/4mm41U5FuiMcbV+AOZpmSgrNCmnyU7Dh5WKJS +eAN7GbAi0eQNui99b5F+yx8BTX4wrJl1pm4FFDBVsvb77IOvPNVS8A6GBjVPiFFLxf+VFv9QY2Z1 +fBDz7RkvBb1dS73MpwzOhgqqw09oJg5D0Bv8kyv7C4UqJdwbK3S26gv13CggDtwS7A3KohCUT5Px +nLMQv/kTVw7zOudigOB+DfMMVCxKagCQd+TK3BnFJeezYktIrMqOgi4gAeziO9O0lrGPTnpJqOwY +WKozgVqWxpX7PwiAS8CCIJqeDUaqHQtABoEwV9SWPJU3H3i0ypLXY7NQMNN/bmM+IsC/2jwNw4Tk +NtTA+7RahyEVUKj7Tol3Gf5U9XUN1bGnyIPiZmlCfIAUM6WruTl5RK514ZXRoJq6I1U4dru9gqpN +7FC+bOb8gLLnvPQNj5fZap/PL/7PAN/nVbHsmjUlhkhKS/EUeJwsImGEX0Abzh7B7FsQo+L1UeR6 +0kE9AutwA4yDttQ0Zy+dw7kjdtw4ck1IH3UK48wAWYB7Shbs3fq50WqHL52w2CBdGw+dOC06BXD2 +ch51kBIQZ506i1c9/DUeIc/fjn/LJqzFNBeQlTXEcx5f+ea5zinmRTjHAQdjNGate+fQF21psIfw +2RgHlx37tJLXNCQ03NUyVZ0SlIETgncWkt+lXidt/k7RkCLcz4yVWnb0sqj3j1Yx0hCHwUszZTmo +VibwjArFhYDcpUdERaBs9h6beFH1gDRQQtR8PAHoUeg+i1YQtwSaaKQsxMvO2nXKBSy8LBygT0ht +I7XSfZk3x/mS39V5rO6jD3rIVhXvDaBXi3699h5QRZLXUdZYmsGRT2l4havNKaiCv5zLyfGg635H +3UFYzxEn6uyCtiNjO9tbkB3WWVHPvlu620ef469EOaLn4Pc12a8Slc3FlSOJb0eqBo0VKXkfzwj9 +BT0wk43dfSj093XSO07wWITl2rzdxp01pAB6cmFi3RChOOd2DfULkYdSQPVUoruAmqfCOtRReF86 +L/e+TAjbFPQDa6aOjhIaYPB3IdWe+IRSjmvfK442bvqyQRzx8C2K7uYRCfFRCtaayk44Wg+zwkaK +SOJht8OKcSU11n2ZgHi8WZBPTKDHzPLTUeyjdLX0U2CKLyxcByLi9rxZ2ZGqapUWgu6IrEj21OSL +C2r7CwyPBV3ewpnzDZOrCxybkeX1swwnWuLsuVbxGKSqvsHhzNWTjKWLFeOUC1huOuIMqCWo26cy +t5L2fqj6GHW3WDISIfBzetpM+O76oEah7xKUaTXcb6GQnjSRkvcQaoeY44PEbjEQRc+avNh2e6Dv +eh92ITpxbnZ17GTZe4H9furjUokL9HsCD2/r1qP3z51IR2zWhmx/7tFgskUceHwTJr5oIvcQ9HuV +lhOWwahOaP/NJG085Z7dmmRAaK1dXuDbCDNTN52dgqCEKw9GxhUoZws9tgiCOUldUTAGmeheEJXG +iQQs5ExVdpgS/b/jKpJZfFt4JzFwakhutbtgkeh5ruKl2ynrbVLS/0VqC7E0lcjusaAl3z+U8Xm9 +e/WD2tuHJ82ZD4mpC/xLdrWp79aLfPXzO1oUZz9KV2EvJ3BCJXS2iKM4+Xf/pRDS0ayR7xlOEruV +VDo7tUf8PelDQyBN2eeeI4dVq/6sWamv2cer+G+oDpXhuX+8DGg5SiCJzLC6LpOPrNI/Z3vZ9iS2 +X6rJtT/d42mHF7NOe9aQ+64ggflpblw3+ulO0XFmzL1Okpp19u40ROWPc62UX5OrRGCVpybGwaS9 ++Lm2AqGdR1RirIatdPQOD9PKr+HDeEitLyBLoN6KM5xkj7f8Ehxx+T9BCHlkzaCL5cdhENzzZLnP +4++WazgZxCe77abRqglpATwFENtjOoWi2hKJMr30y4+25hN2ePUJFmKssN20MVsAUdVvfK8DwxM+ +fTdcd16+0PkwVn47+mL0f30ieQGpObyIhf39ENwmi/lmIoBdbaQIvNMbnw8f4G+0h3gY5llO1GG+ +M4dOZwNIieJGMmUuqYwBeJ8pd4y8yHxJsFL1ZHIX29VTBgfGmaUZ6xkph8tHU5wSJLF8J67qJqzZ +RrxecXyWalCHtz22m+mPGb+qTWEbVoCoyL6PFpJl0bB5DTt/68y3n2UlteBB5MFpFux50HCgJ38s +A+vFvbZsqeF+ekWsphKU5xiqCNdE4+06hvxw/0GPdxXE9DmQss0jYf0woC/cdN6LrX/xUvBWPYwG ++IoJoZjH4uA9Qce10HjXkHzkXTBBKPTCKyMIfk7dGI0KQGYNdoNj2C8kz27jHx/zmO8W2UdKOwGw +M3XwOnDccSbr56A/jE107/8ls6+2Fzayq5QWfoMgnZPEUtEwzWO9Qmvp7CQE3OPUCKOHajBuvJTi +DZf40LuoA1pCcZmtT6xJDfRkOQvFnjFy6OfyXor14+wvGCVMEP2PdunLqgkRCrKuhk/6sM8no8zw +DvVcgNvrpH9Ifpor73494aCMRqKrutS8wOMdR+y/NUTHcGOqp6nvwBJGiIHDN9GUiMkYEPJC0XT2 +PaHuZZo/eZaq2+DmpqB5WX9PwaabkG8dMqB5gaA0IBB2xIFOPlcUXREUs53cw7vxMcbZ2lahKTKW ++AXZv/uVZiFvhjFEk8sLzV5dt6cbGRdxAiBRM2Avwl9PQrhRT4RCXJcDyw4mK0PY9KuOVPClXnOC +ctbqDFsvEDrY3Doey1SmJhohR949gdAJO0uS+fNKNzwniUmmat0k8za3vfrUuDdxmHuXjAdLD8rB +OlrkbDtTd2m0dRRkLLKdIdDmAd4ugOPlv/feRnJWJnKMjeBChKJ8vNu8+VaKfYyZFbb0BcqmpHZC +VfGPRUjWb5j2USLfdUpro3M/jbtYM+CLZbrFeAb0L2zXmrKfYQ6k6uJ39SFEo31CBV8PF+8JENGc +r5VVhmmUlGPIgCSUG4oXxvGur5BxK97fJAXmWr/AEctQmgMTa67uVqjmLMrULIyYM+yZBwzjSlq4 +VCTl2VPrcFI3nNu1YYXnXzU7Erk2SKL/PQHkxtZnZ6uv/XhlkyZGimlbRbS3MRyQ22c3FfWbw6oX +UCZOj6GBqe/dRmhFd3c0dDFlBN7YRaZUEp6mLX8Zc7P2TiZZglaNzYE/lJ1DYQjsqHhY0lbVIac1 +BQnBeVuFXhsC0pazIpM/xps+x5ZFClOC7DFwAFWBvfvEcvj/KjDXZwL8AMDvKSvEzXqKJ2yIdK4i +TX3CRMOpbqCj7MHTZ+wtldoINcSpXAJXg4fY3shvHOCRNzfUTOn1lrf4ZY3e0vvtYXJu/BgDGnKZ +fJVCKAO/b3A09q9yrLWhHy+IXDzqdTZbKBTCPinIkL+exJeIAQPC/E6ZpkgONYBQjOj6EkgD7rPv +GslXGM16xXGRoCn+Ln1vd26mBz9QSewM9A6TLnwRcpgZtLBxMeqUPVf5h0uZrXahsr2MOIxPQky1 +ZYmCUkoLoWDzZdHcer1lykGJJTCXeaWXfgaByq1GA43ILQr4mFJtXmd47fHkq/4In+m+o6ofq/ce +9J27/NOxBrSDjaSGPpe0LcsSFpoE9M1ZLQYX/oNM2LJnqapDrM62ucaWgsbgpfPmyFh6Z2WtywGd +cEco5uKPjlGvAxptU6A8eh7TH0ZIFxqQhT/H+5ce2X6etuiUXD6uctAKVVXeWfwNUu7wiudQ5AMJ +iQNHJIVaCcoad7fOne98ZPxxuQUNfQQ5yFfRwFCOXUb9HI0TNsNUqulVx1npecyqn/jIIvXeZBKG +n+hbcHISJpBgU64a58l01qD9mTXseCLg5iTAhV7VbCsX7ugiBrlOqgPjWnAwTVqek9uAK1ep6JOl ++xuLhBpAPYlPQLVLSACmbM7RQ6plQojsKEL10JRQDryNboMBPA0rQJPna47QeWCoOvcEtJHBDAkq +HVBDv/1KrOUSgXpq9BUz0LAUKr+aGekyCTDN8/nUzjeedXpVqSZzJs6+tO587II9sziSmuWvoLVv +ev7baWb0PYerOwkwq0pOx4fEYo3I/Et/zYZiDsiSTi+l60gCpcSyovHTtYpYQ0CLs9UeUEeZyPPN +JuyZ+pux59e49Rc/+pRbzOFFO5pDUB9tE6vcQySf1WLpsUPLZo58hakH0EiU4vzPiOrKS8gbyrHX +T+K77TH7KsSFK5jp5ue0As4mSDzPSrjPFmGmlj9QHGmMc98NNt/5M9ZH41CPSauz9zx7iUveN61X +yuF5Y09OqoV0rRZh+g7JigbBZu47xqC29amqG584eYMkTwyNhR0nurzdz0weYADHkkjR8O6BMwVq +3Na8InmJV5/o8J1LNem/U/PIM4Sg1+gss7z9BL3kdpkD8s/7bP7kdVjosOgCaGYHAntBidFLjC49 +failG9vt4y+j9MpFSqoaedo97mqejIIBVrHJWR5ROGD59yFKYOWZZqilF+50KY8pY16KsafcIi5J +xi0V3DDrGfDJPUXbEYiCD8jBOChWgELrO+nQK3BHkzEMHe5Y4JqKQ0rHpSkkgcr95h6XG9mJYd4x +kjMjCeyGy++RNCzgeL8a2wjPtCOOiCAf4ETBB3PSVkre3pw0+Fe7vosKJ3SsOsj993XHCzWAyTZf +sz21vnpsA2linlafsUwpH7FwYGZHlqWZcCNdKrOGsDqMc4iNV701YSHX5lCNkKLAURfFn+kw+0nS ++YGibZHVsRwbhOgSX9YJi75C+BqstXBUW3lSHtC2Rb6v0x8IYk1XAeZ11ow6q4+UCsY3pmg7AnuG +5lS6B7pFY8QZwgBdG/HPytG7FRi8OFUZhW67Dek0jAlQEXjVqkdeHmV0Fn++V/NmsuShXg9EevBF +o9mME30IRQAlUMGxa4SXvGusrXluwfbtWJf3tCPtJbDaiPVYS/8hxrgSjfMFBnafinvt4dVKuUCd +wFblwfmWM516DDh/+fyL6WBXsexlgZDeaB1m9yoa0Ad6GzcG+Gj6z+Gc6pxnpXUPCrBrXjLkOdfa +hyVtGDrOWmcuVZyhezcLkZMMIJeBFD0/zBQDWHjhxx93XyoiWfepabFShPZIIL6DOmY2LADATAuQ +EwlgLYxQYpRH/9Myt8/Oat3rEqr0dDk/wndRm57LRiZAJ6d7OWLDFZwvqcmTcbRp8OuyqJYomn/+ +haxCOB1WnZt5DsyS21394svGiwwJIPyo3tNr4sJ6E6WQBEXfVP8AXryWAzb9MSXlsWwJ4Bl0gYO1 +chcl9YcSYwUmoll0TYlxdLD5B49e8c+LKp6ZyC38yqGdawoAeyHTeKUNi5MDVRG9QS9/y7T4KXp4 +V/aMF+7H8OHtyD3yjebbPWt40VyokzUyJvnwZ32CVjtRCLbx+K3jKnasaXn4Dqo6ysoFk2EbgQ2s +h/FM2cUceReINyJwQmQ74Ox7Iu9W4uUvE1gay9xwCLmoP3PKnsfO2OXRHRyn2VCsaRRdoNdM3RPj +m6ywPLsJUb5XBVjn5597YhO8fVcqeDEhT9J+BTdEAgEkhKk513PeKyM+F1+8bExAv9mhpLzIFbxI +V3MODFQJfQzIEG7HPhAoJ4W008z068M6lFLcLhF245uU7dTXQrSp5d+DF9MPMti8xH40HOfu+fcG +LDp/1M5K6dvF984AeTCTNXfmFcbX2la6gesMYCF8d2ypL/kg+viWlmdxBZrPgy7K926zoQSomJBF +IoiDqPjhZjXva0K3L1DBU0TJ7XpANr5kce2vJ4tPaFS9Wa1xv2tcWc4q/VxwaREQiI+cSebVmw8K +w6oZUTkhqWq+QIWkRgtXzJzWxzRO4uXaB/NvV/UeoM8K4Hx19JXlKZxP5ffZqBqrdUOKBDaivUjy +SLTnkOXU7LVlTCncYRdiiXpC5wOMxCJzINO0Rbu5TRWsBm3xU0IexSLxwNuKIJuFIEzojm9QxBMo +pXnmRfd+Fs5SfCS+pz/Yg5BYhBqxAyR65hwSqXUyweXADP3XPgkyE+VdNbhC10fHlgwPyH6u0h6z +evefsM1Ln1eymQge9BORNVhW9B5pkkjrVhUExUSctY5tJkWAVmBkizibw5NIjM+Kef5BGPdRihET +TtpncehRF9iD++x5QUQpGQDQDm4ULxUOQCwbv/PCJkOW9hV2/S/sRur8MwhNNoYlrwZJa1aWpQNr +n+tkoSRCtGidrQLUJChipBULw/pN5D0w4D2360S8MJjHx7A0AL1+mR86lTBpLLTwFLWv+ZmQG/w2 +ECfmuifKYylHCD4BX8MgsozPuO18yA7Qklo8DqN6e9XKSKcjhVTAnIbJkBK+Xms01vb2jUKfLxOR +UigMh/zMB2CgifhfTqUm0Y569Eo5Or3guRM4PxzXd4/RA83m4LTG0HoM1tNGBh71BhPh7+ZMHMDH +28MVmEW1JIXcs6cI7KuoyykmlJElSZBliwGrGpJHDa+HGkfiserMwRw2lqDkYYG11xLFwzI+WQqs +fdJ+oC5OY6UzMWbUWUdukvVCrFDGVveAY7keIGlrPhqzNyp3lx7mABkdlUPnGRw+NjqWvi7i2jEB +GyK1Ux6U8ucpBLNrkflooeM0OJuRObUVWL9mY//S5AgINTNHdygux6xSl/Z5I7KqgCK7wiE8VUeU +x7Q7p8RDfdkXFKg4vi7Elq7fo32wKPwH8PWdoB3M2NxSBSC3WuPvdpaMNxDOhUGmhkVxUoIZc4QV +JFNr7bPwkOGVNEzS0c4STMeq0manFmzwqdI/tyzcX7+H0Qkyr9lLShLEcm/jRT7fc3AbqRdYwpMD +bmlRu+LDF8dqydnd7TesnNxMzMznDQXKOXWE0fzNOPuk8qvzkfyUeacPvowBU9ESRGb/hZ+9LKxg +ImPqRkT9OP55zwTWPXXYw5jVMU/E3DFuVl2Alr0QdD1M6NZbOvr0RYVsB0aqxfEHGruon6IP47Od +fjyRazOgwtU6a98+yIvThbaQ80WOWvkWJn6zoLmVOofAhqMrB4SN0iGAAT7d2VKJxargsrVzEASW +/OAdW44UBhowZMal6CA8tiXLnAtAWLHV6h0EVKyAFKGMNHkLeVj9K3QNL+amOxnrNSjNcBRTpkc4 +pjy+8tNSFtDBzIY0pwdLuIVRMtFU6lMcybGh/bES0q1TWAbSFPPaqebJoX6cai6OF22397nAOwkP +SGkJ9b4HLUMdX9XTIG0Fbp3aUIXJn2TugSJ+TByro9vc3wX9iZOjVnVJoXvX3UXTYMmK5VnVy96/ +ITklsNUi2FuFz2UbGos4k+UVRIJ6vEtFchTI49iuOHKLxFkN5+ujjoywiEd0IbUTv/zyXSz9KnI8 +2ycHNsOTawI51CbVQeTpmAJYduIQ1+EjHtS/+0rT2gXUs8jtFiA8lcgmT/7Q3I1TrmSE0OQqL7F6 +oUIkQe95N2aRTEU6DAAVLA8NZhK2ua0L5pvibNVkfm8FgaklpyrL3Wdyr+29pVJWCU2j90s+0h55 +adMJaYRrlk5rtl+antPJJUsSUML8031a9rBaeQYDQosSNbrUmyr1vRlwysY2jSJDgNdbF1GHEaU+ +x8TkjqaMurui+11fy/aSPUA3j1j7E1hlU735JiDZ+3xJahLR7/T9J1jbV8F7zooNG/tbcnQGVFr3 +33r7GEWQdrKXy7KRM0ki+S1+20v6Kh5YhAO0IM3EFoauMu2xAjtuXI1Kh/3yQ12Pvoph4943kTeH +eiiXqWQvonn6alkmtuxz8dC3FQMRV0TTuvQLVhjefBcW5NO6JuEGfUKf2USCEZ8EzzCOUYBB1JKA +wcjsaUytis/86q4jn4GKEwSp0Hk0DHcceu1xaMlsxgGAAbykuRGQCU16QxMHyT7upcmNz+CDp0J+ +gKnWG9claXatsAuz+N3Jk4eosbPItbOBWat1x00aUMlfjZvZozHLKZ894DBzhy+KOaLlJQKP8Df4 +ypoic0XFY7q8OACBgWhXmed1VNE+v36j1yyhuErZzpwv0MCOC6YKF+KHGbpMMb7guK2k1zzfVT5d +YjCDea+MVSSuXR2Bxt6OUeAvkdE3mpR9Ym258Yn3JuQEfOSv0ObYRAXbea1d8KXuZmGTHs6um9Ex +zgWqdPUF/jajKQVnQlnftl+iVQQjcHYMsCrpxEFoSadfQvaXo9gE/MUMxGWJWvMBVWZXDct+9TxS +8gomyz4dVIsHgAW40XWxyYLL5t/O7GROh1qJkG6GuTI6dnBMgqnjIjWaTs8W3/HPQbp7IaD5tqXA +nmtcBlI1MBUa1Tv9BnB0uLmmY3nvImtVaEXQ52Je7zY9WWjCLbo5pfFWnz6iAg3SUfFdPVdnmBQK +kUu8QEx/Z8V2wYfCUs1Xf5NmH/9mfcbQvPmTQ6ZEfgn/sHntktXs0RE0guhYKrGLxi6cGz8MvfVo +NDOJPNtGdUV+rDtELw0HIBS4dIpejZpTJZzuI84JppDQisNR41vyQP5EcFVYkRtiQkqBebSrLJ7j +VR5Gc1oe9Flu4u+8tVTGy/wbJPgpMlvKng+A/13lPpLbBzQrXabSnj4QoQja8OWO7CRUt11kCWr+ +YHptMJP6TFjcrAzcQ9RhcLyVj2rLiVIfucumQqhBGIbz/hrLJKXYahSBSenE2yWm+JKsHMJK7+/E +/Yq99vor73Fy7ZVGYnzTguAwSQrpCccFuf0BZgApT/Rnpwps6wh2XlnPGyrwMLiYBB6kTLD836Ws +gA00AqZqIBPoOm4AkvAGw0lAk5z8H+6kR/vuNLmNupgjDzwGJ+lbSe3FyL35tOrB6xZwmuMCLA/D +I292AEOYopNnm+GUSUFfyaIXv2aLvnKLmNcXR09+qzJFym+SKGUMahnVzkefEvIqUenYnbYIUHwu +7OMIGU+VWah/C4klWwAICezWEEe2mLuwPCTu+zsIy6vAOSyzikfUwQ0MFnzS/gB2XTHfNcUB8Luh +WiOi1V9UrT/COhQxqs+wwxPCo9JYUQX5tp/5BJd6onyzapNVjPnzWHEH5CciTAIdHHAiayVhUWr+ +b+XNLvySw3Egva3p1ujk58HSVMzVydw55CDSFpUIKbw1pDfjSSis/4Z2DB4rxrhWegzYaLyhPzzU +lj2pFqRCETLKQONWK9uA7UN1gV1CkFlhRN2dMKHhqnuRYXFJ73AQaL/5h6k/jSAJZRPTwxc2M+cd +EOixH4oSFsAPWRjKDw0cch6N/iNvhWQpTNLpBMiyG+AHdNnHGJQAVXgPKwZVxhC4wL42Ckx1U5hl +L+SJWTny6GRb8/WGvO84ssIe5CVnn2z185hkweI38Kr35ecXrN/QEdJZd5jhjm9amJld2L9dYlBa +PR56rSGE7Umu7HY5g9xYX0BR0tUoy9Ptk2gg+HqDDLTdKOt/nVOmOySM7qD0dZaXOyDiJms3Vn5W +7Tn8jMdyyhzDZxtj3t2NQk1cjy9CVVdn5JxBoC5FPgSNaPl/8U8zt86ndv2l0G9A7y6MDUpFA6Xw +dPYTGvIIC9mK9iLTTA4pK09HFNY3vCW54O9vLKDocTm88XgW6nV6iwffHwrdNV7WPoQ4WMd7TG8t +ZZ5E3k1HO8xRGXz9A+CKaavvF3L/qAbBV/DNseW3gq1kFfeNpZoUr3IVi186s3ywluUggD1S5/nl +lWeB4d0SxlXRpYfIR8s5QoWZT+Ve1yF5KXAnIOI+OoDZooKEONgocqlEiXY1XJ+ar6FwWkhwxubE +ih5Gqd8HyoRbeGo7s8Atuql/Ba4NwVgr0qGAptaqXV+OKsUu4dzH0vUMATk0373rkwGV4hE+Wnnu +99zg74qtbbvPfakVyveAlqHxvIkjgE1vDqZccXWRsjuJ/dbRjIJnU5a9FgfNNK6vTTA+IhCy0KJi +E3GvIUrTrXoXmgDDvLDPhhUN0kIr1Yz7632orjwNsZMHrvhEubf6P7NyGfAK/VqOGP1xBdwWSLkY +HXuU9XvGgV8B8UPu5Ms8FvLzulF29I4YTc0Ys0Z8NPhXwf+o4GZlp4E1SH2Qt7P2a3bE9FbbTeT0 +uvuKo6Y9nHP8kI2WEYE6luBrDbdqoGmDeoSyfhsF2X5Iffiu12GgjAW0nqvz51nMYEnzeiVa3Li5 +8rbryo88BYOPAHeB46KoTzPqxh8NHJlvw+dU4Rz/D4vHS3r/dNafgld+Yr+iCLYXUQDXiSNzoIQ7 +f2bP0CD28ThGGXqWV/PTLLQZf62WjtrLeIXoObTfV9k6Ga41yeJIfALa71DnZgsPdrfNrUG1UdrK +53DrufX/zktZcZW2CZcrF0OKEwc0zcQOQT0JecQlqJzCVqDC43Ku+gpt/wydI3kmUb38wbJlfkTe +7lm4vvZX8KnA0/dBn6PB4lpMs2ch7Y8SOwhx/IKx2GA9eFHqJkNFRzJj5giBGNBeagSlVS6Bl7UD +xmjB+fWmpJmExH6fKqQZm1z090NauHyH9c+sSxyGr4vDeQzUbtMeiH0GW4PpwyZACH6NGX951/u1 +kRQAdrSUGSErB28cB60brYAs1Izi+VpdkRqCg98J9duUxpIL0QalTZ+R2IOD7vokAdB0z2K0834U +r8ss4EcI+xK6wyOcQpOl1JqZ9P6mpPnDc0vxi8pT1VzBeBLxzDRYmJKhTusCMFMJISRq5Ttuc1F3 +6NZ1o+i0CUSkIyJzQ5dQY8FyzLfqYe7hVJjBNvrHlExawKLnJjnf385aPVWj4W5/RGtAQMdQn4Y2 +wWG0PfIUHefFPERQ5g6AoITtbY92GeqhwdrL/CUtZmDxrYcRafpyeA9cDWzgLs5vvyKduNYkoKHR +2ENoeDGoc+erYiQ6ujMQOuCZe5M/d/QyB6Z/G+1MRVmjtmlgfQhsgqDiH7OBb2Ds47EQCfJwxmFs +6lItO/WsZe/S9XMMawHKfe4iG5w/rzgRCFdskJfAHEtm2SCWW2S3p1RqfcBXMvVFzyBL/XWm3v5Y +F6Qpu4e3U2hrjbbc5U9M7zscLtvUQOrUqSAYbmpAde+aPMSXNClhIwxSxpYfNkEInBTj37kfZ7Vm +9AGCMj6qQH1gCF8CZeKvYqKHj+b2AvjKkuoOcmjVzR6Xjip0OxzAob1xZXKphGMvQZ6jM2jmIJDC +xRgoEMpAUV2N67LnF96OBGmkZF2IlENlpGm6w5JFgiiV2ZvZacNZjRzwEC8Qsd/ox1H3yT+7THdx +P9LySQOG0Yx0IdWbObNy2AyJ3lRR7HLKF9a1aEH46uOdR/Fdzd3MqUvF0svICzo2zCren3XGDuFC +qyVNJ73/p7JksZiu09XV7BgXOCX2vUDAkA+8+I2696CW0x3B+mcEk3DVxugSxzVzd/kLT9mfbxd0 +QrdX9/37wuOlFTkIXOvqDyHv3RA7g0D81j5gHZIp1wB04hPHfW11i7Usq2ZJwVrpznw17ySeim4y +IRM8QVu6CzormDeXNgklPHxqpfQXpgb+K43aph/DNw6W3a896e/jbS1V5zsWfxZERM8tbNCeoItc +0gW9EE8D/qf0cVO91AUXV4jpvrLkZUXNDNH7M/1LY58NXRYWnm35GNhKl7WNl9l4XHPJiWeF/qKr +tpK2ihvpyhzgMJEWh8/WjPRHEXQkCVfsHR7It7QtUewW7nnq+/tQibd9pGI7T3Ax2P03NNesd//R +Iozp0Q7kBhW0tKglLGN4WDovhmd5iucUUBzm5HQGV1ucBoDqAex8+Y3osL1tSrXaUeBBxkf8hO9D +XthEtlQlLveti2E6WEfEIlGODYp1X1YDFyhqNYCuYwq5N+Rw5wHQOfb6IduzEfnlMbM0otzcfo0V +rtcwbTtfZ/3aFufCWeN1PuXCrADH1yekTWyioYhILHTOmMmbKhvQlcwwsp+T6ieZMMLk7N2NOnPd +bcr1fzmMZkColnTO1Ku49z8NDUp7h0oLIcY6SQlq2OAqcjloIcpZj0A0sof8YTDEG9dPr/qb13Hl +F9uM4x5ZzDjeG3Mum5YGSaVg21V8sn/QyMQy8I34BuL9c4NrIbr7j1LUe+5mQAL0nvcKWW1s9S0z +NOumwpLoTjE7eNjsbc9ekkMsAk0JGcYZKDys24G1IRbTEjfDRVqEdOF76oMJqrSgdjFc6dLrQqjq +SJaOXwym+lyeLWtDUTElED4Od0R+dXTPzSPtg0K1FBU7BgWmNztFs7CUQA6ZzicJBZNNvZlOZeKA +EHd+v5CmAl3xKOgjzDD1Yj8TIeIcT9iY0x/wk76bKDbkfKOj5/MtjEbMeQsiQ09I3zwJft+qY+Hw +ols/2JOnrqKN11cToOLu00T97qR/E5E0HUtX6slm14HyYiPLYx8bZybPAJQm0OvklDD8LLsTerHM +LLOvf3kul7qtFoeincE0RHD82t6aQChieLwj0AIsSHIakHhLRpjR8u0xAUYqzk9Ud3WXSnll/Omi +GCg+BRaeZylovhgQCM6RB/euS/ORDnX+DP+IC80/j2wcYSypeyapB3OQeRuESrtmZmB1ycEnWDXj +RGe1Z457txXTdyX1gFNZFrifW577Zyr1jvMuCLImEv4MQ6gcJxlqr+5p8OhlsIVw2r6rQvA6jsbh +wRuvv8IXQjiojbegC0ZHg6eDDsln04PoGQqTTiDyGsBH0t4US//RQuWtTB97y/n1h5YMZaQSsL5y +J3HJxR5unmHXuIsNONHW8XSbS2U1xcKRs2RH/tKH8dFXo10g5LXCgj5QLiMSBaDVyGZ2ZdUNbFej +ql8uOzMnYOz0vu37iFkEM6px52JFIon0X6E5AaKstSfBPTYAFt2alECcF/NSJsbl+2Ks0+BcRHLv +LdzSto6TJUhu1JkjqdnqL/O01U2m3fonVRc8nZhtabKIqRCVWf/UJuH+9OCKW4Y2133CsFqeSBsh +qv5unsyYnOzqgTQLclazSUQPgYldgR29COAFj8ccyIDKFZJf0t/Y4RYgjhGeQuJGaLeAwoj80eqU +YkLbLh1xfBXRKtrCzbzaLXvZpr9wK2XuLF69Mm1HJgLZ6O8HINlhOnH8vgHzDYmQ8Or5gx2QoouM +4EpO3ikm3ScWErUIAkIHAzHKwoXNCP1pAcElJXcxXchpuF4srjFTGDgNhEcGwl8tFTlwYNgc6bDH +FyclERylI6Vflpo538Pj1nLdw0hMt6aPtVrod5EU428zPn0KH2HUCiRiKCjGEljOMwUiI1owoseP +IL/TC0RWSDs58hGCCBFErZA8IedeWj3D19Sq8EYegCa1CaVSt8roBJF+GaRSTssFqeI050Np13VB +BFaG0T+5VmZiIXTWPugC4aVJCUgsyP7bb0zzTdCybUxUfRNXVAMCMMg9XqOK3/0ZG441jKTwJJ7N +bFba42KwcG0L2qVmLaL8BfCUiB2dPlkYHzOTwCLJqxhy5YJP4ZT6vZujeraCAgPmQf25n9Ob75PU +TmX0LnvsmgyaNsAtL26zfo969WOcDE2fCcFVykE48W31UOn+Sg6DGHy9YUJeI5r9/NzfWUiaZW0c +IA9qKcBzeCxEnRuTqwS+/R6YeS1erXNHn9R9d78FD45BxgNZCUcIt3g96U11tNut0U4pvhacuhAo +kLSmi8x8wypEZvR4UfwMuWHie7TXmNzGL83au1Gi1d8yhsdfdupJ4naaoouPaU3FFAKwmoWrudV2 +DXOJJW9urgz4gvKqXeIbUnoeBWuCQ1+xcknQIM/crafSuPpg3s8y4f6V2vf7f/u+b1TkYNXoBe33 +BWizkqr0Ld9qFQO54vPWqaM7LhHN/08G1PmjP6vwXZNAwcoa+dEVC9uqiPEs9pY6PNWI8LNDq7OH ++DkYjsLLjK422SiaLuL5gOeJmsWRfTqUTfmrf0Tw6zKd+at8X8bAU1AZdUvfxtldBJh7TAYCP+u7 +YiODEwbup55lTkw7gI7XPCvZBEAgsx4yRN0LHgbCS/UBUNgS1Fhw29TcH2AzmgisgVlj05bdfU23 +uMocnLhEEsR9HgClHvj8/e+rjptHLHdx0k/c3ysyHIFG3fc9sbPiDsPJcHvhUViHC5EaW7M2t65K +cKii5D8wzB+FKvA4JKuoSiStoK0VVvdANQdec659vQujgQqpUVJrViPO4gyvQQEvVA0l5axfKnTp +Dnn2pZr67YjfUreu3vobPC/vBVeaAd4+tAkzid7uS1uJN36+h3YE8J++7B68WBM+8brYCWkKVmD8 +xTuPC/1CGGzpQi63PnB4NonH+FPD21N++0ui73VWjPlCUzDEHjOJJx0b6ssuL2PpgKCrLB4vgiL7 +g9CaqDHdDHEQDR99/WCHJC0J+2RcoSgclSTADcGdCEOWwBpn/vpbYvSi/yX/zvkJJTaeVWJCg0x7 +rKdoswJHoI+elT1OfSioo1vhL3ShBoFQOuaUvC6zg+7A97Mp8nOpXozy3M2EvV2t12ZeFCQl2nM5 +FvT6Fy5MSk4AkHbvjLLjuX4yj5o3KveP7sEJUPxU9u5MXagORQUZ3SJsWjwUX91FiiMC4Rzr9H+7 +/SrFa2AJbwl44Uc4YqVOlEhXHPJZcN1Bf816uKM6KbWFPmH0opsQkTlQNafPkV3Is0eko7kBVnVJ +i0j7qvK7v35FwccMU1L/osC7LCoypfpCBpCn3+b/PzYGsZKndG1a2nzRsol7xl7tD8Ni+AysxyO+ +aKLtY0ymnwT3FC94zBslfHFPbctqGwYcic0SapFRRKH0SgHbpijzu7M8Xqne4yQz64Q75DKfEknk +J3z3+c1O/mLuYydYi40KO8/YIBlm0h0NgMKJIdDsRYUj02INVneWpAeh7airexQ8ls0JaDEsd87o +zFildEVPI/i1iJd6SPoQeQjwwFjfho7SCqasKdcMyHjPOS4lMhVA2ougjeuO8KFVfRJQ1bxRH+vq +MQ/fruA3ZsZuF6w0M/axldxiUq9ssFX6515Ln7V3PbMzftf0Zt+6mTC8P4ChlBfSOYivSKwSgftw +MdTjEeMHGZtNcFeCvx179A9Z3ixCBipUIh8HH6c2hdGttggBo7Ptld+X874+H2nzk6JpHbaoMDWe +EOk8amO5Kh4y4l0wdQbzTi+060EdVGwYfzLLj6QWsnvFVMR/4K+sPjxyynbnpRVlOvJ//7+Ett0J +Wf1jlvR4yG/Zhl1YvP3Dmmy4zUsq/JO31PpKr5hztZLG2YPAlMQztgubA/wJDfeYQpaEvDM2hbJb +u/+lIbDuhMXp3WZyqb+MPZybah4a1994gI0+QjEbPI9Y373tcVzRtpRvc3Py6xYSH9Hr3/zqyLnR +Bvey6kdHWjV6QMbtSrzEtYiMJk8EmjZyppyNoW+gDq9Fw+7TUxgUMKzbwdRHix0+u1X79tuBXlXy +5OoiAnYx2+R0u8OdddSa8lwoGE60ozRWYd5GyVihFGmswBl4KXYifxZoMjDTYshuwsNEvZBTZee/ +PuJIMGDpbJUKPUtU9E0IWlP0fW/NDadvSCg9mEp+bHGH+bdWXqkufDZM37aWAYudBftXPt+p6Lz0 +9SHhB/XTtzDw8Qyk0vQp7nO849ooI/DmFtwzkF4aU/k13qgwb3f8ZD3T/W6mq8+N1gYpMh+qxaiB +u93obkOblcgzvCSoAUNBYPULdqAuPEbWVs+r/QRrjtyrYt0V51NJ2jbgxCnPok+a+DbrVGmWz7xm +WO8LuGdDEtUmEKBj1geBfoszFeU7mhCOfqvx7V6EAqSys38R7deroqyOKnAx9BkLYwoUgEPfC8nB +s6QipetPg9QdERFF5zWCXgnZSUN9tp4NYUbuB97DSKYnbz+eog6HmYPxr4zJyIQtlsvXmDWc0f1x +rPxKrE+SMSMHapXiWkRozOZ4r7CKm3KDGAy21R0GX7Ml54XJuKXI5hoGr4r810nWiSf/yqUizq0g +NKPI5xRz1hnc8HVkXJGmG3yYoL3dezW7YsKFFsRh4Br9nF/Pb3hh772FRLHiag9CaoOSdf+4qK/q +fVt0rLoP6iEjg7klDQO1MhUPRMJ2V0bQoq6Hiz9NaWidjiJVsdc00mv8pkDlxi31E3SIAcpWki7G +vT6KvpyylaClk++gu+gEhmLyvF5ym0yyjWNiUJM4IwcTZQVPxBO0PkXgdqwndt4fdiWCzBvCndN4 +K0KJQn/ehY40IZqcatfqDORwOImlkTiXKBJR92JFfDO0YWl15i/9uhrvmzDLOeIVXR3sl2ciLUB7 +BcrtGCx6SFZU9eohTJYLtQL61UWbvGve1s6WyrCtt2LG4dOCItXV9rZkcOBOlS/oW8U1EqK6NKb8 +eQxQFYEalrcYkiJDjna9ojq/CK887Js6cVtflTRf8DJbNeZoUwIvv3SuL0oF4UUDAM5YkGkyfEnV +DTyNbF6Q8V55FZYurbNJUCQ3QUKGS0S3kurs1PMgoAP9R6Cn02SlQ6cwFXubOs8zqA1Gk1jBnBoZ +G1HF5B6BLN3O+Gfpx1FdlegRoWBLmAGepQvwxlvU4y1m2kzimq3WHPfZiJZgbsWkvVSGmQhOBqX6 +DPAaqHNBk+e+hkB5knAZTH/3daN5l6iH4a9uzdVck4H0z2sT72U5AAC0te7mvluRI9h7DvDACho+ +slaGM2rRQSIGzeTymAW/OCzj0OM26BsGNkjuV+zXMZMAAnqm3rjAwcyqKet60WgUJA8kfyzCaq/D +eHkOWNG/SkYmal/muufizw/YA84wERh040I8dUMsPw2rCZcztfimhQK1mlJngX+PZxWeogverVOj +/BO4ElNILxH1tkRo9I3s6XjzrPmO/8feo8YYLa8XQcrv6THwg2TNs7mYDcqFFBApPBwusgxQgkMB +eiGewaGxeIQ+m/kKZpS1ay64TbB/DFEVC4Gc/aWvGN1iZqjof5aznAQEHAyDpyG/n6dRxDR0iEFY +Eey8rLB2DSQvu1+j+7AOVQT6GTyyxgYkMSPX4RyDbwk9MV3ukhppQRuiu+B0gr3oR5YTd1BVD1WQ +Ka68YYmt03M1r2xWWLw7uT2ZfFBaUD6Ud0e1JcNk2VoH1TlYoOz/hXwRHJP+0LyPSyYBhp3TlAhq +bvgsV7LDCQptZQ0kXSvgz5L1lrfLvVr0ZB2/KMJAcWUX2VaXVCIEQ+wV/sGnspHMI4Rj8cacDzGO +JdCn++k7I5B4FNi/xwK+8x4uFhBxYp5rpdbwuxAFyvsP4rzGsBAYIIAoe6LsOP43csjgKhz7MqdP +EDHCkglPtD8BfszHlYkMP+X/dKV0PKvhAJFSEqxaQula5AHPZXOf7d+3VClzFg7+GHm+HJNGC9Mg +j1+e+qj6TUydD0hHDgyt51etbziEE1MiesCFlVjJk2CFE3f/lo8AUhVO0fEKAmAYBhWQR4mxkQ5q +yU/spc+XrrnoDW+swNUHapFwtYFyhFg4w334ldjfLskek/cNA3YHUESIKkFLteIt1Wm8l77kSzpO +4LeBMo+QF8Wgm18zRoahUE0aPsXl74CjumYJfBhPuM5jEY+aS947PFtuLT6+rohkXKT3+q8sQnxi +tvVLWz0f3r+aZ0uOeuzxUxvxioEpxnvTqcE09dXEptkb0hZghUCazwC6XeMsnJQfxl7SmoW4ip9Y +oyagi7XLELDPcDUQLx0I/hfXwDQ56jFiwZ5fuPE8UEpExRrcOaS6Ki4Okr32E+tnHtO+2QuRw1hM +0Zi5sn6YDA4rLJwYg/X8TmMu8DkW8qaUTloaWZKsOQ67BV8fZ6tEigIY2MrSFGhD6snqVMYv8gl4 +R5NnTzPX2y1zzsmWB0cU9ltc257vntcg5fh2TzZArlFfrmEI0jmqT4p2fuYVDH5B2qrHD69Glk+n +hEmZDIDvBWT7tWhNuDYXYiCGwLnaAHZlxgRtPu/ENZKaiTb3stjQEhPPo7DznPsYEzwu3XEkVwGp +2EQEDAiPnxffvsMbg0gR1/jADsOqnoRd3HaZigwsVn7aASwKu6pusbljElwDMay1j4yWFw6fT74z +erYX/wsk3k2i79tHZWl1VTEViEv6PVeEvRGxr6mpcPfX/v5KvdS4atJUnYoPi8mQotLtmoP9biOO +lbfCp/8SZuoLNEix5hMR6PerpL/d4HEODumnE7NSuxXR7tBEFJ7jykLBTzKifs7VsTeCe5UxihNO +UsfRSSVDiFi6F9urae65V+JCOk1lovfbkAFJdscVH4X1bc9j4uoHTkHbvwm+DIkChKHt2vt47Vhp +uUfZyora9kCcFAGG6I8WsxT9lq72D9LDw2qxHzJ2erUpmN12r8z/mIsBkP0WPWEd/lQhviNGiXN1 +CSFMihAX6w6dWqTN6/fEQYMN9R6q2SGloCVxccP0T3M7kfbnrKKj4BpP07fZEwy3GT/+0DJRdT5t +aGDfQhuVG2+Svd+FzcCf8AmF6g5NKSwTpBFgwS+BkQgrT1oRKp4w+BqROJ5RKSloTTrVRop5p1EM +2hRcgNNCFI+TLL/zEdicMkL346GmReGdU2NWPC3WiID7YEKf2PZ07fYItSwSTq9Cvy5SN9o5juy5 +G3pRDp1MOggFTA7hASxQZNvI7OgZ3F0aMhY1jrARp2GQKpd5pBhJZTTiHciw3JACinmQqZnLqvnp +mp3DFb8TPjec/S2a3l4ufAtktbM6IssoTtQxd2y4Iuo93XyZOPCLvhVNGtiJW8O+8jtXomdWsxNJ +sYGK16uNRLcBUTi2DhyPJXXA88uw5n9cc9ebGno0uEdkHiL7OGWBQKB1VhGpTrChKwmwMqekV4ct +sk0Dmtwx3oQoh0d+ZSDmNHE3c3ta8Wttd5YJn0CLlOVE4jX3NHw/nv1mNGxDCGNW0Z5lXvLGiIhO +bXejRr0Z4OOnq8eQfJyfAdcf7VyV5RoT5tN5ZResnJ0R8QTZTHgr4HVd2YoaU/fmp2J1RsM+DmoD +zWJ0dsFZjWRRLOflQEUoCjvPsSWxNoa4mkglXN3nQ33hkemCBbqjaKYIQebxGQRTjijkAidRWbSb +1oaNV+hZEJNha439FiefcMPAxrn75K7mmZC77cbJ6BZjvXAdhwuiKcqd0MRnmth5oagMiAB446ki +f3tRQlmv8fcYkgxn+HiJ4aXH3xHJk/PcFbDnm1xs+uLL5ilLgEIcEJDGR+G6n1Fc0733KsgVLaq2 +zAKdS5rx7N4m74jb/VEldaplOAxPfK+Z/8sEqW0wn4pv6EaWnBwEtAWIFdCUkeA0KG9gjEfudPgC +DXFBC/fBB0C2n8rLe4T8NwqF///4sJzKENkaCdMJ825Gs2Bav3TEOxbig/dtrSIL3C1+MIEiwx83 +g/MBKdXF3vqPkYcIIyklVJBoGVX8gveNCjW6tQWVB+TbVK3ZUgU3UKhgfycUBK1uLsMdRnPo7e3M +inJiDyWEbW3XFY3BOtQTKJ6iIusNLaKgf/dGVM8Z0DlWtyuUZJ23p+y7jwtYRCVvcoDFF/muJzU6 +oJ3e6zU7t+JjpbqFws+M6lnTok/NVAyKG0I4Ze+WnN9TMN7/Roij2d/g6i0d5XJalVU9kEet2ZYm +Qc427y4jDSuwg3pmwLrU3ezinRZDnMUI0Pb9ngsddBLfXBRAYzSj5cVKktmn6wwjFkC+Hf2gBlzR +B7TROPLClXJw6aTaCRTkuCy5SGl5mW2oMFlZF4wwZ62T3tuH5LJjXYd119CpHdXT7D2Hl+XPUmNJ +/Qj+aHGe30tHGND8IwlBvm2Vxt7ec0hB7uECbtAhquaQwGhGcsFVNtJ/djBij4rHqoYCGwAiiVHV +lKZbSJJE024vYA+3xQr6VDDteakou9XuPgS967hwulXejKKSfAEb3YHpbzG4syyI4VIXm0GNkGJ2 +E2quZGwAAdMyFy7jZwpa7Amjmlykoub5mZZBZ1w2Bx07K7RDA8LtM3BtBx3AwYPdwXjSoGDmocyu +3zDEbal03PYtr5mg0Ss1gUXNqVbLXYcFtYCHIPun63ewo6hmrKfnP5gcshBRBE4t2Cdq9CbqDX5F +e3bmDlRlAEr9dWKLN2+bAt4M3+Kom15uCPMK8ISAVNitWP1C7lkrrxkDIUxoCoOZhZQFyTgKqLcG +rCk7VJoAZ6IzDXWHdUIPMabo9qbNXcSED+6id5d1gvkdzNQzRCgyAvaUkIw0EXerPIF63am+1i8o +GICRvsnxmdjpMIevckS9+Told2gKT2l+2Y3NuFoSUDMEQdutm4LMeR4oez5XnMAOqow3Tpp9Q4MN +HSg173HbSxYcV7UrFVrcrMyjc5V/fqR3/m8zURbrGIYrfMD9JK9dfNhT0iaw+cEH9AVgQVZqRKNV +4HVdnN7S6ijppoiUul8pumIYRnxq4FAbha5/GTB2ULa9Nby/VcsOi3W+ENE7aaOG21XrJzXn5eqX +e2WI/8XGTyqaeUW820D8KJeU1f0O1LAksW9ECmH+wh0MkNm2W3+veyEeI7ncRukxT97IyevVnwdT +yAEfX6qSLcUnHCEL8Za10ePqlTfsLOsnXCuvhbz51keLMyKs9Vw1Q2NXHwSwlYj91uw57nJeu8pZ +4mLvt0v9hnbnUeRfXYktzCOwbtqAhA3ilwDANohrb/j796GKzfx+bPjNQkDt0C1yEhzSW/BSaD3h +1LLA4DIKAxQH3XzC7DEqVIn3DdW9+XTZ2ZYNaFnOBiMdf2mF83ffV4JJ3/3hh3Z+cRGzexWVjimp +41veBYbs5ZWDH0zd+T3ohKd06NC6rxwWkXXbap+IaK55hrT1xypscvms9+C2SpGGN0LWSzZwYZ01 +PFzpRensQAHgWA0eX0fYE33WPYOo++DR5H/KySWl0uDUDLRmUDVAc+e8AVzIaiR45Xsf/s3vYQq3 +wgwCxmIuMstgSxHJ2o2/Q9Efc7WlSpu+J4YCdUBOtnNkWUo5cx4gMNISD0odkLb95foi4VaKMi9m +URc+eGcwvTBJrwASsvC0uCRPLwr02oF/9RwS4inyZg+gBrjXwRJ3uKoqOMkjIcWUDdooGFeoOSJl +Kg6uLnOSd6e5qfPYU9IXddTbMEO74DKp7llQkqO1lBZfon/UmiHvQevs16ksFBWmBCvI8SHz1yqH +UCxgOGJIiRbxJQQ7N3lojThkLWIrtNYk3lRDNUg6nz0OdWzKA+acgCLmeJzn6OyIYNPhMYQqrG5z +tzdkZgo4+3SRJvFCgVnruw+ChxLHJmr0njpKTNyjJC8Jl/T+fcx/VwLZG8tu0+qYLUk9CEtbE6L9 +J48VEcFy/Js48qr/WIAX4SmIPelhHZURQumjp0UA5Px/EviaDbU8OnGX6Mcn+bR2Am4dIi2GSJ3L +RjA/d84/Rmwwmb7r+J15t1o8xiiQQ33G/DKmyfE+4AgSgm4QlYs5ukYJil5iiU6gD1r+wOED+CtG +lyKLpaf0TBiy8J83bftrQ6OSa9g2TTP15WTDON4JWerGe2Kl7SVMTFBdaZud9C2jnpjTAZl3CdSb +PJpybRB/KLBn6tH5ASXnygBqDSY0Fj2OuGrobVLIQ+1G6t7S6mo61XTZsh8e25vwCqoe5YH2Ob4d +BWFbNCTAPPixYu70706FY+PcolYwEmUIFS1BZTdy6N6Co2+51e6a1OUy7KbcxfrQXQBESIosqymG +RD4Gv1m5dy61ThSvbdb3WejbSzl69nc2APutZd3yN9TvVeDWJq8bAgvd9oCV8jLA5mEGDIdVt5Uu +WZcuhXd765Lg087jLm1k8cZpSiBp3D8QZbOqaHiDPhUm2RmMj3KyYa8hGs9FC6LeyYTxErDM6u88 +THPx/v8UrFL3TmP39hhyneK/emsC7HGNo+hXLzATqOky/vjkDASYxbqjwi7+/rqW5yB517k6K2KT +8FG40NsWRSKFzUC9xGwLuW/3YMSmLssmqC3k3+5g+oYXuNXZl0EywDZcBRn+4+U5iv/kvwXxBrlX +a1hQZRstd8aPcRm6zwfONQNIDF9bhp7gMVfVAQjeFnMR5HMq3eahFTNwsU0q98F4RmBO/Eotkp5f +X32eUxuf6oOTdgqFxhbIC3MFIjBiIN2FXF4edrM2dbvobTDuzScehuiJOMSPkkozkWVqHFKZCxQA +EtJI6qwf0aAczctE7nHhQCWuPh3Ayb7qSNF5fhJkXx2dfZGBrXUKVmMdFvW9f6mVdC97oqZ7K3aG +Emhuu45o0ZvQYZZhaUu4JocJLIr6laykv1vj8Y6Xzi640kBPPgkTo9y97Z40RDsvfQM2zZqNjF6B +0OTjOUxUMDAzVabKvvoEuO0mfqksopJHvjLdNXAmjViIi8qQp9Ccvb17RUspmPhrfKzRZ+mygO6t +FWzFQQlZk4wJET6wgZJIP/3xfJ0H5tv1dZvFPUkyoahOEcjxH7VsdxXkOI5aQhLo8iGxIBBhA6jS +F8VfGFMuhaQW3gWg2En1towxugPjnl+pWdvknH9ABlsH/qUgl6k7Svgb+1it5a5Sx2KL1CqkHfLK ++H9Wxt4jagPygbZ9zzS7w92jNDA4SYCOtlV2K8QrIW5mj+t1EkaeRrX99dUI2V7+ktSon/AgWYfr +ZVLrLN+a7Pq8YqP8MRLfOiiTYFEo/AEM3UFiMYDOi4YTk+unXKwaoHqm0UbUON575JcWauWWAsGB +4/uK/3mhjsX1PBZfuxD8JhGZ29jZt62aG82HPSZ+hZyc5jkgMa59KA3OmLo7zIYS7ZBN1RRdv8LO +JXdYDkIKFuVluM5zI9aenthOQZHM/f4f8EGkeIWV8AhZHNGXSJ17SbNjhJOronMv8rbYqfrQif00 +Ogf6y1BE2NitVPVY1pqZaLxCyFtm8fimfX+p4n0S8ESGrZEsR6qoHMlfTjD2oqA4CQt/Rv4G48Lt +xPEfEhVy2CVFWtB0vp1G/qfVNmuLy7JOXyoPrW3Rj7bu9g84Ozyd/DPwwuSv26riL+4wyNDTGldj +Pljjo15aUxHRyR+52ywuRFHsAPU85hH6mPELmzxWZ578ayopgcs5Q49himkYhTCSCJmJgs1jps3a +iSB7qRhcmBEa47/h+nWbQjqOrEm+GpfAkGlrRbSyWbjdrM8N5vThSzbIf5hk8OyojsChEZKtwEPi +KFtIC7tKV9RvVapqJ31UQAMzHTj/uLa42/Ld7/y760QzCFIxEizLwwexpm4rycbz20rSugdPw6A0 +y7psxzm1012rIzt0KAn4QzQzKDj73KR1xHYbBsmg8cZzULRQaR3iDZSVxCkR6Qus9iHoq2eoooTz +ILFcFyJqggcLGvPtZ/6gZyl3FL6Zxi/95VPvM4LxMkx9D4+UbSkyK5Vfl2fmIawlLPybZJ3M0L3V +YUXOqEnBlmeEpx8lj71G6RrULE6+D0fVi/KeDeNwsI9UaxtRKKAfpyuiq4uolNzyiTSo67dSq1AL +HMFjIK545paHliejuyK8sgaWvW0s9uUTdo43RTvBa9QY0GN3RQWuBwHjdF1gQXmKb/5hhZK5qI7S +7wONlq0jGF/phciEUI/cptVXosYUiu9DhfFAr0RCfFknrkhWHeeE8eoq0W08ZqtSc8gLO1hurnDT +vHIXp/yQ+iHIVQKOsYwrf9SDNk2LvkGW6z2QJd5QNkvV2qRUtR/8Y6YoHdFX2ZYHZa58YLlAjNk+ +RN0sBFvIHMVLIlB560n8FbK0YhscItJsyQ4zrrUSQ6besFqmjp8Y3FqMtQtEf56cF6S27b5kSoN3 +/Hn+gSLGK4SML9htljC6KZlGPe7/JDCHnOJRKBfGoofixpQ99lxtrWjcsh2Y3QGfcaNk//uOUJ1f +GjQTkCR+frf70W/qp9EcsNyqcHLFFJ9huS9pfOpbmDBKw9qCRjSzfAfEUrJNGr5wxuXUgXeCZMa9 +L2DuByAHkzvjQS/FD1ywNi7tU+hesqVs8YKpFN88TwiJypXHqRjRB3f6fkYRxWpoMHNeUMjF9A7o +DVAMrB06c6YjoAEb7CKy+bYNRBRoXlPeNHJWQIVZx1AKLHo4GJh/cj/4T/cYmaJVJF1o3LGghefy +cLXoHnW8FBMH3PwCL37Kg4mrWFI+oC7Zlg3WwAZumyKrjmXthq5RMsArjdX3iBehb4FKcUvYfeZb +tZ1smMCxpdjpQ/agM65XKxlb39AyPXjWbGUV+j1bk4LhW04QagStBnD5zBcIW4F9L8CiWUfyZBEN +ovdCQVBvtz2Fne2MjUg4Dyb02XDkop6rPfNyhKUcYweZB+3iGOlcAXWxPf2eA1n5GnF63rBtZf0j +6tJ3xDlzMf6bQxKyrzDZU6W5s23+px5IX1DXpa2d/IkFNlNQ2T/g8Uj0NxP7OR0bP/JoyzfpIrS/ +9Gfe9WpWgGeMO4fildozfmuYhcYT349tuq8Z0bE2O5AiOjpdcLChCsvU9mt8/fr1h5pMsEnjW1T7 +9fcli9O3JXGy8Ti0i9qkmRJM1BEDtT0iYBB+uEGz+gp8KoFg/5UVrbJ49xfZflM2e56z2GFBDw5V +Mx3RdpmzZhKhqNk+zgonbTPduL/R2GnlHcDwWwjAmMKWNLcWpV3pHmlbo067c1tFcC08q42XK06L +vwmydztDvU6YtXTahycen1lxeTf5gaE+O++scvUWXQhz7I2BQ4hOU6wvE6300NlUyYwXjRiw3V6b +CrPLfygk1lU/lYx3E+77kJLDEh7M2NHgqcyVNLKbB3pPAv7HxxdfiTkR1r5yuEfqvD8jwSRbI+Eg +EZGCMkldEGZ+KT6VZkNw5Op9MBt6UyrmJW+N40Js6ypRgBBnd81mLs62Y/ajxhlJdG3U276qzkZy +61ZJhg2txxujVQhbi2F7RhkBZbhZq+OB/aqqxbwD7V1dc1hRkVNjr4m7y0K37vJdRUfDwwHkI4mB +5vuyXQW247EfnpmsD9969U+GYTUIJ22dnnppG8K4/cjYIadMsTR6ZwON+lUetVOVDj0fH9eKnjp7 +7sQwfkSfyUhGNDuom2gcCEmqgh9p/I6idt7upfFIaLLfrmBZVle6EJLEN1ZbKd11myL+vu/40EtY +wZNtRSEv2XaAb/4pHomwI/hL17hy287XLXB/D6BswHmxsh00nKeItPVHo7AVCQuv3CjNkHC82vbk +mXY/5Kj1z7YidUewPFvZqdcwfEjDdcre4GQYVU9kKZHjjWmcVfdDAkPUeIAlMVpcDVBdzW36fjLn +QI+k7jwBj6h5l3VdieUgcQz1aXMbGWDNNDSYuLEqOg91pfurdWNpBD43UG9wi+n9s29Rl5mI+ICM +PXo0U04S7p2Usa8sZPXi2HZJpBsGEgO/uRYeAdMn0vHdIfqubVZBrAx1bSzS6yvx0fHW8hsf74e8 +T27HP4D+t/scQ8etPavL/8yMSHH8OYNv5lEAvw0iv2gIAQhzFVIwTduvf0VZzcZh2ia8olhEmEdS +nvRkFeK1Au9/o66h5PwW2fa6FcGD81kOp8f8bus20y+4QG7Egr1Vi3YRJJPop4CscSxO1/eIQ9dU +bQwiYVqJ5EN9+tPwlZRnLAeVUB7CCFas17xwZ0le7VPMeOagnqUfzgC4uK0NTujZKXaERyQiAEMh +UjWTYm61OGTzgtxt2R9hYIUiDQT1qJzIKuE8T6tvSE8okAyWm61RlgiKio5tcCijFYMQ2W7xMplE +GRJaxYWPJZ7E+52768ELNu5u3TDhXkBS7LhoXho146O7/2uMsoLbuxlT8dbvCxXZV0++2JuKglQ2 +8HgeC1QV8HOOs9sF507MYt6bYOAQe5CdumKdKk5TKz+WQJUxrkDHkM1auF/dY4FMReo98KyFQ5LS +vTCLrxyMJQtg3oyX2Oy16xGx/lv1d3JTZ4ue7ynknZoBaJUhhT4agapwHSE4nzf8qgMI2IowMDmQ +kovgxZANjMIxLbnFPUHs/KJQL/UuieYd1KCwoYUYT0uFSjTjocOzV7SeqRnJ1MBFqH2TkP3LXzTN +XzC+VR4R7QZqynol2iPpEJDsqBf8M/glRjI2VYPgzdTNxFuxoGh7kb5yNyKE5s8xlolEMB57fSTd +31aU1orIWOcbx1tU0JvoQoUmamsxPy/ekF9lyK7PvAjv2rOKT24PSo7gawIHwjUy3+JMci9GnZEd +fP4DVaJtYYNw/CbgD5rHKaN5RbvOWkqeV0/EpspWeuOoqb9B87J8SLpe42caUyT+MvvcRWVG3jh1 +QjrWy3Z5Edx4l+SzEhoLvYyVjOcuj2UfxND8K4jyNp4p8QE16n7MqEMtfPJ1bR1i5CA0WrqEfKng +EM5MeP5anzGihYJCZb/FdMIzQBbRBpl0/sgEbni8K04j1QB4xyd+LEKd34lQDrwHxV+ZD5q5cxZQ +2UpU75insfRHCvyvpp/7MLJ2CzHMfeaqJBV6XRAk5+INKN6jzveY+GYPGAe/aJo4kumNNcrtEqJa +EYlNj2B6bSXnnjgh6LstUeChs8rGm2RrLVKZtvTvLMY7fmw+OYEd+Ou48L/KntWtq3NH3XY6Jtrh +4C1clc3R8exySK+jmG8FzNREqa7neKW1Mf8KuEpHKnOdVVqlsrjt9f6SrCtlaPerHMlrgDqeqrgO +fTlcZHY13itJYCfFXu9fOZ/5OX/kZhQLrXRiX35P/rCUG6kdfD/v69/KWsUyFqyXssMU2ggswHID +Aory4DbEXjwmxMDXm2wk89Mn2WY1CE/aPtrHjp5wbkouHuhieb4Ycp56b4hop0s2GJH06NrdrETK +ySBSXB6msM9KE4+v5co/TO1eeQHqu+2FVcpeWY03+IWPJ8ROI2flg8sgCRfFTMf3n/IthKXA72wj +ZL7pOHEV/NdDreDHRXyl3JM47Ot+n+Y0a7IE55iuWdDQd0HDAM3QMqqeG3GKKAHQofS0vHzXOSqH +cQsWUXIjQStX9EfpBbuYojqzZgr9KTrkvdmaogV643FNzAA3/de+SopAD2sdSgsei+LjJS+n7Jcu +Cxfxy7ptPVYRy/mj7iKMJzg8WTocsDB9tZdxIKatFTiz+nUdXjD9qWjhZys1CgUkvr1grsXsvFB0 +LZRdhz1COsKolZHUJZ+Zc0dHEPiLHQOxLhDUVKhJQu6nrqiCJO3RMbpKujgUE7sZGBXyB8LDjom9 +nTpsMvXwC/CDSWIiTVFSFP/jq5gjpxMANv0+TEzVr1xgryOJElAWwk1CvjJhcZujCQSWrsZlfKWF +hkbEh/IYIqkkTLPyQ3ue5Z4otkr8/lIpii712m0C5oadeMf6v21Gh7zEgtFP1S8vDj3yQxsvEMXI +GFUxxn9bmhUOrAtWBP9Zg8baZSjaXcdUNjZk+BSIrGU2nA9As3+78VmAp8sbTbaItRuobHRc9eg5 +G25sKhnRYt+HZEIMRx4EyTc81B4Ynly4NGb2yMEWC4yUsmWHPpQuC3gWxzjn8nMiac0qMHaN+Ney +SLXGfqQI4Um8iDUnDH+2Iq+rONLRdeXu1RdnoFzn/8BFrfGgOc4m3p09fGWWP2GqLHY5otXQOJlF +zKorRsyR88UwKhYiPOMIOuCPf76gMiFyH/xZVlcOL8CHIXgW5hNFWr6yJZaqyHx9pPpkWtxm5XNY +zx6G8fOF/e9yjAyup1V8uEcifcb1RGMjNGfzu0dF4MZ2dlUl+CG5JHwrsQ52Q1GGJGkrWk+Anddu +7MKcqVyRPMw+rgYGKcZoWWmH9R/M18SlPANrYAY8y63UXIdWdnxtfqenifu+KHvZ7Zha0jGLVmAT +r45buVSoyyxKf2hUFJsk+4mFuWgt5sM7EsaKDmOrD24ughhEn3SCe3IyDKIKv8ysAmW4R1VFt/zW +6vYKzHOJ+m8uOnL152WuA3MQp5AVNqQG5hj0C/7+TZXvbOrtPNd/puNm823DktTwwe8hF5nIcdYJ +HGA2bdfUp6GCipU0Eqa8VSDzAdRIGZQxUUpo0bmq14eH6MowjLXGKdiX+zFIXomgIR5oK3TWZiZ5 +3lV89EDU/LvGqaVX4BjdRqFebDPPTeAR1ass/G9Lqik1hmWY+IzQscH6jw58UrLJIY775cgbzZg5 +zgP4kHcUuGFUvsKcQhZ4JNw+G3M0L2FW+Sem15iD6kG2NNQAxNa//s7Tr3fMNFOv7ylDRYNTvzK5 +mXuRt71Bs2VPwM4/LRG55O9OE0thP4BOldi9nAqzWM2oVLa8sdTvqz+JqQEwn0GgmPWfP86LnUNi +6jse9mo0vOOhzsJlOZA69v7JNfZLcBcSGokVDY5BhQ1IReIK0srgQW0yYDjnVB8+eSS3sasFmrT6 +cSXPMcWNWWTnsPacDm86uOfhYrDPeAe6xPFAeSVNQpEBXr3ksPhg9C9ukFBgfid2nn82eIsHwHKO +mLgTIFZ+9EQd9n4vMyYZ1kRucG4gICfUYKn17lP5l5F916u7o6JxZ/f45PQ79pKWnZQ69RUC3EAy +1ckwXhPcNSjK0UjkwNw0Pqrhm2DbHsFzd42UeIWo5KSMUKtQ+hbS2/gkAkFc14SKXQl448D5TEzh +bbcTbwLxMGOnLVWbssm43oRve6WjpNdjsyxuSVOM012ZbKFA04tMMsIcokFpJ+xxd+hrFAtguh2y +XF72g1Tnoie1/qdwk32fwllF3BjVmbxfWBdlxRir7iu/karvFKN8oe29GbgUJ+V7HopGQajxol7w ++CMcXzNiHuY3WU/CKyvjBkMMqPVJHMr4+o1bbLWtQn2qKE12Xe91X5njZn96cob/WV18NXAwRuI5 +it80sqjTzvyHeK6f+lkwbfI6EGMoTkr4huOWAcGlv/KthD+7Z0SFlT44TlxKs5c1/VnQ6Go4fxyZ +fwcd0P08VwE9asH0/BMTlZHHqJJ49YFEpJ/wQvzgETWDp3ovKlfpMSWcz8OPkhUxiPo1Ztf05xUY +P+yIJojJTYcKLpF6Qe2rHTTZ4hOKhcMOCqeD8KIE9LF7HRZT1LH9JsqTB7bZK2nDSEr9GyIeagVl +hs/F2b/Rc3fe6oE1SzVtJV2Zy2zMWCHrz9k9YxrxRMrb5Mu2nO9JFa+RW9jFhoQZLJYPXngTaLyD +HOSt7FxQCceApmRsMzagQhGyYmVgrQhI2kbMMEaqBoTowjrkzEDxY4hzXtuKt0f7kRgMnv0OakMd +FqhSHXkq+3CpeN9Lhw6V4OZvlKFME71l4+nIO2JEsS1ufaUOQtxwCgUBrOfKEREXvPiWob4IW8MT +7HI+NQy9MZfHc7dvQYt1wFRNVgAElpwO5oJBj9kIvp4tMnnnyYze3JHYY6jZVmQ+NUkE1Mhah64f +kXOB4U9sA2EIHdpFiJuhCHaqG9cn1FEBtLQc06MeuZaWUQeZ7A+6uZQa7oBy0WSLQfQEHIjMEueE +YfvN8AgDfdp3Hu5ZJmSGXDBrVDrV5RjsffNGi4H59xNLotlDNsgngoIqKpIDmvzVCPUxq4FLN4lH +cbGHdHRL2gYsHxPWOALeKUBd7zCiE8345jtVnCD7dUwDKYWo3foJaLL2y1ejwDahItaHIRbYzCRx +rqMYN6zBelFtxdjTuAGtqYR9+rNfpShZz0gYnKt71arz+mWxvmcRs9enR+ArlGBcbeO5q8tofMBq +MbTJ29YfrYNb4/uqZe1vWHI4/aJyExbWKh9DY26OWttlXNnvi8WTWicHcp1x0mPpWqFvVVrI98Ce +9+WnHZfHiRgycdOykBSz2LPCkkHgYVeKzMU3Gu0fLFWv/fbVH3+WXYeB4Wm2PG4VYdW1p0XDYi6R +xlFJfMCq4c3y7AcUDjB7nCyp9y+UOP9idHNjC+q6fsH97eC/KCkPU2X3uEBHZgK+wgFio/s1VOIS +OxsK5T6GiSR+xiHwVkGJmFXdj57N804IZIknBINQAkcrjv4FP2a/eBFspZJqmtM0HCxaBUzuO1zb +Wq4gCGGVUKVkd+6BW3VUKt869Vm2GGIHtdL88k5/l1Q5fv65Pz83RKYC3gKfVZ2o3v17YBcb5U0h +WKATOEx0Meg+Sd22bNKDIlfjtFlTeasBVnZbeAcWUDf/T6CZIkBmmBf6gsWx2PPJbR33Tu5Hmmw5 +z/q4yJ/C0rt89yUpxhro0kd0rSO/58zfhktCOvvAFMkoxmSGbtGDuFaW3b8qcmpYZ2z8E9rU/2WH +DaOLc+pZwLLYnunoJaE95BCpjumK5flVF4fmnH0w9pDVsA//eke0RtPqaMlt4KXJxDPFISfbzIYH +iOAz1u4xUBqQ8DXjTaFlI2rXd5vzuLIRH8bBSFg+Fr1mllpJbO5HJeqxLl4xcz2VQ4FTC5FbSjBu +SvvLpYuOa4tClyun77n1c+iruUX5cG0wAHZQ911Umfu/j+UpcbgKc3z8SOZldcUXxfTHKdrJAUAA +yq7co3nN7i48APGxOPteM77Zoc2yhUAgNDmA73Tp8bdjc0LKm+h1TjB+Lgia9WZFPre2Vmnm36zP +cxuCAik8LLtxWalah0CidhA9XGGgrpFEOxAQeQLvkOSC2RSsdqJ9Hwsyshnkab3F8HAy4P+A2oNP +VYpURapcF5m+TnoskRl2pNCLGPwAh4cnaSpz+aLlFHpw2m1kW4GomMFQqwLGbzqysDnqVG9j8cYC +QEhNYAUn/qckzyjXGHbXXEaE8HdNZEDRMZVUBA3XYjroDIvTIbw21QAFgbVvGjeVu40NdA+s6pe9 +EVicbQcNo5Jc5EeqVD/B/f6IkIArx5a7VHGYWyuOebV6RTic7oEQ8CCSbiqS6lIwTLJPFcyTl6lQ +gOFlPLTHGTG/M8TSFJulFZB1MKtA62YcDARSB6x24hnBXiNT8yH+SNeai197RrIMACzde+qKCpjl +2dD7hnmnOxZTzamwylhTwCqNROGaHOD9SikybBLWRAMYLz+lwc7FhqmyZ1/07Y/hCAvEO68kqmXr +83zwa7vThABcIHs5foHUW094AW+HiuNKU1dYcjXTfi0U+luEaIHDnnOcX3WR5nk5Ouo4ugRTlgzT +YDnoAv1sEVOPTr+krn5m6FBtt/G+VIlEbpqBmyLt1j1xY9xpyVMhoKVHl+P032rc+r6GMMwe/fwj +TzXssVwXpbAVaCq8RASEO+0Y7O1Jgdet4RrUz7ARc1gfWuiYE41Sj9L8XwHhn+uhwS4CmIZfLruw +JlmNtXQUCR3jT/ir5a61W8BJaGd1CIKp8QLizx5lO0t/eKjiKd6/lQV9P9ppnznlfH8X9T0Lx8x8 +AIcCh2EtKsD3VZXMJ/h7GOsOx29r7QwoHP/7QlQ45A8WmzBqogLcK4UiL9so4Ux7tb0bCTpavWGb +5/ejV7q4MlYZAnTQYyRDWo/wZbW40hc0f5xNerG6lDaBgPm1RkNOyn26fq+E+LdjH3Dt9vYXA0DM +KaG+Egtj2Xk0QbYcMEtMpCAVHbbSgoJo/rYpzQfCJSeADbb6M4MR+q0TajqePVjx43julohuk72i +4+cb/m7GNaf07w09T/h2yDVSET5HRY/XLLqXmxoUrTY0veXD1XNCjX88u1KzfUqxUOvVNe5y/9xK +4GEHg4zCnt8I3zYUjcpIXIj1kaIIh4jtFlayx+H0xSYaxpR8razqC962Dv2XMBp3xCsFKX+jsnB7 +BuRshDQnL+PkTo8TbCKwwTj8WwA7g+Vf0/Q4Z+YgDesATqeUtveWsgY1BWg6qKKC0B9/+TV+/Jom +pI5lQJyMjvvNSpra3HFaWojfxcI2NDvfdkX+f/ixxuuTzjVsqqlQVXWuCZt/MZrwFlFd6BK9g9lD +/84bnaMuWfh0TKEIlN+jfILN0J58kFDmGMZVuhVNin7UQn01LX5X49tVXzviN7LzVHUW8Jvm9jrn +UMBvXlEukgkVEFgWFnDOFxQOtebBlGv6kdhBMD8PSp1OMGkyuPr/QoQkUdWWx/VytmgJeIIMuQNI +lof0Lo6Aih+mk1Ty6FuWjG/jzhpMEHD/uTK620xnKJg6eX1n3hND64HHZkVxVW5NAPxfwa0CDus7 +ZmFT0g06XQCeKIfaJJMhd4uijY4OHhUgYQ0oHyl8R5pYJsN5RzQce1j4ZhmQ5xRNthzwMMLbWWaX +uo9MLbOdTpUf9dtnxEt7cx24xpEWmVZf0DRstJbFU9TJhii+kNjpgKQAjLbJQNUIioiQvypbRRsq +bbL9YX23Q02Xpi8gbz7Hunyu3zE3/fVqNZRJQ7XKZHvwDpfkRyLb5aSqmMFuHSSjKB7iemfh6L3s +D06+hshY2YqevzTBoDKaZRXZAW0ookGQ8zCSFCJcug3XGflbs5lTuODMI3nbDuOmzDxO6fuXy44q +TB67tHKswUgfO2RaBDChjhyf4ncGBPrVMCZKtynfZVZsxhZcrkv1OmKSvC7QOdUuAa4ginDPlhFt +tlqU4oss4dtbfKWXB+66VL230LMoommpUzIHb9nr7AV2jnE9mQZTU+xWcN1nusMUEJwpcNg2z9Ke +Soa3GPyC+hN4Y03uIFO09j90v1mJBmKNf+7Hpr6t9xxTzLRNNMHqN7JLjIMJ3R3Ib11slShR8Izs +WFX9znrqWij50AxlJpbuGxuqU7c1Kh+Ujw+QcvyModR38B8ByRzdZFeDAA0EGwyCJme+bqJRtOx/ +PtOeHgU70aYodEzgv/eLyBYil78zN5DCZ85XigD5bzy/sZx3WhUxT67oFzDPLu5MSjRrhmQ8m5lY +YxUHarHU/x7C3V8iXw+ku04RklqS9Qrawp56s/803VhXZIidPkKv5UriPvqZPiOACtUjQ4od1RjH +CEkkYdPZcw3KCXnObO9HNj9dTVkS9E7YRVMhEf6rfGqut0FLRFZ2inOz7nSPVgYWc6Vm5toH5DEV +b1aZLwz8bWdt+0gumkQ7w/+I5DuB7+cdpZTxibQU/6A1qBRs19fwPzoJKy5p8wYiGwBeF5kcdUFV +pM8htCpaTGetP/05SCaNBaqOri38p5s2OhxzuY6iRZzBx19P7iL/OvuP9WBmgXDBt4CDqSrPxCky +r9Qe2kCGl2xcF1l61Anis1YXV3wncvkU1ETESyTIo9weLrjiBvKh+lFlIbr7nSoYCC76UFajz+HD +Ja1+zYlEdLEX5Xef6vADOc58ClDaU4WnTtrcNjuyh0Ue5PdJtMEfQfleH0hjc+m6oBvAZxod9Y5F +Sb9bqHvW236n40HXu+k0NR8soVfntr3Wd3vBQSFwlEOIUn+zByUsbKYC3U9wNVsfTZg8yNo+YSgc +7lg29OVySJy+GLcIRlWvd9wwJgHym1ogRh463KYPuZNMxcxfZS1e/TAgVi/uI/Gk0XT95ypoYEXQ +PzebfIsthTdaHBhVHobnUiIMyhtdhFcf3VVSGRlyXcOJctAWyBqp7NC2wNdxGxuRsMGZ7gPeszqz +im86AtOQgOAXft6cVFPFays68qNt54M7sJZc4DkN7fYMNDAnRIZHYlvqBv7wxdurZFY7wPKaI2C5 +KyoBgZcfDC+wny8aOUN8VvEiPMYm4YlUFRkSc8KeXk+zMau/S2PkqPLQ4VGuXb+ri+y49wB0K7/E +Hwh52479dK6NyE6JC8iWKIVODLJkg8bzeUcb1G9wIJh9ty/deGxz7wZBQmT1lcvmD/wnFgUnDapu +BsHWtyDzb546AHh47eEe29Q31WhnK+GC9SNCnyBdLW6ogGZJIiiDvuDIzCfJCyyi8gsCHtwsPG+w +eAD9p4MBLg35/laU8xPAMWnlxqS0ofPHrBhzqmHMwmKyZ3I2RkgIe+xy6HAsN2a92lUVXBJchKI/ +CDSihedVtCJyH7hoafNvjUY2UFpEwAT7GE9LAl8uS9pEHGgH9VXuKli4CQ7LmZV0oOCB3yUwV0fT +EV11Qb7zjBXfAfHpl26G7ARXXzSeRtGGvYIlXPVuZiFbq98BaW8n+YO1Q1asMrjFeWszihyNX7TF +bny4rZ4S0+BcCSwRXiaMCNpdSjXNfFLljVaf8mIKZW60XT9t0pWMKTT02gMUQgVPyXIrb3LznhV/ +dtkh44kWqCc50EWNwvU6+nA/H/YX+zjC1MWBbTQNNN868F7zHjgbu0D8Tm4YA7AS4cVwaDSpIh20 +prMwkrKF3mXzf9E+F0nKpjgywIAFFQysmu1jpZhH1CRsEfuR6wq5frnqKRJB9wcwg2gkcaaHPxkb +Azt5GlVmhISxWvqx+7y/LMBILyvJlgiP/SUOAH8PtLugpZPZNKr6VQH5yCXFSSsAqlGcNqHQWcTM +95IlaBFV3ahrRFUSrgUzhtaJ3eJ0+a6QQkHVqxxY0WLi++EERnqIewcj4fvcuouk2xtiPpv4HEyt +dK0vVufKnBNwFeNrdHyNXV/opW+j2n/uevqYeLYNe6HmpPbfPoBjC2DT7UB9H4S4woIiyC1kYKEx +E82B301E8R7bDNqzyNv2kduUNXuf+gF+2zgPxdnLXQuLng4IOPRNGbJm2z6ZK+lyfyNHVSfh8pAO +8DPH2Dqt0uphnsSDyJ3Ih4n8gdHtcZN5/acfIjwSrpfrv1tdKIpxHWYKK+94f03tOsFFvm5jSigJ +WyEL5QFfQUIpiwsIkcw1p0yNX7kI9QD3LnOjwfcdlKM7JFycU6u/8WdX9ssiV6jTsGylOmdhxCIO +XqZ0YgxIPcAtANdiMua0tqYteTY9iaD/jLLRJmrQW69A3PpSy9scyDnDOmLy9OyyKR4FPXX9n47P +gSqUlIfm3rR7Vx+xJU11ySTpz5i+XAJQ0PHHDNEwA1mqs0AdsxHa5xnTGAQAmlbVUnr6kNJAmUZi +5jolKFuDKegEkhlSsd5kbVFn65PQ2Mf42NFag2MbA4T/bTD2alWm+9DDeDn2bhQz1z5Hv8y0hn83 +GpsFNngY/O1CZCtCNuMZaG00EaRui8fxmVzM/W5ayVbiYBARqS6gQjcnJN/KQGJFzXFW/WikM7zo +KiRayGQFo9f/QBcgXP7b/LBVdNDBxVlQyoXf8GJ7rptaq1B2WOUvFA14WuthYi+a3EohO5p+smRX +cAHpIsNMeeY67WrguNV48QDBuYFcUoKPEaKcLZq3zMDXdAaN4G7eZLVua3FzmwXL6NET2vDsjENW +8yW5gRv3TOBCBmM3uQ1o2wdzNzKlkfUlrbHu4I3Npp+ivPml6aJBu6e/FQIqodvLFwRp751RBL7l +LfeohnREebCoAPsBAaLA9XseVCxgJpkjvDJPMsSw/iWxda/L+kkDBYpkw+9JZw/m5sVSa1F66kFJ +OhblFylA3focKQqxnOlHfyVurd3hDSJY0kxNPmmGa2CXCG1NhGdUgMUhALCfsXv1XqpLanA/F/Wx +MLyOeHhiLNn5Gg1fa6snmL4X8S+y8pne5uJlnetNmeT8kpPm1sL/leyWYuSDSMvJK6Xmau6U97rt +JCHcuY/UuGnLNOf+E3uxbRJNMIudt0pEy8PsawT9dD83CRoxLC7xSxMuLDYZ0NA/VZSg3kUZGV9R +5gSNb/GTGqwZclEgnnKjJtgW/BmTaJ4gIyzrdezY2gVmR+83y5HVSl0g8PxVzFj77tBkbSa0hkQ5 +dSo9t3HtM/PS8l0sLJd2daUUkFb6WQ51Qo6HY+Eo+MdeccO9iRNKkTgE2SJUeoT7D2Qm620Eig07 +9k09axdhWXtwA50wq67KZnkJzL282l8ZD7GP9PZD4IwGWyEMIbw39lLH/zBUwzqTC43fHYxBQNts +dWhDBL5UYqAAl5qY1xg1QVXIyv1tLyPLGs4S9TyopTLpCpJzNcR5Ol2gHYzYBu/4H+1vYfhgh3Ad +AnLS/D7ts2dgfKiwhK/30Su7VAI0ia5r56FIfZKC0ktCPxrEDHHKHVzpN7r3M6rLmhyFJAfUpoF7 +C1asnO/XBVIuwTgxelE9PYk4omVB/xQqWm4N8A/1KN26eNQgjsQqMHOutoH2nxMjtE6+93SpZe5m +10UUFkYvEVp8IvuZCBX+E77AOrb23mI34P4Vh0cG62AB/LVh0MJ9XX4XP0b6kUkb/f58Da7x5uVZ +B7ix4sOVHosTFfD6BkHo011MZ/SSlVgc/mUDr4QFENAY5oNVNOP2nLbPDxMTe940vm22Bs4BVr4S +bYbzsvU8VRSOGF/ZcN3RntP5/CXYrfqj2CU1NmzbLoOvLnvPXeybeZIWTeJSleQdxcjdT8eKo7aU +61DaZ/PZM6jSl/zyVSOvOrlNjSrPBp5dLab42zIZo87bEljThrsybnVGqZTzZtIOSktYsEaPXn2R +exzqTFWu4M/utv0KxGuCCmKc2McC8fOAeXsBsPgaBYORRHHvmU5pZ2rFgjqwPLzmc45PX/kCIvUJ +vX/FBRJfIL3+YP556DTYo/+odwtLbOHUNdF8MfBYm1wBF+mtsba+S12waaSYDEJcNDg7lLEBA+55 +tcoFxb+EaQFl+MphGJDmm8WKCT1kjszHFg3fzoH+51yf1Jjpk6qwQGn9s5TB4UeOE9gp4CrF3ohz +EJlYh31z24qhRYH/XPYJ+j9TwKqqHUc6UiwYjuFZFJ7WMlpcEOYAPIxjeK92p+kKfn1ZW2etPm/g +zJqn5LNiuNL980Rz00mw70jsF1vf9Cps7GHYVVSQSkEL5ImwKF4T3LrisIEfnQlvP3fiuNml62sP +6dVDstysiLFfCzUMSwZZ/mjX6J69t1HZWzHGFzLhIJhZ832a0u1nR0x8jco5CnvExL2s1fxxEZMh +hkhsRHbpBxdfJi/YGiKuLh+UDdRePvyEHzpAeJciLu48dpmBzS7Z/CRTmyRz8iWI3UMi6lyu+ruG +qdU7o9zHBRhSFSw4lkqF2tauyPNYedRurYHJGtsLbB0uL/qCMMtiETfH93cqVItgh6nkBmk8xYii +sXfa50aqccpATeojJl0VEmh6lvKyW+m7vglKopTAd28iF8JPsxRrJo26r9EXwu3TNN+o+X/DaMLf +W29I44r4aB4H1CzQqTfWqIdh/JaTEKYxC9HEmlbxhvojOhIlDGdgEcCScKo6DJS/TAGcGEcXERkZ +Ok8iniLCHmaPjFD3lFBTSX0Wnf+zJkXxw0WBnU0u3ncPNwmYq5KT9/D5Mr1kiGo+Ll8eyve8dj0y +79Fr8fJx/8wq/93wGeRpheP/SPSUAIFa+CYTYYkYIYnmP0LSJHrhP7qWQC+UZFuvJhwtv0GMUld7 +LF+u/9SNg5YH/iSR6N5mTkFCjG55KWh8dtwmObeHbCr9zPF2gwVnohdXzfwzIWEd8+kwsnKLpAR+ +isX80+LbvdvumsG7FXZDZp/MKCQdYPOXbrIqIEf6jyEfnhFW5I1Z54gzf12cT6/GqY+UBQYnX//L +4bAcFBI7k7cV5MbLkCD0WAv4tNg37YVGX5Ztk75jG7rdzHQlBKckJAsqTR8Z8qmtjlA1TVnVKK9o +l0lj65JJj7fFJvEziI9QU86w/6m0pO2HBXSavFUEz33cThkZtD4Hmt/fxBYknKKot+Mn4t57QtJB +ubMBV5Bxyu8kh3WBCZly6RtloH8T+UQQtHuGuvpxKH3TMd1FBpVqRB8IIp59FUlezICVQ9cJAilX +0yWH4naJuRf++BWi1xSzIHh0QsKkvwfHL6O4DjGjF907epvRJ0s4zjfY0jS6u+N6Axs4rRwzDsiV +bNLw7FwC4OeTYIzBMp6R5h8tMpIvQWJIjRWeKt4rFtMjTMinSbHA8Flj3ylLRxzopANIazfv70Of +kFxifPTy7mpJRgGCPTPqsfKlM58F2ustZjJhdtleLCZHZoJzs4XCF69tdaK/E3DSKzP0MBmPL/dP +OZj7/EOPfNkGLmadIIiRMD5YlFIq+Zs42iY8BDZmZDEX0FG4F63x9jYMLHiCsogU/rLFuSIeTkfr +hxUpTxY8iSwhlo9hzUZZxwvfPMdTmfh0qORwxbAgEZWlirJZVhDQ0RayheP+PbXHEvfePd1/i/Fb +1djyGgeEc1X0JlEwJGzkh34gutKqVKCLHWVL9OOTBTiOlLbgujzvJ7whxq9gWpBottpoI4k+Xia2 +hyl7yIY1y11ABYPRm2F/yu4+a/RBnSBKSkdEVdG3fF1mdJhfkT10RPgOnth9qM0nwkY22ZPYtuBE +MXM53eC0ErNIsJoKgsMm/Ah/nS8hXmO375troEW3Te8VZZJ6KQ31eQdeEzNmYUFigU3fQXnD3Oyf +Eacf1NbeWxhW/MEW10hS6DmuUSOKYyo/bOmWVDlkRL7CDFala+ZQrLrtchMmes401aObsM3hGEyB +u6IYaFIzSxGl/ZIvVw0exvViGIhEsJKBVsD4ltm0TQndX+GLjUhZ/fmLCgV/6Rx9iUUD7qny07Xw +zYgqhEUJp5y8fz7mt4clk6jA/SjY6wO0pf5utob5AIEK8EH0HkAfK2ZcpSQOsaGHjRAiBAxeaU+P +VZMTqI1abH+Xkqajxr+48G0jz3V2He8NK/ng3I4QgKKoZ/Hdrj6dzfH7i5iZuI536amlmUH1aTvE +IsfOEhhxYlAIfSM7820t377+p+BwMB2Ajfwei5Z0g1Ns4YdLswmixgF/7QaIhLwC3ePAYLVqTL0A +q6V/cnJmwId4A9cn4dy4Rmm1VYjAEjC+9wgSGE+Gtv60TF7RTxfYCc/AZ2WKjUhKfuBg5iV/d7be +N8nVE5YRZdywtBXAFrhQobwm1ImxkXWAIIvhmAis6aMf8OJ8amBoAk9mvrAllkLbmapWCJfTXu5L +Um0ddN7YstoAScllodoivRl+9ja5xdm7QnLdoRb1f1DMzmDMskf9GUgPzXebpsZNMKyOd4EDRhmC +7ACUFJzemXIxU+F2HVIayp2J/OPxHIN662Z3WzMXSLLFpR9xwtwEbJrw+IONSlQryfM0VFD+7c/e +tQ1NpcuTTDwJW0MRA0/tB95r+GprHjNUZ5snlxMxbg42VA5T+pKTWhbcJRR/klteYuVAiLmdiybr +zK/cZ7jeDY66vGf61UkxUr5aPZcEkjOIVIi2/4N1LeZIeYRaTFJkksja3UMV6oku8x2Rxrihu+Gm +oWDXA+eTDexCDag28is0vRG8VaKyn0q4X7yUM7iqMlrRYNIk38JF137lO2dKPxvVRT8aCAXEBPO2 +tuy+Bq58Dt95mEQlvqgJxTKEPHcfjlPtz8Z/gqjTTAVFDJ1vkzOuQ/pRMWwGX1VxX9oyyt1LBz2F +D4PIZE9rQ1KrM3r5p3eg1MzvcQQ7kSpmddNno8PvQBKOHi2Fyy/+9eG1jWwQPExTC6nwjVOaKx5/ +htxu5NMH518QKiFDOLO+HoBsmTNh8AnXhfgHCMTYJD0f/9ZHWPC9XGKys6Uw2d2JJfbA7Je6g6C1 +k+cWqadqBOEqhnFEg+5Pi8JWwij+nmBF3Q4X+g1hc8G9uZyavAvRad8JK36g4sxc7cQT789Pd2+9 +Qc63tDevG+NZDX/odsx+fkDzagimd4WVA3lJr7Wnyf0IHrmGr+ggWTuzuzs+AI6iA5CZHmdCDjvz +hrH6Ym9Omi29fO9aXwqSuUNRxP6g7MQ2ABzpqf0SnGMWqy5GishTHhwZoTko2d+hBhaQiPZTl7Of ++7eX/AF0OZcJHtJVboRr25AzdgJwPt7HbAlHA4XkUFHwGv7nPkCzaMkOfuIMlfhaY7JivIynQXud +3tXaJEsQHHMWSfyVgs1CM/W64e5XNAYO9MkOvrO6RdjOfHpzj4wGROhjpHDKpAzZZ3CJyVxeZQ0X +ejsKK2bXtMdM4whcuHek4gx6Krp73YqRC8YH8t3eXJwYPL+JvyViEytA6ZX0V0cngg/iyTHO6ZmC +PqpI7Aw8d1qnvHDQOe2N6Yb3gTFnkVLRen87009YJioagQhvoepBVWqn7rbd9tpAO+lJQdRK81b4 +FduGAx1ydhlhrYB1DftyLEYq3whzS8LJUJFOEBidqmHwCRlCe/WW3HGu+cs53iN3ctjQaB7YGgUR +qt7SuhhNte2ZYmqKbaJ8bpPvjKL6fRYayxBQ/QjnP8fDlmcZt/OacxkWLqK0ndCkz8a2AsjEfZSy +Y1OxWM3NqNj9DPAp6FRtPGB39TpAzLmdKKbCB1AXARg+xyYsTYDpdpzxqqcdP9OfspM+VCvbKiJZ +Qqx1zigzYcKXwI72dT9evTiFNzQ9xnHA4AxnHSX5HfTQXfP4eOiqJIJMES0c6r1Qj1rOK1ku9SUz +tn3ueUj4QNKgrlzquxgdg0lau3wENconkDhEdj81wVesuJ7Os6jNPZNeLxKz8fh2ZMXQQ/RHM2fH +ebXK0ySv/HHAhhQIfDtiizMLHtARjvj8Mf6hu0Sjm/gD+qHZKstWNTFUlYJaMSgC4NEGmLc/iJEU +v94yxNPKYTbBxYh9NcyDPzkKbtr5kIwAabuATdsbwZ1BNdqn4Qn0rdSt7XyuDYDi1FfibH0/0td+ +CbQgoDRUgjFEgqjcUWaETfCPJtboTDw6rrATN1B+Ek0n0LvOBdQz5xCSo4+Xv4jy+RsDbK+2Rt/H +7d0lCK1hi15L/B+BmJUbVXHOdt89GqrjL89pPS021nk/S7MY7N+ibj+LELANge+uZF0EIAyzD5EZ +jUpCU5clNjtqKVQA9yK3yM0rHjhLPO097OU8tab4ZFW47Knuo1pAnuvTHi5dYGNZ7pzfVwhxNcOT +6ESw/I5J4NJ3ruDtz4eQXJG/MRsn7KKDQRFri+zJ4A6l2DZDDVPXKmHSKwFYlYfJBkAoPjbGP/sg +AEeVnJcn2RtDiimCdhxkZeFd8XOuNrHJxFt9+e1KwhB6utkjoGK6K5PR53glSR1SXz8M1F71JdB6 +a3V2ip+I+t3FYDgdlW+r7Gqn5Zyi4aXCyaLd7y3rOFgrsNF/9eQiTGXt2hQQ0S1EygSHTxc/4GN4 +xHcbxoWWOZghG5Ql0hrYbSry6JybBUDdgkvSWtyZ+h1XiWyri4Q689welzL9M9HphWNvOjtvbYTd +wtzcWFnmjV3QCkAdEOc4KiSSZC/lmg7r3FldiIgJhG25F27UxEzlkvhEymMoG5262PFtPx7n1bh6 +Mp0Lah7PFwvIrctYEBFaKujCAWV1eGGg36gwd9vLEbTyEeqW3SRBjdNlsoTXNBr5x6sazBwfD8QO +4wqVQXaMwuz7zw65UPp+hP3NLsGX+YbYfhHw+IN4kkyv32aZ9DMP7jtjV595Cjddu0zJ2qCXkvU6 +xTF/NHH9D3SniSiu97gX1ACUHivDz3Tpq2BOlshnRZdQc+59nimSGSmqG5eBPX1ll2lAhN71yAgu +c3mW6IaZ5AFm7BM7Z7kT22WoSgoDpDfLfjtt/t45XPYGK8+6P6tjGrG8zQiXGldoAHpaRp3y7DaD +ZB0+4XqWkMrZ4rtEb9jZMMstmc7eu9U/B/HMe1CS+vITL4vr0/KW58WlQIsb8GNcyoKsdMRBnAFj +IjfsutrrWUeMtVFh9ibovFbYwkNjm+zFGKnjJWBT+a0CMuCl9Nv+g4q/asTqw77xUzjOJyVe/hQ4 +GOOqICN0DGJVZpYBFwktZu7kusBu7nbMLiTf4NUzZK1Nyw3/JTAiZUMj/GCNgdcDTMxIJCVykTEs ++uVqamTtMmGqoB7lq66vIA4Ve/+hqlmnh1UQQf3sMk1iNAbjdM4729PrT4VrLUkrRsuu/ffCXoWv +rrNhjTmGJCLE7/Oz4xVNsGBS7aiycBk5HeQ/dvwFJ1Wykpr1RfP08Vk/ovCVc8GiIlZ0fgRFUqUm +1vKd74C5QU1ZMUN3MNVjWNDODNPhMS5PPbcwtJ4vY397G9yJKIFh9uN8QCwtqK2+KFL85Vopb/y4 +E2I3YfhobYU47KdNt6HJXSc+QNd+pYDsLDoazIBpTakYzq71P2TF8ER1DRdG5p36U8SOaIn8KKf6 +cyFulVY/HyZAHY42lMbsEPyouFU0H9jAOAogeIQ3ZNtEUYqMk5aS5fPMfqnnn1yJ+fHbRtRDQCX8 +31dSIZkldKb9eLPlUuzqiaEI1g2UgHLAeHHTa5ByFMvC2S4UuNp8SdQmph0gDXGTniU7/mVIKwrZ +MtNJYrqqAb8ReCTnqx/kW3bkeDFTCacrhdBFrakxnxs7wWga3qoNZb4ia02WvBo1jSlcvQ+1kbXF +KOcWYEemcxwkJWg435rZtgKZqPBW+5txh+r13mxt1bzzWzSvR19QEjzGhFPwkcAGy64CEiKvcTLA +I9KbSO7Qq8prnB/wqWamp3jFHHoS411sz/HK2CN0y6hUSg8Bl0uStJye677Qh3p0bN2Cz5WxiTK6 +L1zKoXewUfFR2OiKWrUbbELs6BAnDj8pWy3lPQgL0bB5jPPHhTQdDzvIu96kSvMNQsMc//V8wM03 +mXRpdoasvI9Us/oESlhK46gl+AkET1kTgbVowP0RUxIqbh5fWy3gRmU4qWurd+pHnXcpq9erQ1My +ztUzSmcnftdztF4gEdRpKtsxcZNnWU8XgfC316JOqMY0LfVeX4uumc/FlojsZqIlnE9yEj3f7Q8L +wFSuKV0Ix5reGUNxJQ19FDzjk6n/wETUnJBLfq5dNKlJ51yOOkhjX/diLp+zUHJ6RBsBwvCzU6zr +CjK0poSHHB+cQ2mh3fMXyF9iCUDk6lkPaYmm6XGGlUIbQuqyHmpwNkKPWfirGHyxk34sNMPN50Yg +ySS0K2OfK9EmA80etLmIBftPN+b79N3pCwwSErVL7Mc2hIHjKIau8vMDFG26FciBN6c/jSBI1TGY +PnnkDwLKtRg8+AUkji66iqcgIPVlK57WfpTy9j06Ey+Cswk3iqT+Kr7aObO4Ty009fnBcshXlUvf +WndD1NFnbqBMdSow8QlQJhheXP16cF0RCXo1GE+N0YoD+2e7AQicTUw16PICDA48ME5fQSf+6ABh +idqS2IYRh5Yo17OxL6FhrM70eZH+KE2jMbvIFr6dZB5DLOt4NzWM4VxlDKNuHjNvzvpi29Hr0hsa +0Z2QdaT7n/S+iCjeHAbE9IvnEn6e7AH192BRqyF0bPx8dLPIAnEkdKdHaT7DuHioOvVo7ima6DVN +aSf7ETAMqVuu94gfsNvVDE5ByJqdpq4F95Tyl1qOKAWXh2cjc7cpiga/O7lV1eOJB222W8hk3vl4 +QVZS5JobhNKZ/r8/IqnmaHS1/kwJu2/bK4sb1kAkRCNNp3nH7rwCrforH3pOuWnaLkHLxVM4EBJU +oTstenI57gHix0HM/bsf0tqCk4FYztchtP8cygOom2YK9nn2GVaIr1AkIi6ipmhWccNZDsWYSwU7 +9ElCTnuRsRNwW90TCncauRkU37CJ3i0ICIu5D0QApQeC3VZEFN7W+ezQYJbWmgRiPTKHjt2MdLui +vMFpDhgQeN23UN4z9TtTTa5ZV/rEQuYSOwQS1ylETbJsh8/qGkGnh+V6tsyEkJefSeB7byRWotEJ +J50LGnVprTtp/AJYItFeDDiA3TV/zS/k80meOx/yoc4DWDvB5jh7nC3XJakQ+OSp6m5IiJBJCv7M +YxnZH/dGke9ZNYrNRxMs+0PciHDrU3fHZnp7RSw/DWwjBGU8MRAqzz4FPwEX76sCM68NJpbtYxlr +jOXzpPj5XCLpWGMKB8CLawvmtJwjxQKtzX0NQi7m2zQFqE3aV1NxYxkUB1EO/d+87fddvI4uMOIX +0oeNqqUu00joYSTaHQlE2x0sHyPeInWJhGhK9Zugcy7HXN8R/f6vjT8mNyxKSw14M1GjUNFCUP1h +LXEaChhvSvlQfLhtCfmYmVeHNfVFsrMe0GPUTPdj8/v0gLEF/d+2t7DmV5bq8jJatnkB5ai+jLBY +IVgYpGYSYvuMdYsKTWxXUF/3rwQHlIB7t2PuahfnLHYIfNuW+gszKcesFkbYJkehiYmPcMT4blJ7 +MC8drXF1VldiocgRAHku+tRXxO6u+edzc7QCWr6ODiBltN+K852NxJsilCGrdzf55GMn+Xg+eebw +5Y7eUqPJqgRyFE7DIOlnWTwesaLPc6bQnrTQlgoNAIPVWibYZfQzCrN4qM3PipUooJug6ZMrE985 +WUNtiOABXXOZAW9vNr/DvlEkwclNwC0qX4Kx8xB9w6SUWAz8BWu/VkHENgvKeu6cNpmv1GNve6nq +tp30oZumM98PYvOU5q/VZyXplgrXFufoAeZ/TdJAdCHY9UQT4JXxCoWs1rZqzT/7Qyn21xObwKL6 ++iafE8D+M14rrzEkQzZrniMbfRtVuBzr/QEMluKQY2mbDSEKE+bcx7w3DOZ82C7woPc5F+0vapE8 +qIy9oJI+SRnsWtTs8PRPdc3z05ALCIph7uugGVOCXmOx3oOudD0MDO6Evc31ilDpRaP9Br+mI0ae +7YJtYtrmVdOoyJ2QpBpSkMt+Zz8etEvL+FSvARVfNYxUPMsEHwBs0WsY+VGQXd83wcNgZ07JQ1Vp +PZ+gR/N/yv9IQoPRjIb5krkFPD8wx1ltMNAL/kbKsgjjvckA6z+TnsFANzrmGH0NYipJSPN9PMSn +0aeTtZYQFeuCgyuCQdQia97w1ggH/apcOqF4RoyeHWF5p7pBqnd9dSEaRG/XOQh+z9Muzt3BoQFE +CbQs7qrXZF1490eHtGqNMOgV2jjvfTc5gdv+VUWDKW2O91rQHtTOB508Sg86MhXORxdo+7oD1nVK +mV08WLqFVUjqLONp45af8UEY0SfKWLFyVwRh8j14/0YaknjAgpgDZlV1EtA38pCxl1k15Gb61lnA +byB1a2f2mJdiOW69Brk//ZiyQMvGVRwwdRjAE7H8YX4DIa9DB56haYqx6MuTfqjPpKqbwjOQSJDH +uCsEuQDg7lvEl+Fb4iCvayaZCNMmv+j3wBeZ742LjQPbEqN848VuJ73Igybkdw4hWDwyi1KKQRq/ +5bXk6DuOdEryIAdvZN6uCakr2jmZC2tKNdB87LDnmbbGwlb8bX5U2xjNilwK++chUoUMUUh/EWo0 +Mtd/ljjRYvKpAzzgeM4F25pAU8iC7Mh5pKa8WRjr32h1DnwIpoCaiwGMj6ZBs+dt7smogr/P1osP +gXpK4ayRhK3YOAEfhCWNV9Lg1FU78p2Y7wLef95Nvd0Z3ejHLVdtAwC25lJIod5s8tNQYHmecrE9 +Eh6XJiIYzm+MHK+X7mXFVa46USZMpzIR1RERVHhM3J8mbpO3Jm+Q4/ESVOyd22EAETaH77g3P37m +QSF9SCLVJ4MVLjBuFMILsvhW+KQWm+NZxOG66do//DD4fktT/ptDgpJIE4Nh07B2N/Y2AbybZcEa +7qKhgxQFhbXImkTle5cIcx6wSWiDcYj74RzVoUhvC4mEaoRqXljgHubaoBMLiQAfwbiq1UwGC5FI +ChUiReZKDsOPCk8qMFFsQUmUnrL3rZ2eTUtOWNA6+Tn1LSDHg7XwNC36FJGki9HbZuNjmg3MtFaI +O4ICuPGoxp16PmJDnwcd0ri813XBL7vqQ+C2EU1AuREBVoPCNhbX/mmDSbOY9SDXSEl1JIaGKnv1 +3gmvigq0fYGwS6XopjiBZ68XzJKw8FNWdHYStcI/2+oytULpPBToTuUJkrPQ5kgpnETUtS7IjmQy +R644DobAD28fiNf7aC+6taAaqxJ0m8HECxY1uxEldxud/5Jz+JcPOV7IV8a+ahTxZlPFwY5FCiXS +6+OC8YmCSOZlV1mAeviFh3HP8QVjt22252s/tvMPaHOOtcYr0k+A/8w1wUC0x4c0sudZS74cMqvh +x/fS7dHn3F4sSJKXlGxn93toQuMvJxWygVBkiri7vNT8qgFpwrxqZgDTI3qvWHI6X1CNwfZJqUTb +FXorqjQmgZl2DhfWoeuhVXKbpTNaT2adEZgdNEaC2XooXRv4NIsMcTaMTmShrkluPK3lYkFg8iz5 +WSdDIRx/hTElvVSNR2F4jNUB99+DH9MVLdOoXJYF9WgfyyTB/cGs7wA/SCcjUqAiMvhhDOh97RZS ++sdxi3OCrQGrQVLFweamfgKDtsD/Njn1NEZH1+emSEIq1/wuZhR+MaberZwud95AjhAbRgKI9fWe +5Py+sJjpRrxZ6uG3fLdNDp9jCEblSgEl2nWPQEv/32jLlH3A7d/m3y420ZaTyNy3YcMal5Nxyl4q +TjwhHqy3OLJkp0PocWKHkrY1+BJi9oSx+fuJsRrP6Y6Cl4EM8wyq334XqLCgKCmmMHAQXDV1J/t5 +TRmqd/ItOlpGoxvlN3bEpqGRpc2ntT1PDEt6tLghFLh9nhrBP7S7A2EtwvL0csigF4SdqIFCX0By +UVEihs7OprHXWhTAwC8FF0vaVAUtvdi86FV04J13zQTPbddD1SzG65kJomXwmDNXr8/ZCDwdaP+i +2qUamHyEw3m1Kcd5pAIzXFs/ydh8ulATLU3vet3CYDTqL7u93REdTYh27Pq8bV6jEn3D2sNGbxzW +DvmLUVuZ3AFtqT4ftUCXz3azGeP2IeD/OzmDsyeU3h2qLOgnpH8GtnwotOr5ytRoPdhnoogXT1Xj +M6Zk0R+FsEZeLNBqjpUmOThR501qY/nMpF2/4rSAQ7RDmlg+KvC1GaK+lRJgYwE46tFqUEtwUSRF +TeppK2Avj+Y4noMfMsYg5uWkbdaqO6Q8YR2xekJsTI7fypPtz0fw+AGDVsJ93/nVQccisOM3Dn2g +EEQ0aJYwinR10HVTXKpOLc85n1kZW+5/RA9VbOQfLjfNk1vLLASDPpFNH2x7TeU3eSteW3YQ026t +11+4bQ+G+EFlgv4aj+rFkzAR5HpvdBDiYHweg/idZgOvgdjKvsn5d20mfN1eKpNgh3mqbyN12RtC +8RPP5iqABoPiRa54FXxdie7eu7nfXCRSR77dBZln+7e6JC+5BUkfOWpyl9icGrdCj0/Eiy39KCQ4 +oVx1GU/zAvbNxi6icws37MF9Iql80QqsIXYbGzEr/fKpmHkd0g4iUOmZdcnCjC6M3E7ov7cVG38A +BVXVUqPo8yV6uIFqoKvneLFI+IzCfQ4IPipem0jUcaw2G/9lkZS8pToN9dQh9ndO0PRHVooYsSJQ +hTuv2UGFjy9bcs3XT3GXlwMxzXJLw7UxJdv9rkDKnsird0BaC1DULXbhxCJ7Y//GrCCptyTynF7/ +9bzkpjJAcTEPQq5olyhn6vRp0XB/ljwr5bCBXJKP6smWcHrenTLMUGvXahfgBx3W4HIyau6cq73t +TjEsgQCOS8DImz03t/AEPZLIaVz1SRMxT+cAUol5VTIte1WqkQLoNKoPJ3P2hEOzaiwLCyW5imyt +P81PjFET+sq/HJIrwiWxFyC/ad/oa+5CDcz8Fba26fgobG6LBDjDECZJ24acpOOaePGt+V0Ikuj7 +2VgyNrefTOjjBAF3157F/Jt/ZFT5+Nmm4Cx2ksXn9BjaWWjHoHi4gH0qkdWNAmjn+bIHeoQJ/5Ck +NIRnjXwmNCWI6h6MaOoH6FZoo5UcwIRJl4GlC4wbrSFFUFesYDQtq1B314wCbXDFbeZ0ZX1lmqS5 +Al6ItwFVTx2O9xtUap/gKps66zqgEq0VKRsPHLYxiFtTV6hQI6twhmWKUAEH5r0YzSF2RKCpmyYt +e77cgKan/uJS42iIXeFAKSDTkIX9jHWJq2Hi8TlobAuGabcc2mt/50IotV9MV8IGgXieL0vtIRbh +oC6GWlFjtVjD79p/KigE+PzlTEuSqC4HkCJScI5R1KjLO5Mo/oqkqMSLOTpGvIGJfKzwkdNUjIkf +eS7bIUxXBcgziI6y+xshNijCc+JsRf+Immp0/WgVRsUaTSx5cmfpREyMs7gy1RyZqkohJU91zASQ +hLA6gVOrWd7nzl5WxHAxdi1GbSElpATsHYv27NorF9lfkjhNn8yjG8+4mnApJ9/4UkcsiWKnLfDV +lLmysezDxBWinlS0yJUEnWAzHvYSFFslExtXtpZ+IIAgLOUIED5Qo2bgxE/BExDhLOwCFQkKa15t +dkjW2TGP29UqKP3A+F0TfihxwmegpcSKEwSNxzjJIatgK2Y11FbAtJZ2tK2zswNTmOSkGHfVctDK +USY4O4a0vQ9SvDGcJhb3WK7aggAX0q5xCN3wNCuZaQpRKt3Dl+mRzLawoqrGWHWwLv7C+IDe4/Zx +8hb7I9tkDq8tayEhTs1ORQnEErGXn5GGfi8ZTldNx8PTRsaXqH7woBaAEtOTDZdLlJn+q92U+GL7 +NqLsQTGBRYr6jot8Bv5us4p1DWPrze2f6GEv04XmXe+YxAxLr/rC2CmJ+a/ZFiqYbxAxSJuscytA +sJmtNUFpgoCYNGnNPs18MVIjkP+9dFGgqeAfNfowdzSBMSsr43aQS4yi7QnQ1Nuc4+rcBot1PvdL +UamUX38e8Okkjwe4F62HvAE1Xhil1fbKozdH/nZ87dRmf95G8VmHRISiBOnp97Jsa9qHZ5oQqxVO +9lNUbbSF/C6qB4I7sGsJt5yQEcO/5xcsQykiC6iG5pcLKgfmuSfZE7emfSf42b2bDYACE9Eu50dP +VaasueqqXplEbcD0TL0ifv6Lo+1NnvZkC4y0TOa6grGyQiOmTfB5/Akf1VryOihKzgE8eATBESSp +Rb8gT41i/Pv/0L8gri8UKiv863Jg3dPu5Y141jRzuXcHIKfO83lBntnEHbkUKaC0SEa221aczsU3 +L1TOus2FSExL7UdhRxv3THscB+lFUwjfxSxSvZ/pcjj8THRRr1QvlAR4a4rdXJwlLj6kyCLLoZ2F +Qo1q+fnYIBxN2YzOTaoOjsR+YBam56oKPrTqcSTcelETFI3rkTNG/cCJMLBNFNwdcVp+Jr1dIfH3 +rXhy0OFVIAxHmOu7BWntz/xRvCohT9I6OSBTktCgULlIU0r5PqO2CvKvdLBTsXTMxya3F1W4ST84 +eygZ4b8bUOKJBEucW/iajrRN1SsEO14EKXkGE5NTLjJb+2c7s1TQViHfcCHnfYWYe/IYOAA+JDIl +v4WJsLkZMrrUwgupS5dAY10HUpL/OEK+RF3zPGwGA4d3LKRexvJ2KnQPkpmTBde/mtE+TAUpmLWQ +NiH6yMXXk/WGLVLtvH+/pJyVCAMNzSQpN7GfIUhTmCL0Pvy8YQa+kwty5KmDe2uwCyBHDBMHtITk +jpzCTNPLRhpiisKPKxx3eDmgD72qnrWPPA4yt4gTZjjYfwkpLuL0NF3BKbdAR0YdVZ1y2aGUmi2f +zxE0aEwyrvAWhduB/tuvfN5svFa4AM23S8wNtrTBIaABLTYxy5Ff/BANBtCt086cIZNt1tsMc3cS +KkG4b/H1AVn4dwFjAwvFNVzLEAlzCCvdmihCcwW42lkeIsrX7aXPOcvroIM4+tzyyBs6FG6yJez2 +Fwd01djouG5LX8Czb+aRy7DLPhK4PxiGpcquVcMZhrLNHLFDAbBUkmYwNyD0udB7Myu0BIy/DekO +68yc+yI1QLXcoEuck8gvTEY0j8uP4BjiP2aQArbHo+caZ8Rh9ehV85qeOfycvICC2682VlZAFhfk +q8qaVETUsl5ZXirGDbF4ZJn9hiFaINEa3U/zsl7bhv8qJkJLjAAaauDoEGL1CenrvdB/EiB2SHp4 +aY4ueyk6qsUzqwwNBTZQD3pTw3jU4GncmCOrofNNSvm76PQnohR4u68IlBw44qJRR1eD61ymwBsB +mgjvT3s+kuDNrsns4Py+yOMXmlnXQTZR8utgjw1ooPL75REwWAgBWFR71EDVwskUjL6qSgGcS9Np +AMuv3vIbvUjUiN+FBSqIY2rRT3zd4bgfrJ7WeeJDfKjc+loU5NMLUhdCMwR4uNYBrKjEkWYFnpFB +hk2+w2FA/vfJoSRP7vmfribKPREB0kscKb239y9D6OgmEa9MUziviWgrV8iV6q2co2iZvPZlYoKS +y7HE2sd5+/DTpm6GAhm2ffzgUpZErh1b+Ncxjx6WDBGhRnRgbFdA39+D+yW6W1eAHCr3OdawgZEj +oJbJqnp7jhStE57KQfBg4KL0CwcDE9W+kzVQg0lT2V9H5RruF08Q0WX1ZSZYCKTe3q/tst6vSHn4 +9VrZ+hSQaagYoL8CgWazV9tUvijdKTrCpEfnSv1s/udmii+Hj9qCZ3kRzppuMef5xmVmL05k5nmZ +QfBZNrEhhBgzTNp+mZ5QMhOabvk6y+5j+aD6ktqogZzWsW8s1D36uAaUIBMKRu9nSYPocD6tBz89 +CMA5GvkQ6KmWmyeSSkHim5WGQNKqFnO6icSTXql06Abr6I/nB9Ee4TwqtpJdJ0iGfagzJRW/wWC9 +AjCogXJ1bBjLKaeYVGiEXPtcVS8qd7QgbXc4oebmZnDdCX9NunzpqVf8u7rtuo2P+n60TE8VFiyb +bqlHqYM8p0NgzdVkEhDG35pXc3kNHeYUtCz+DOOf5RNVJqL6uvPEzO2JoYjfSKkwDzErceMbKJIN +48YmB9BivCAsnwKjAQVZ9D+sr5WGsD/1PaR2ssSnQCcsZdtxZQmgBk7qlQADSJ/t9Cg/73C8imzy +wwHlGSGG5SLabOL1rsoJgIs9o88QGxFZPmgkCm5jkBEzeD4OdUA8LkyJ0xQxVRIRdsNKXGtVqOiy +jZqjx7TokJHLoIP0SLPZDYZnV2M7mM3+e3NdaEY/k6MctiQuKkBX5iC595KC3vkgFWLm8xO1MO6f +N/rLJb+aq4kcFTJX2LxAnz8lfjJrsZhDztJ0UlGPiOAslM19Uugh0pJz1rzMUCv0Kyr73qdKTGre +t8+VPx+yDMWxDx65EbtSpWt56p1AkeRVrW+TB3Q+kNd46z10H7e0XKICtgCOTf+/qNRbkH6bmjeZ +yBjHoUuuq77Xp/+ObKtQEr6kn3gz+WULUIymkA1apbXfNoMT6f0CuWgm0cyqB3UAuHtAnLyPWfvp +dYR/7SlRV5QYI1jUwtLssaxq9lTzQ79nB5PrrVkNdbkObKJrB97SSm8Ovt4dlIP5oFXXexvXfVlQ +bIfkK2ngLA5/5X1Jqa3o3lNJUu3zrH6fbn82CGSH6OOF7pLeycbI2eKzgzlEXhKk+aMSKnnm5t/c +rddwP1qjI9QRECq1H6PRu0n2K46DrcuSfW7r3YZc55kQ6wd65/dWYvO9WvjUf09WcFgUIec4GYyv +l+SmygPDujsZBNz43jCnmlU8aev6dwfiNxH+eVimgmDd81Iu2vpr1dz2oH70wsj110ejKNNE2Ach +n6kBnLhvRbcmsfh5n6UzIYeGxbjHzdY4V+QkBOMhRwq7QUga1a/VTRa4ORlBJOcMKL87NRDDT1fO +1lOQPRPHlabmzw96cqZyzGspRXcvnlISol1WcJ7WOvjwB5EzDbZ+wlrZlHlEsvWHpv1h+HMf34+N +j0CRm0GE6kxwvJFYDzc1dmRRCBCXAIK4vr32TSCw6JeNnpkdtiGhvO1j3/l9HhiR1HJ+wMWPAaXv +LRa+g76X4bXn5LKBn7ciOARcWuFqdteE9+c2oTfDk0Zo+nXQNNT8jOaynj76zkJlgShpDEdm79bI +sjuO8GCDEaXh98HpR616B8vIjh2BgKIqVbdSEb1nygTrKtULsa/LCXcGeFGoTuNlEGbfeUU80FT+ +RKzg5uW2FuTWClQ9uvl/7il6MNmZ8o3+8QaEKMMyBjjVWkNJU2RlaKYAfxNWc5uwyceW19xviy7H +Q1+b3lKF3+y/B6Nu0iIZvP8xvkmpuWpYNvVhAV6Rhq9lrArLtKn9q/V0sGwz+IHyjAXHy9GNge9J +IWmKZ3vcAmWhijRfMVSImOqNk2Xku+B99jOPiFBgfWDoGV1aqOmWbSo2BWnNSEig9p3RRW+ZzfPA +7UuRzTiy+gY+C9ogHktKhhQAAprekdajKbFqF8r24YWj6jFYz90nE3iyNto5fIjvCWpZLnarr6d2 ++uSfPE8VXp75/Ihphvl5ZQpMZvdsEB8pHFxJGGQLXJ1BUdWDXl2XL56uhfr1ulYUlRVxj+F2sUs/ +jIebv7MX9v4XeNe84Fx5q57kNw5avLgQyBF5PpmFfJ8aqIP9yAiZNAdD1ZFL6NrXfg8ntG2RzuAm +ihbar9zEg9dBZD2OOgbPz9on+whnObftT7I4K3IK+KWZI1uT+UAX42fTeYhcebokINAkUuzVsIQr +Pb1S5Bd5kkW495eHUeUrlnvQWhgP3pCxUrgZwPHjXL39MhySCAWxJ41f2Ic+h4mSKqF3C7bTzubu +Eajhux6oCC6YbZ5xW88MrRB3fqgFRgewtyR0ykW6wU0anKPmnTmTZAWkxjyu0FMWJZwMJ5GHPhmV +AGpSGm+D6qwBCtgJBdOGr4yScsAGbF4D6cuX8i1Uwt4JGOruQ+83/KwDSpnC6S7SOjKGuSrlsWD2 +RbAgC4ph8JSrjsiG4lzQiz0H95UlNhoAiqgTX8oYufSVSqRdEy8Y4a1TG5oOtf6CJFqM7DDutK3S +yFf/3aRTxM6ObKzL9FEwl1vOStnmj2I7apUD33JJJHrMCzgKh8EgRjdKZV3llVzLA5McU23RsPqA +RHClrAM8CMCWZIgfMTy403xC0z068GsZChhRCMLzrun4gouTs/1wFFXUge6wQmF6BaqzlEsYpIwH +ZHlqn7So73gzi+h3EUuaAJUN0mnXNTB0MHAzjTCpXecH8Ewb52Fqqbx2pwz4pcXyCEu91Vu/lxAy +LMrWAZ2OuXrHDuSjphAyDeQU9C6TqAoYBEU3aSD8Cp212XUapo4hbIghAv0pR5JRwg/aiRjWKE0x +8sRr9V4i+MMdw8hxvSoMyZG4g7bVR0DuZ8HlwIXlSBNtyfthggbCvrEm1b8XfppZfNgwKQkT5y8k +oIsmoT4A4jMmAjXnVAq4jS46J9obkjcFSvJ1ZtqoFTlUq5uxGkg50a7pUTGOXyDhVIE5qG678MLF +Frzto4bNkfvItqYrbtiYyiV5OR5/EfFWU7qx8+D1HTIvOrBQJTEDLeVtRq4peELtMdM+f2TWJ6uN +BdkWkVgmfLk3hsJHsNQCXjVuYiGWKRjB414f+QPfAMi213BA6TGW51/5RY/o6g0AJ6RIcA53Ygg7 +h4MYFGnKFYNE9zlh02Egwx0uMaJOTAp2INHs0Hzk2uTIrneNpElbXxyI4qf5I2KQGiq5F3f7UUa0 +bBjhNY90QzTw4QOWK1c3fImJ7Ajmo9Atw+c6nkU1YTLOCFJbQGYeLKBd1Bh7b8X3GDsMdMnorEAi +S3jtJ5BPjV8eHzQN+SLQ5zlUey521E5q71nUNaXvOa2xy+5IxdeFznY5SY/PLo04IpFtwsSHPxCV +GqpHhJIskRqP1Jyk0Uilh+Z/DqYgWY7ebM9glqLADR62hIIvJp/TowTACiWuj6F7lrQK6MxmxxkO +WO5LJeG158vvFt07KL/SfiH7LFMjc2xkr1q+iXgd+edeR4C7a3heT3OcoJd3tDOB3H9+39SIjmkS +O9XTiH8u37kZxMVsDrcsxA5WHu60JvuDD6WJmBBTSawjEfX1DSEqbDRr+2R4+LITPF1QJ8Fc03Py +Idj7VxWqSrIfpvJAqkgJYS+PcYV7xkRqSfHqeytb74wLlU4Megu3hI7xTtpGzLrIPdCjWQy+LhzU +S8jntT1cIQgcmkhA98GOA9LSpCNzuo5ikIWavR65g4owoKFin2RXZerO0komOIgxsnuoW5NXK2+s +Jh/WCdc3nTasO2aOADQhMM6YB6xs7ppngnFr6aml4W3YAvCUCWA87bnJKhjvk+TXnP/jPcl4hK72 +Gy08xljKDPXKtTziP1Q8RnTvTlVjGqGs8MwDbSfZUlsp5Aerheu9UgNwqrSbwh0nLgFAgiFz8Lh/ +V7gH0TnJBkzCf4sZuxZIZKwH08cHG/I19xAaE7omni22byiGgJlRSq6fpu/PrigJkS1++Ciut3+K +XSFJ847jWd/BmcGxoYSoZfEYxFbnTuc2cO4lLO+zvkLL6QsmHQXsqazyf28ChwDQ8sKMJXpxWf9l +K6OwrGZDgxbDheUMnZidXZ012SMFrAWPYofe9O+jzfDp30xMMlKQnE0kIOuWb79JlzMw8s0NnAqI +R5FW5tb+8rVZH8WpZ19LhLgdfOiUV4NTa1/4B4BtxAhXJtZPp+o0rlW/FOREbXCVxxmcPELXkVx/ +h0Vy7ytpLIudCPNWqBpMc3mzs91M6BjNlnYLfwDDe4WCHAC/SE6mrmZnu6DbZSIyqZZHMblCkzZR +VVDXkeWTWYD6qx0FUqiSxOxIyUCpbD/CMil96v+N8eB0yPnJEDu/HM9NRKSyUXkPubnqxVc7IHNo +6Rbipngyn7d0bphpzw+b4lvV9c6lXRZkcG07jXJ3GSNTjqUnSABnWDO8cWAFZOW28yWV3dEnPFV2 +kEFUDseewiAAhkr7ZYAJ8olqBo98ih7R9vICW0hLZDNpjiwq2txXsAiV00de8yRG75SoSqDt+elX +BJWd/M2S8ssgcqk/CskxoFp6LIXQdxKSCehpt5anKX5XxdE0HTePqi7VxVfzCwcKD72Jo+BwHbsZ +Oegh6QVbqfpIFgIqQIPWJGGvg0GUYXAF941TfrF/40sQAFKhehM571t5uzQpEHev9oYqAXmdnqYq +vA44IHlHVjQvneDGKPWlpif28rFZ1qw9tRcmLGuTHVEzWhnEaIxa7k+JaTIU+i+ggxboMwP+yiIB +7X7en4PK+l9evUFpTmpYh7wgot7o/hq7NYciBMtLX18oT6+QURAukuFWJ7fnhmh3ImdSG3Q3oeXX +yxkFO9Ba8+svhimAypEXHKPjPNhvE8atA7AEee0DvDEYFL8LKKKPtxdNMfxcLe4Ss5o7KBLMzYIW +jxZ9bUziyy507b77RFqmjYeZw1a3Vz1BVVzdl3OyXkRfN4Gg7JD+cRi4zsk1gs012bAqYHWyk3b/ +ohm0cFVmV1CoYPoxL68bFyXz/vPIKn2wNzAypQo2h54dgoHj0inLk+BsDFI7tL5ZnaF1RZzWUJed +xD0tsKjs1yaJvdt1O7rMco08yOEPD1p+EoDeeBXNGvW6+NDaBtSZ7KAA+wdpqTCpiv0KBIuEsbcP +GQ/JH0UmtBavPoDkiRxPRfFKUBEYO+Fd2jJA2VpFbSlBDkz3CgfkFHv8NXJKp/nexrqJBfZMD2QR +A/MkaghL/PCpPn3BFsjPesbIIm3g9hWxlFMhVcZanCrEwKSfazv+Sw0KIddTavoGCu0nRcdhp/SE +FimkC3azf7qxQaJGpFq1yDWlT6h0KelPnb3qiVtODqsEuky8UKxNadvyN3LwUg/GyUvmi5BbqQ7A +i113YI7IedsD1VUx5T+o4kkvzbAOBm1I1I6VGzYJ9fK9V5pLODaxQqKCr+I4kL0uqYhHLzaM7iHh +eK+GRRK1djpUplaULe2f3dg28U2zls+1E+uYtagkU4VLndbbuQpeUP7mQDJT2rwx3SKvnqbV5hQp +G3mFB0xljQN+ddmKrVCW1LLKOEU3URf9jQEeehWQ9rgivgSm54pDqqAO8P1SuSJnKIUDPdXwa/uY +CwKBiMZQX59IDE9k2x9UTlNP+NsfoYchL71tPoTDfQ8Mx/+j2A/Dej2rctcSHy683R6Dy4ZWeRHW +7hfgLrRopMIDdv7WzWoHHdOX4eL0tak1vUo/Bw5Sns+tJNGbL150PyxtjuSnxnGUAJUcR8VAFZWo +Vm4syuk7ev6azG7iWOf23HIR7phVwrhLrOM+VXgqzsexw+DoTwqWC1uzqynry1iY87872ytSt13V +ep3hSBFmPwoexwU1okIvw1FXhlRSCboc2EbTWmH/BKhztF2wj51dJjhTzrPC/fFZ8lr5yWvqRPKB +z5cs9ElOOEP+AJJRYf9UolV7Zihfs6ElUnCfUNAOOT0zDzGZY9kJk1vdT7LKzVHssGPZpzyc/3fA +oBkZChOONn/IsbLBc81AJhSc7G2dvV4duvYaT5EVLOTJaW3MBcjIn01yAtjZrCNKRuSWI9kRB2Uv +ZloHdjoBNDEwF6jvexV/Bwj3ayF5kCBoP1xjNiEWwSkp7VNYvcBUDvu/KUzhcw/kcKJMqUqjELHa +IaXN76joH0zAscJ2OI3qAemMnrVXaKh/KkbSmAM6qltZdlGiMQq+dz2FEb4rLtCikXAWObKxoIaX +nTsGl16dx/H+vwnMRRB94/0IbHcKjkw64kT0MvEsftPwvABrSvHio9tzJZkSUKnzYcvBNcQO7O0M +l6c9pzwxcEXREb0kqUenMSoFzI9Bd1eLTfPrq6lE5VdF9a2zzopyLhmU9RloBFB+aLqepY7HprSf +mndkUdlqmNiqXp98AQr77zKdKEL5laScPGFBURSzjmA4aDh4X7Z1B7nbd+rIjF0K8F5s0ynfaTko +faerNREfIMncqlGt9SYJBIo72M82/uqYpzUFXRJrjprqTP8POFafjn8e5VIzUu8xRHs96ShAbgWS +n5fsSmYqruLhiS7kkZukdPDUeM/AEmO0n7nc3vEf/9e2MSDT4ly+tjdmiRC0jRO6Z6fcq8oVe5vJ +o5MPzPY1/S3GAkqbY37wEfTraNvay7AkzfgG4a9GRKorvuWs81fNcEfxvR60z/Fa1a7n261wuKY2 +8ItpUUIOWFoPl+crXPdux/23o4OEYrhsJ+74PX6FTgkc4wO4cfp/809MdqDfTAv8ySXuyTzqKwji +eqyg3/T4ZazKz/LQwRGF9eH/vtWWgpfvlKl57n2kr1GMJI6eJAq6bUg1oIR7+xkm4eHikdAxUBzQ +jlFGFAVWUAwsG0VOZVxDj4kUF3KcTE4YKHft80ygiqVu2TfqBlXVRe5uq5o9bVceVJU+jcXgsAzg +hLRLoM3cNe5qDYAJDw5qBjMS1S+WWoBCyEg5DGC636imqB64rTl++Limt1fAwxsuWzzVHas2cAhg +LRZiLJnGbrw3+4wW6yBrEbxdBScFz8ntqyyTPTCC6j0XG4TabYGJtGRTbjDTra6Z9Cpxfa/J/ngW +8Po+QMH/knT4GKiu/KufRSqVIBiSPPiMPwcqvTi3whOqcDy90RPhYZwWRS3lGDcEjafp7+3gIh0Z +T4Gerwn2pX1ACw++MoDXDgW85wtFuMOVcCml3Lir/RdIA1HhoHAPNcUw/ctJyo2TRebX2IAjA4BL +lGIBGLiLk4mXzxqozsLlJHyTy1mUUat/sopnL4D98DxFhsPKz5vhNRht889wP+SyEVjeUAZo10Ly +Ghph4nRSLlCRvekXuORHMTXo5rh24cQAaBxaA6zd7nb/szuzT83sWukMJGwn7s/teZetau957omO +XL+kkPOIujSYcsoEqK/Rc3xaLaQ02iu+gDJ26Ks1XMm2rwpDlvtnyGRXtM8XrfZGyiYS1BzAyHKf +LFTMfnRxC8ZkxoAJckxhzAtOpAn4MEdWDiCfB+V9DNtnP2+9kJkLQJoF7tuEo9ya7B/Ujfkh+e/2 +ShASOWuTONJMaOXqPCBJRjfIRoROeqhYUjHidyw+VD5Id1zaFvYu28hZCxgIOw7Ts5Z9OTyw9nhO +UKcIeL3GoLdfL0XROKB0wDkGKOgTYdECc7VUo5oHpjcqAyD9fL0SWBN7VIDC8sEa6LxrgsDTUM8C +dGsYuNrTnz59/dt7dD8oo2uwmfyQQ+k6q3YnQ0BCf3Se3+nHS0t6Y0u026d4bObwIuhJR54U3Xr1 +AzQEOuHHDv45f4RowVKBAZ1R3xpo3Rr81b/knb2PP+TXJWXG5y1SYl1vdwHMt2pDVf+I3fBpk3qX +IPJR4PjDtiNqpW6MoI/Gpbbnz7kskxBI+0mivKFZjIxQq0bcfR6hNMwIsIi4dFhRBLxZtKwFrNMc +NZdyzzoDmAC/D2OVrMUibGrcMaas6xRBoi+8wq7P1wN2y+V8MjntJ52VkBkQ0Nm64urxyzwXe85q +lNHzHk66jvwf1jxJHsy20Adby/il4foUX6FOg21RXTh2qVf+pyLTVxs6qlUZPGC+/aaoQe1DmZDq +fBKfA5VAk4XMs6KN8iIc66620VyYh64/t1GiTjHOTBJ+mjM1V+uHsj0z/Ho/k5SdPK/+YAFV4QE+ ++ll8R2fOGTQB6ITmc6EaIjp4uXr01IGvdu3D+bdydvdY/y9iF2FVQrnctJT8r5uweNqnZpEeC6Ki +sIFfFJlBOQAcPI769/9CbuFlOb0aqeRGktzKRDcCgH6slt+wLZzJtRRMIwejCGKetOIzkwpZAUrQ +hDsPMrENtWpuuzMhAkKbZ1j2UsgubEIfWum1Gj787qwv2+wynSJK2MMx9qgqhC0rdntU9jnABCTM +zByLQ7lHW7ffM60IKgU3OFjQgossgu98rt5GFXEYkyKW5R/7obUD0sq533U6AdFfcWXcwSWOPzxl +EimrPvvLqvvWsGPqQDy4rNWvOs96TonS84eIXzA/gbkVde3TxdbKVmUt1Y5BfjuWEJ1gucFLD1PF +V+fUd0Li27hRnvlktmijR8glomOcEcuNwDaLFn3HRVv3pSDpnt2p+UobNizxui7jb9v2VhNqrffH +5QBbUdJUxKrlXde7yBAV2kLEI1qdfVj4VS/dlFYL6cCgkYmbiZaplKVCP/GoSr6GAs+aVhLb6sAT +uDFdcNd4IzIgFnAU8YFVcoyAGv9E82/214icsDHfHDAcqpLtls2qxYtarzEsExyrFZP3b3+aJI9v +4OG2hNqH0ddlM9fcGX9FWWI3U2Mjao26L93CiEPMmNKW4ZUlDs3EXT9xboWLnMKRjmCVn8NaGhim +CAqQqSFeyPSl0kap56ztVbizz/1tAtwLR23sp/Y+1fSwtoIS5Od07vuHA9AilsG8+bRJNKSaHtCc +3NcJKGwE0RuQdPMgCKbt/dkctuQt6wZBDpbAya9Zd/jqa5jxEexCKZ30wwBg7H1t81yv2L9J+BIZ +yy14MqKAE/MLBYHrnxfLT7JFNDG5CmqndPaL/zv4j50+M8i5wN3Sselg1KBnQklCU2KpRPX3mJUK +BrE0GVbU8lu/Dk3kk2IEOdV9xD5ROWPSHcjTiHF2rAZwJlz5YjkcblSEuNkghh7lMqWh5JYk76JA +frv2QCRu4cwvHt3UsjdU+kQtd5mZwMS0YL8IyfEq6HWIhBIoT0uBXJiFhDECOFXPEi9DZh88TGF2 +HOpBn7CdxbdC2NlIaF17sFMbM3/m7ndv40gdf/WdUkas79MCzC+r1Tkj5D0xx9iQj1frZ/QdzfUw +vbcsOUsTMaTn7pjKZSfu7kQ9nd7tZiBpURkAL8DEVSmtWaF0BSz4HPRZxU76kKM6B029/O5iqPjS +0Hd42nbotsGgX64SXemkPHNAiK5br7MVd6BHA/lVrbKrNzqH+WziN4WI+HRuV3llK/hLjl/v/d47 +mBVn+RTGxACqPGjHmYKFv7DsvVzPr7HUe0QtZ/veFyvOD50Q9CYeO63n+A/qzQCoSXR/8EJaGoq2 +Lw/IdXW1voIN8Y2qFWkXkFe1AYoTwTGsf8Std0zjb55DtmjIOK57ZfaYZiIPfiC2IYjNYlhmjOka +tzdh7TuqKw6Nx0fkRavg6SEtcpLETTXeq9MzIeGB+CZ+heOQgiJR8plKjlnyto5H4L51UQG7t66z +swaK+65hqIwd5mFlQoM62PBZAyC8mhE4UU33EowZ+rHAAVy05NIHPN1WR8HxarvSdHSJaH1ceMNy +fHogvtqhKLd9syz6OG8u9JY3pdPs8iwbaBG8neLnNzVqZTLIyB2j1hEKtNN5abPplRXme2hpoEFi +EF/yXFqS1cGzFOW1vG19xWRyksi7Dof+BSkZvAGZFmpW/S5BIM7gWS3BTsLNzBK9nOZqPAgNYoZM +1nXRQLAl0B65b8rW4nhZPE5PalMcUbvzFQNwB6yJjjKGODVTQKiBzbcowxoZyU+8qmv18bZLkOhz +TO5aWGG/dSaZCSWVOo1zTOe3zLSecijXDpFv1Rwh59a4v9FaYzMyDoeC2yLXlC5SuVss8ga4KEA0 +x1aptF/zEOo0z2Q8nOjn+M/0n3wPn0SWnKbL8RMVXKpW7NBrLfX5rbI2JI3h7nU7Ewg5WtftrCjO +d9zT+/dETypSBTPuoXq9S2+dSkFwSSnAW7c7sYiwmdnfRbr0gBE4PHXsbtuViMam4da2i98PBYUQ +bHcDVPAOBtFqyeXDoZh5Mlcwlg3TiWjz1uGdQhd1X3aE/1Q5hRCYlX2BkTCRUg4npj1XdNWgZ/Y9 +2rLdUv0Pz4Tacm+Nnz4doRiFm7kLc7ds9C0xp273bGnuiSDRJ4sYcWgZah7e3QVVozeDxu5/H6qg +l7ypORC9TjizL9EieznSFdST5dvNq+3ak0FPsM2R4dwewno/C5EdLsSXFPqO+7eiisA+C+MG6lXK +kGGJU7nlOUw+YwASGVoYuLRX03JF4FQzoJeZI+Rid84B5AMsRAY/29LKvOsMktBqQ6qJZDNLcKnK +uQlkA23GIdj30sBaoTZQv0yZUoYWTjtKvGjtnM3nwtaWATL6ohr/iQlTyPUAqesEG7aN391fq13S +l0C0CVHwBLwjOrxp/KyusPlU86ThmEBJB7yBPUDzcpgndsmsCgKsCrPwzZ9vC/V2YS9uZftVNB69 +eT2GPteEYgzET2pEvplh+HTx9WTMXYUQ/23z3Y0bJGyhx5UKe0EvzhVAGHDecUC5WWxQ2szh9iBQ +qBreGQjbg1X85xjEQwzaqtd6rB1Pn29YSbbUPPWBEEdIqfvB7pBahB4awvH5eAV265fo/9DoFgF1 +D6E6S8jMq9xOK3QFlQ1F+YAPtcWHdP8Q3ETpr83vhodXgZ7IqB2YlXKFLsoNK+H3fPJEd47Pg2sv +XjvGQAwPdOnBbebuhnigNwFJGdq08C4YPODAyY9jWC8/LMzSsdBnMTgSxOpBAcSCZ6i3oSudxfmH +/OTzTCfSHudGYvqw/7wN5bBjOLiOJ3KSF5+vkXlmEWRgllvIRvQFqItS8JHt+P4VyY64FzyMfKup +7pvgdO1ANttiyYKpwAGbgQjbuwJeHejJOKZ/257pVhB+2Ohv2GIBZvmmxOnWWfGX/8YKeiMo9NR8 +KvHzdJjcZNqfh7s8tPZrw+1kdmjmPLXD4z4IkyWt1WSZufcmFE/rKhacLJidPrGju9RCxuZmWx7u +btzS3g1hoJshkL5hReitc/lcxiL2ipTPMUIakAfG0sJsWTeaphuPfxCBfDL5g5xzpYISMrbDYLTP +QAJ/4wf5XwxSgfnBbMt+GNmT6NNjkifKz9M3coT+zoI6qNa3u+NYjHB7swY5xKqXm7CyyOKJeZsJ +cvty3HzTA37rYVHQpoN2PYoWSiy7EPLYzqdg/fZYihWHKWmOSs7WKOur7aT81+KoBpMLTBBGgVHT +p2w1c53rVumXGuS54jhhBFIcI+EGlsVf2ympT5lHfHXtdXKqg3qc6HxkroVQT1wooSi6Hg3gqOGN +S1wQSWvK+2P8HIPYBWHLJNMF7684K9N6eJ02KD5z1cqq7G88BOZJ5ZMlQJ17DsIf5cgTNuvYyzuL +N/8RVQUZD98U+IMXZBGyGbFs3Fg/HO6sNWV2qMEckHmzXrXPvpYTVNpcZq0ZcN5j7JGCr0ELy+J6 +gzvhiGSmV4DTe7FeDU1DEd8xjwpipkOnZyli8HcCjOdFJCN/8lYezbZpGSGtZAY1zqGImh4CX3lL +kaHxlhO033lZLWV6zluJnR1AHbxCWc1+J9Qs92yV/ZQeykv9z6ELSas3vpvF+JVmIksQGSwfRJ+k +qEpjYgy/S+FJWdxlj2mbGtl4yPLR8nkwccrg5spLHlzxjliyu0ZKZzarPD3MEkD1sN737wfv38EM +nA3mCM63r7peMOIWrx9icR1dFauBy6YFfvDQBr65Bv+wE2emEeNxqpdph0xtbLIE4CDJKuXIKBrG +QQuIfJQOm27jB1ALX31p2PfWwGSziC/HiZK+9G/GjMhqpYlNd1oteUSs9OKQt/MpKmhCdQGaDd1e +PZoUkczEc1qYu5XRTTAIDmACImT1xnX5QyxGGUow9kCj2ZzugWUwT44ba4wG1VkQnkpOJAARJyU2 +2bFbQoma7QZjnAbseRAx7EZO66NDxmIPr0B1kBx34d/W01iAULNHyPE+e5EEqPhnwQcAj8w/sxnO +cpX4ax1qu/BWWDHYhmsVzevvzgNBRp0IMwQPdvjgU3buUmsfGoqqHnV9EQsLeBYFA6Yl5JIvb6SH +shIlYI2746XypoCIeYOzsmcb1uM37EBMZ8jW9GuP/e/oRK4I1Bw+j5/y7T06qfOlixEl3VkMjO4S +BvxRsAWpeyi+j4vlxo4nFEm7MyCmeD0G/UcCbVnlL+xbI6ZJZkRmx+2ah1gUyxUALcl43kXUk/mf +qI9bq3S31TU1y0bOSjcmdXmRAOwsgHhLx/OYX1BlEKdsLVmvOskqMkQuKO/HocCVDPHZ5Jda6BS9 +o5vuEtDj3Mkpx1jWlddwbrDRBc+q5qIpgLSGiaNE+cvoIajj9csu0drgkTAeotzh3cEZFCKesxUE +8ImBQUyzfdWE3g7Zi7bom0KSb4i6qTFhCC8jnFjpvOmxBFyiESBDn025dJbBmpU7OkGz00hr3r5P +CNilY2UVjmju+5eJyvOO7ys3vq9hokspiI1FeNMsCraCewXJ3qIV3f+IjEc8p7ZhUrIvVf15rrT3 +WlfIROX9gsN9Whi7Dp8JFvmuRI/ncHBOy5QbXDjGOQzW211r/PQkjFdiDcBWbc0uVrnxS6Mr9s5R +5lNbVAXWYxRpeVmBWC36om8xa19pubnIjisHnfdVHSVvqqcisRuJBL95Tle+nAiEgbnEB+rDieci +LWFWLQHpTVGz1CaTRS3HPZ9T1DTOL0mRRA/C9mPV6oCOtQQnZUdIuH2s26DXXmPykNqnVTLzhFta +3zeCuakQ1KhTYEYGzn3rG1YfR3DbSOdhcH5BUqF0Q1D7vePoXbsT+sxYcqfC1l0Kvqm6/H7zRDCY +gvmZ77oIPZeycgRGM7FWA+fDN/xJ9vnljAU92PkdcbYWxnzqx8PNrXQx4hXG9mA4VPMr42HVL42T +u2nzUcIAj0ZppVB1tFOo5P/S8mCU9KR5nAeoCi8YFeCx7ywnrFWMIM1xFex+stUDbmD2iTat8pK9 +CoG2inRUOVoBVfmv0yTI2Hp9/MJ6d9Ace77mz/wGaRNfy9pAK3VHF2DHuXoQ8gw40yPipHYvorVY +nGfwZhgCrKMIHSKkIVtGKPTbtTmr7UShFFHkC1rHqht5pjtl4zseBEk5V9RYeJzPydKgF+RiHLU1 +Ye0CCGk4Xv2er+VnotF28yYO+CNPhVYcFN9/gdd9OJFLNYOCwn7pIjOKyGzxm122qlS+r8H/4j/0 +RiJf7uV9EehJm1PwzMuqRDFh3DyNx7GVXu5dvCCmdSsq+jyfJu3mPwbJGRSC5XpKFf/qlDJqd3R8 +uebrSNbrGqVlLRhIyZqi34KN017N1FvuMinQ922bMKrpRWZcOaXDMQ7WJ5NkvHnbDEK8SmOo/Vgk +bmNd+WfaVqXCn5A4eQDLHEXMJcNuCxkNMJxmLUxdhvufJUXTjeEoGu//wV49xuc75scvSFgarWjc +GL+ydNzTZqcFY3MDAYyGYELgnpF3LfZFend7+T99Pr9bHpbpjOuxUoLr/5BqhBdsYrW1x6pD41CN +lL+NdWLNCGpiyGiaLCEV8/5nY6N8hdW2j4pqjd3H06LWXM0i5CPy0+J6HPNMggmL61U8pbHsGGVv +ohO34PZhGWM5016oiIhjQcxLEZk8owwFbm55ZIUyRmFLlJ7peP5IOYo+XXqzyiQnP7+2njnEWPcL +r6CHWUa+9puvDWiI5Nth70N3DgypddW9KGYHcySrUVqXolPb3nhd9Hkv35DVofaqY0t+9RrCbG0E +wSlnEcR6TvjP+FFNxmQ08w/ft8UhorONWTyolr/2MBWOOuLVJPQ/Q4+U3FheDmTemMK4AycycliN +rd+FABX1/2svHfamQvSJFmKMFX0nFuwo//46Y3zYXGpn4LbsfCWLJbBBkvO0dRJD9vlqrKOu7PJ4 +bAdIG2oE92aESX7a4FWLuCJX6EakjljR92qpzzF6rrTmE9ZQlqgkVhVL2IY0VJENqWMA3EB2F3gz +cktvINBR+B11wIlmkszkEHWqLLsR7yKSt1okzUBasJyyiAfPX3GmhLZGhgcZVHGUUU1VGKjK2wsM +ovxncFfV9I7W9xYJJVBYK4X862IAa2zq0uebkVrZ0rBji/tHZrSvTpLUVOvBwKRLGWN+JbaOZFaA +YJNPpgGEYLiNpGlUuHy9UBTx54xCpcnaOnRl7Qx/ayavz/SMDtTB+9gUUwkKuLEGpK1BdBDZT55T +smNqTX8FWFTTpxhFbtg79I2ewgg1+2Pf8IhCn1BRy74zdQ4nHjSegoenaArJTVoS8L+EgnbSh+OX +4IApKCjwqLtJBF5XpzWq3F3PrZWRj3uXnQvnP3h/H3VtZB47qjaGlZobioAjwzYp5l/AKL7cVjhl +y3Lab+ouZfw1c8X31uS0UmWQZmhTuVKDntFsAwTpqUQ7qkfuuldRBw1L/c+4wjLVP9gt5rIzVq7H +QN/02mk2SsOl0utHCVNbMLgPlC0I3lrurK9Hi5jqTTBJ10e5gAoCA78ImkJlXpyXu87JjSgHHs9S +iP69P8EKeiBam2fmfQKOQrM5Y8FNl+H8zln0eqKgH3ft/Riebf5V/IZK8a39uxdc6X1p8o+7UCq/ +U8QQNZ5ZhB+Hp6WVfC+lDQP5DaRaJEVVOpb6nTdTZbcJZCqYFsAjFzovy4F341NElSMygW4wo7/B +KinmavP37uPWu+h0RvtYArvZjTsujHW3p70vH8J5VWuMhfxuXzYUHZQEO0Msb0ZxexAjJluAuscq +SU+3Mrmo/mzDS8KNOxIysZbA6h/CwyMFyxZ6g3hIhhhUkpIKg94O1avU4EuSc5nOblmqMcP143H3 +xbsUtE/BS+lefOhvEi5aXbMx5R17+XiXzD34J7vOvL+tEXWSp9QbHaxlb1rpkvRDzUZnU8rzeMjI +qse0Sh3mJzeOu/sevZLdwrCzeLu9uETV0QnGvcSH+OhWI8FDpetfiDqKSD+ZkVY8rXj2JTl2DzLM +AgLwdEiSF7OqEsCGDR2YG4AEBeE5KZSVvgYtWyzfVvAuLiLO4ixe3fkL6jHA7XEqKOHqwUBIBe7h +9DqScGHz02Fsn+gleioY8HJCn2zErugYHYuRVCcqgYXYHhBSBtVeCnNqyr3gDJwwEzu+yGvShEns +ZUWOgqm2+R++ZlQvtHmnPvT4WNRR8xEiJ4uy8e3o+gKfSo4f4aBe04nE8S/dLjdtGc4o2He5KI2S +Q5aHQiNrMMM1Eq1/Rr7EB3mwLDKJMoFkWXKAUda42He+ej1iVY2Iv/Zk8Mo/fkDyoINDtwZs6jM2 +Im9sanWTWw8C9XMDeat6vfCC3Os3puHvUJ0artW3iORiHUqADOEvtE6gLuD0eUXj5MXQDt05I4CI +QJ+d0SLQLc+oWDZjuxqUK2TwEltKcWEzMgUjS3PHy6f+Mb/HctUmaU6+Jk88CpcUnnoBX30kAjsL +PMKBLaseV9ZoTCnQ5oZafb6pR1L47jwT4UNxHLQCFWQBl7kr78eHosx8LBCnLP3X9sL+4Sn5A0rW +g788RN5KfAeLHAd0E+hZMX+wYxJ4wTQzytqQLhLJBQdT5YrfegaRuBiMm449K3r8n1Ly2KXy7LBE +ZTZ+1zkFkKFHjhnjPlD4CU9dW9VC9pkVlvW574ZDqXqM+i84yHhGoFpy7dtEizybe+I6pm2+PBZ9 +R1F8kCj60xa8vAUPkvUgVAfyUesKb2MTPBINPjs+oMl92T23aautYVNCG7Rz6souAh1vTD8GqfNb +htBBN3zgAHVAUFe4vuDGWfNABuG5yTe3kjuWUbiZMM+s/bcOpjFMTEkq94ILMttjke0Uk3zaGytZ +ISvrZIvNBmJeeGlmvMCVHvy/mOlMfG9pGhHrbqxRiWa9VqIQhc9mm9qI0UXsI+skQ1wH9/N6dcXj +ZZ26xwCSHv8S97SHF2YE6s3FgdRdGvNVL46PXWN47pK7Sp6kSq7OaajqZS3RskrDzfaMGV7LZV1q +HRkJx9+TKmD3kH9OQ6XMD9lef6TsCJfDiZIbcjvnczQQ6xrJ2joEXda6nIoxuGd0QGMwte/0LyH/ +ZJ4Bm6hGBfN11lDYTTrTIiL10dcwmldiL3x+z9eWb8PpHGkheJzmWKduAlpauP1llxGrHY6yhimv +7AfbfgCRksyCracmqZC+M3vWXwfdea6nPG7kLCt/ogDiKBjsn3SyEJDtRIWl/mzaE3Y33ejUl/fL +/TO3uUt3q6248wtM3c2TNrpYWtsgm98EHPmNqd1Ow2QdVVibNoB5chW8VI4rMtNj5rj4cFuIfuD3 +YTjjJXxV4OAXp+YsfguWt2Knu0jJloyX3a+I7+7fqiouuwlI+Xa/YpzPRv6+xcGREoInW1UBVO9U +ZVVuQ0SdrH1ZwW+a5eEK+1zV/Z2nGxBQhP8kSm1oevfHqc/FVonMugRt/18dIT4Ueta2H3eovGRb +/94a5HLrDNn5690AJvtTAe6gsRvI9m/1LbiULBQg3SIhZo56NVPD0TFNyP16m9lnLc6PVbafEJLu +xkvx+0B83lipAzciY4F0+wVHx7OBL3MjYk1e0sCeshUdbxeV7UM4NaxxuY47zcp+AOP4kkgaYqkf +SxfORLMlBHNkJ3bM6ku1ZRpDPxXOsCe6pKQV4btfZsHth+lTiseVXJfL35iWfSa1A4LHaHl87Iqu +REZEkj4wjwOmrQRydIyGr5qkUBiiKFtsEzEV68bl6Ykdyad3KITPU0yH9ehZhDvgms/p3EcbgWXq +Z8VjF7esTOPZUCKblJeTHf+A5d/w6gYugyhjhckjvK+CkguzHp5R29z8RZghx5XTacH9WfiTyhuH +jyQeEO5nAX9C5gEYic2moBBLtMG8+2FYZRwBzYrYq601wpgFFrHH+BdN4s0ZCvH3yPxmN8xzxOps +7lsQTuZq47a88uTX4xyQZGtb+QQRXBaDso8cMZvQTmlX3Z3onAEsNoNBUsX1XWdWlCpr6ZxMHSql +HNkQ++KRk5ekSXdSXVvf4krT7tj8SbpS9U+pW9oE2qE7xs9wtIpyj+DJ8qzhFtui4c1ulotYzIii +1SpZ1Z8kFhJtRqq4ztFID8ynS2letXKlVfcQAUmejPvOjIjqXJ3VKTp6HGXh3e0kJRUbz85+E932 +XFlfp85xEKzGPpj4e0WeMZ0EKY55HyIlRgP2R1eeOeJi6ZEUl6DAF4OQzz4sIzhFbiYhsBVhdiRY +/pXee4ypVLScKQEQ6TFSJC0zvcsOg4LptJ8XY7CxQpWieELQSLnCHyq9rk+keTI5BUZhSPK+wPh5 +CveYFrGvrr93eFQIY1HymN30OtxnPhrItzmxAtvsOJrlMPfGU4W5DfODlzsFDSuZArOrnto6pjjg +ZS8pGrUQ9O/6hnHI5PSwvoD+NIuq4c9D6Tv0TtHdUoGSbxLkRNgbC5hUGrre/jdhZmXtE7yPlstB +p0PYF6vfBaoStKXDAkufeosvha+ITWMjEPMzA6Cdj1kjahDvy74A/fO161JD8lA+tTcv1HxjANV6 +tDmUjY1RJPX6k0ja7nMqtUz+TzY6P2BpeiXTzlCuF5LelYOsg0Ac/awfGkpDKtNbQW1YJ/ckac/Q +kZVb4XcvI4UMMT82pVGCoFH4OIFmox4riv039+W1LWPECg34ivw/maCZPCy5yLbyRlkdt640UDrt +ayoaFC8Z9FhefzMDvuF8up5Wdr5s6pC/ifrtaCROwZRjSmxlRODgwGbPrPEQFi77caV9jnq8O4sA +3o356sfyuoNPzH2duYGhqYtOzGJBe17ALBlKMZm6jKGnWRe/AhcO/jfvFQtbU211ruG0SfktS1hF +gxWs2JtoflkRwUFowiSWsaRgQWnIoZ+wWHbUrz2W9ch6omBQ30oeaUEwN98W5GCNyYBcEvMApxdb +4oCEEw6xemhGgffjdDAvZ/TCwKtWsHBs064UuwjEmwY2Ym97qYkBc7CgDmlOOkKo5nk+f8twuDgo +RzTe3IsW8TEY31y3xXGaPXHDsQ3SieX16nDIXMnALikTO5OSAjLRftU0uGTCocGksbuIr5YeVr83 +wpnnkWoSZ33RaczDdR8haw/pOC6OqOOlCj6inaa/4J7fBe4hWt83i0iDvOI4LD6aKc1FDGmQnpRY +GFPpOVuWeiiZBHHnRgf1vkTjysFnStzjYNQgPhrLabptxspkKxU33eGMReMbtN2f9qPUvzo2BLsl +CLnBcdEXlzPTb1fIBcgtbXRdqz63EZi2pMDPeGyxmZKYV43ol0VxbwxYGws+QUFDtAFz0DRGi/Gd +mutU9XUClWD6xMQuk2le/DrgdcW1ugDgKDN3wdkWbQEVchM+r38Dj/EfLzLyxCYFxxuY/ufVXUju +67FAB5DLj/239QdOEQnZRzFF2erQuqPl22bG8MloBmtXctUm1WcakiKEOZbnNoD0plRpX3mfotm6 +3NwPIHEVsEbdFoJqbN7Z2incT+TTFQS8U96bQ1XNCQ/FPROqeu/f1EUuQs3c1wx4/URzHGKz00M+ +kF29unwI/ojedz05u17hfllDLuK1j5V8AhWRrrHBmahOzsUitCLfLeeu3twd6aJWva7ggE8rxTyL +V4FjxNDB0dS0xxea/aaeBuaog8Jqx5PPKvXSrTLTZu9Ge1HauRVjeNANWe537mcJ5TKJ5a8MrvNG +NA0JqVhAmarfxUFkcW7+Uq3fvaHZ0SF/gC8tThg8cbA75ZKlLWxSCr5fLxWaCNy+ifac6tQ0kSyG +d5SZxAICP/eUVbNhuxznPn4oBu82cvAZpyKdhKfhfNhDPtBRd+sQNfU/9O5PKPD7JDYdLFnu10FA +uY3xIlA73mmtOspVyaoTK+xDJHie9tthuX1CXVB476M0wZqBuQcSt80Mfel1XOMRq6XfNCA8u1X3 +mMp/6Q60mg+gxKFf1xf0hr0zJGxbc0lTGDZwQHMnYE52ML31mUKB/iTHsHZhmkWiQLiaQxg0p9Ba +Igi1vnsnWEFa62g6iZoqNg9JBcnSl4ja22i+Je9BTWzfCe5Rf6HVJuW/6xmEEc6+A1LXvKzswhgw +V+d1DuEh2BrNMuiAZZ/cey0l+8rkqEu6r0Dm431ZUt03lKHUR7uRIMoqKliDYr9QC6mMbAfwgBDW +i/dFC14qGVLYhqzm684Flr1Nw01S4/Lpn0ol8vxv0MvlhqoHCJoz/9tNdezYaoLuxifUoWACIg0L +VMjQ6hkY/+n7DzQ+eWe9af9xPi2v0rrQN7nPcEQ1DIk+090Cd+jRZOK7PT1gijvYblKRBa0GLRex +/3oPK5ZIBNyM99DugoVgW46OpGVRMsn2986Eg6aObsv1Kn6OSgu/EQuMvyJh/+fwN+S5cKyBpb4A +M1Y1pOtkpuPkJsSVcXFBzMFq65jB07fClXIu8J9H0yx44SrOFXlu/wrG99xEu758DQ2QyXogNp7U +rcm6hJ0OkLtsArl/3qyXy70kmkGt0I54CCeLnkL5nbwJsbvgidxTmVTLYeTRMpBDAKbCfmU1Xv0d +jgz+Z3MD/gHFyh43vENqtJnJHJyddbuzB/eXYy/XtrtkY8z+bqAANnPRv+2VfAC/mreDzWgqqv5M +kc+G3JN38imzuPtqhZC65j9v9AW8EVSXM/Gu26gp/UjF/rvzw5LUgNd7gniGolfsojJ1ZqfsaE3I +ivEGl+cCu0Ygtd6ZBj6XOQumw1LQHoQZ9RepUq2WR7ln/U5rqvkhofJZteiELZNjbFrcOq4gfO7i +mK+kggPFB1cbeAoj215sN80FnvYF8hYA1+PhAhwXwwfc13RiJnmxZUfuhFu8m1fnSkt2PzjE9i5L +l0h89euHJM/F6S8S6y8AAXolbE5VP1C5XXKmbYZuwaq0YEoXROJjQR0MWXx0J1gLZOtNLwpdwPR0 +gfuaozOn2bljHnaXKmr1keO1Nk0W/qFVTaDwmBIj0aG2Q1cNQFqOSENXMRR2mDEtwFIBLTDMGqKO +RbOqiqI34YDOf7XQIKukwu/EK1k0+mHkOmEIx5CAk9YX6B/3UTI7QTwjgV1AT0dEIn+2nbXecd8J +8bWkBXfNzd5lu9JS5gfem4/lgsoYFt0irm1yEssLuvqvJ3TUMAqNwFOk6djqhHBaFj/jdVweruig +9y6rZ6RbQxgbqnm7L94uIC2rv07/tKrfHcXDBD4HdYHwsE62HjPsxbyESkD6eQFs4EMS19wgcrIW +lO82M0v45kI3Vhoihv7fWp4+TeAzsGfFDb1HOyVRxPkNj9KSHsSPWGJ7GUdOmplJtJmnbdGQzLk6 +FvvBvwUZG7ReQrF6bjOzvZeELILb0AyIbdRE3/TMxfwuH6zP324KJtI35ldrtJb+fnToLaBxmxNU +3DyYbVkJRNbgr3e76Osma2804htCJ0GkIQjecdx7s7CHWjAKEx7pn4YwWd4CrVUz5zwZ4htqMwd5 +dXdaOdi3iWcHQFsyZiDPRyJhp2tEFDBa9qnMkvXsF5Moa/NNj9AvTs+ZI8PNlFVDGEVGnXMJtPSh +D3icmm2MAJq3nX233MzQCLNl05IsDmjtYQElWkkKdVerUJwp10nxHIHJl78hx/Sq87PqqPYezHQ2 +fmo3rUj6aqPLAFV/ZHG3fn858c01l+dCoKAU+mEtvw+IeXdWArReqT9CqvPpEjtcnC/QnuNAgudf +P8GKilIFWLctgXdukQhtD5RrMg5uskygG1VEKHUNsaz1sW9i78K1Iy2FVBbhf6M4cPDpka83Q4Ui +kja1vFKE+qEDf2C5h9TcFX5HVvi4LiLf3XuPTZhUoZAme+VB8jHJYhjU8nm11soM1qKtyWIWHZRY +tBDPMlaiEJqme5FOLhAR+u1Rn+gAMyRakukmjoMyzEOGx1/A0lnuLljAaRiVoPaNW61emSdSvmIj +YbGk+z/lYpw1I1WC1sOX35XG/o1B/YXfvLHI36njhAg/+hdH4vhIMXXOSsZrvFO5rUFyLyHsm1+M +JTd+6UTo/HwHJyezB/TScD30t3+FLt8e/oldY/Kk/3DZba940kzQTcvpLDdpeagKQmbYlHo9jpUN +AWux+CeflkWS1Mm4BIJ5y9jcuNVGXzWGMpm86IeCIkbxnILmP9eyFcyiXkMAnh1nJIvrj2CATEcB +FKkL1ihQc6RbqWyv/HMKqhAobbbdLst+saeGLUM+Cjxfvy5HOTQz+W8onLolberHckW9So72ISRn +cA2AQxK+0+Nwfyr4EKntHkRlc4ZEFNQK4FqrbPF0lsyxGymETYUI/AwOsUZvNqpI3qey3cX5e1wE +jLZHVForuTPzWaYxgL/nQcKDbVsqPhSYx6XHPqKEHo7m4rZ8vr4b66oZHRL5bxu1IWO4zQdgzXsO +lTjLDpWik2RZ7ySkhLZDWS6jeOsn7BIN5+8Yqm/xoKf+5djwxnhvdhvmuTYCbcj63hGY6FujCOjw +Tp1e3ECL7SiKp+w3PNAIG9fgMqicBZwg7Nw3hVSYDZeLdVW0ixMcYFARvZz3YWcJ4NbZXCToj3gX +ufGSuJZJicLaYdoMuLyEyK693Af197oZ3e3c8KcysrQI3K2vjbe2/DlEdMMo9WiU8LIFRfABq4yG +q0+flbkslgjN8IHDEQm2m4MApWva28Zy9Z79ljOZUErRHGYowTRfZfZKKZYHqleP5h1RYFLDzbEG +qFHqMUf+csD0EU1igv7hWZpVRFVXaiyK/1/Rhbevvr2xdkDNrti2Vg4dm5G267MInLzEhPjGb1X4 +lspPl6uOMhSiEuUvj9wBzOHTXnd2CJrDGKN1OkkIT4v5vhLYl9AsGdk5qK36m5ebF7+DK9XYD8a0 +d/Ex+OSviRg8TOsMfpugKYqr7YuOzOI9gxQqF6aUGJZIaWIoSqTr/BN61WsSC1U9kZ50G1yMx5cV +ARFAzUgrCeK4sLfA0y8+uVkkbHduS0mlGOZgAfM4dfmcH9r6+dfMJar87KGMxj6hfK7xdFEnnUYr +thOBeMIbZzn/9dx8KpMxYzSOLq4HhnjcYSdr3OQuFsPHs6pnjzvT7zx4EsP1fPfLHsQ3pqo1Sjxi +Z/G0i5fFIihKPOde4u/erNIhzlT+Fykr+9MWC/EMZc6/S/sqeb1wP6wSeH35tK8W8c2UGxO/QegQ +mIjcxtunyxHFgEwTp5blNXkMNvlUOjTC2p0+ONM5zL5oa2Jv9xxVNoLmQTLP8EDw2LXuy/o3aY3h +/SXUuDwBLBPj/EgHt29qYekoZG2ALz/+INEgTIZOFGH9jZGkEUpG0suK1RM6mT/3LQz6CCvi6jIk +M8JSq2I1FPBZBL9C/V7Ih5Kw2Kwo4uJOaPN8D99+Aw8WkQlC1WZ1PMrD0YM+U4sOcaddeylBpGuT +Ygavi0qvE3S5g2y6jnNt/427tyF7QjRxvkr6dnkjnytgCCYHONebOjmiNqgebWDs/Xm0rN5tMejF +MwXs1I4Fpz0iCXo/VME5nxYwYHlajQv3PKjbhR86Fnbl7vPAM76nx3RdW1Q8JrEudxUc7zwBq8+F +IBvlJiQ0HQx36H+scRLZxThYo4A3XVLihXX+A43XdRZRj8L+6EaoAtYx/zVuAG+pf1G9jrPr7j3u +uqKxc610VZY+bAExICR8t9LhOHP6qw7EtJbHExFqwHvqIeE9fcyzgoT9TYICwNG9tmM4yMzmQOh5 +sEJsCQXHWZzqAF44S4mklCGVR1Jz8QShuiextRKZhkugeEteUOspM419xfKNSfrqVXyedFpfaYUU +g4cqQvi8IJjl9vjTkAKIBpfouK5vdT9gVlXS0r4TbHiB0KENAHTcq8eXK4CqOGgrbHf3Ou7batih +02IJ8Bwqim3w5qOw4me+MnN5koldhFunWofvNQ061ZBUVIBqJVAbRAKhMV+kJil//gfujY81yYVv +VXix4wfImnBD79hRO7/NItjAhtiXJnnvNMMbs4ylo0d97d4JnnIOsleawRE+FYjiORAGPq+/Gqda +xEVDLhdjePzDyokyyQB6xwEwr+lgiOVGr1hhkTesdaJ0URoiKgpHv/qT1Str1E1nEdTxqhywaLe1 +rs5sYPW1pzoTx+FRtKiYH8RxHSxEzbp0mk6Bn82NXvFwBdGVJIt363TW6wF8QaV/zcfWvBKNB6bd +6rzxt2Jd55nu0o3v+fg0tmnxbQG9O5xKLTu1XX+QNotOgZ8v1ZSw+lK7ja+ns4AHy7fV4+gf0tlG +UE33omY2U20c35N6u03rE49hicgilScqzg1wxAVfq3QCOFq/4+TurffkVmjPaWVad4nunplSKDfq +n95cXREOrnRrTydzZ+2qvB/P6KkVye299X1q1L9CPfRUfAQC0kTtEKMt7J5iREX+xqZYoT3bUuGD +HSAQrgQvU/DrjC+eOcrZmK54ycRqrMZ/R6ocIMm5FX8tj3Q2PaErjBNdBbLpLOp67M3i5PALeOHe +J4SsgOxRTKOfWyZcNdoyi1oGqqlqz24+D6UzlkbuqmCvjF7IE502YPk6/rM8lLYDBLehoO2lSmGa +fFSd/9O6y8ZiB/YeggaMNz3uX+DAh8ZjqfvTTnwu63eA4R2wYeJZeKSY6DGLVMOlYXe7D0YIhDqv +Ns4YBcrxkGe65HteERNyELMdH484xTYHrn/83V6T7j28dKmL3q2rM34KO7iDlwGVsE769BFU3Bsv +TBgJpcUgnBxOPFarbZP3f2QGnTI0KF6jxplAmQqSycoj/Og4ojq8ZpLMInRsNgOJ/6rL9/Hg8r2q +p/ZST3zu13ax+EUImS4sxzqPEyC94ajy5TY0U3KRwCz60WmANE8ZG3yLeT8r+kgt1PXUyHkwXhUv +aXhJzxViwuf1LVUP0UFmaB+YlompXM1I0OpxEOK5fb3awa9efozDlGcTZhTWSnlW407/uSHGXJRi +vOqkp/36omhXWWUNWxFXbs0mjOQaHADfOSeKSwkDUEbihdLXi4fawKN6+JtMIwAj0UuW4n5fTfx9 +59BjvKXS/pfICfTyLAWQu4ChvSBDduFJHvLBHmb/YZu9lXfWQpJ4i60jImuJ4UJ6SrAiEqPCbHvH +4yEVdT+aqC4grcPwPIzA1Wz52r3BCgbDk/8qi325t9LBSfGRBtxVMlczWgoyKYfcJBD7LVQ8V6BX +pvZAsePcCS8iAIvThhQdn2fSel2uZbEurXpJfrwR1w3WfEky1lLKyLm3ohfMCEEwTXf/EsAJwgrF +QNy0uq/+E25EHuxDVOIfJw/j6/OarVoTvVD0A8ZPwFxsREvtdbzKfKtT4FNCFazbEJSnGd4GTj+I +vlfVY2ZE8IdZyxRD2p0CmZJ4nrgFiplXRWiA9V0eTsUmRRz2WDP9/W/ULEp8646JMUfkrEV3tZJf +4xuTC8xd+oVyAMgJWs9ejjlwYBhh8rUb+ttDAJWIlx1iSnHxbKyJ+PQ2w5nscKw9E5dgr8Pr7kaZ +dco32TvZ6Pp6igeQF5AZ7stNNz9n4MPdQPC0GGIPUZ3ji9s+aAN9SdJa1LX4Q3PFpkcng1ZDFVUg +VYnB/O4ZEVDZ6frtQkkqKohi0ezllGBgqzWFeBPZ9jW1jrHhkAIZOj+MBgXUWG36+goETK+TouGi ++gWhEnWNMcoC+lnlGC4A06xFNMyIIRJdnKehAWM0oAtYxJh3K0zDXbneGwa/h5lngjGdRs5wO1Ao +i/pp0gecdm4XUvWKBaEulNekw0dOO9sQYLQDoGF2sFXairCg1xGPEAyh27tRJITynm7qBTkecgx/ +2+OxJMP9R7EJDTIOHZhmheNsjgLagdNSHeCj28/Z/hoO9OQ19JoP5Zch8PK9TZ7t3mu3wFmTE+uO +/cYv2OdidmJrkU/sI18rT3ytsCov2eKndXVkySfLmJYGrmIsajrETe0g02JhEy9uHKRsZ4KBUgJ0 +4m89cbMuJQys5DrUWbJ+Ij55mN1J5Au/+ASJMxeaYyHmWNhoau1OwtCyhncQO7sPbXBJPHK9fntl +d9sQoCEiZpStJLqXCVqaEfzRgcirX5YluVXWiU9bP/mW8agxUaaPpd6UqqlD+hciF+Nm91c7+PTm +kxxe1XwOwrHN83+RTSVK/dlLO9HBXaBAdy+RLvhv2Z2TsxwEGc7Zpe4kKWcBJ2CQ1tJVMDK3APa+ +a2tDrTMmaASXs0V1//uxMeYe9w1TDtJdQvcfvNRgELqY73zumnH6rzXJhsWjxyoVe6Fh5jAwjSK/ +HiM21HbJn5ccdBhh5C1yxibe//1E/MuDrJAhjlDQEv2/+ZOM/VhIGgc0mjoisyLM1yzzUKcnZG4y +uxOK/HoFdozSCZSV5CltkpZlShMiGaPoVJ72GROwiyVHfclyK6VvOOJWcduksJyv6jCV0hU4GL+p +j5lME0uYLyuSG13BbXo8gOr4bZqrRZL6xLNUQYyoKtsuD0AUodASjgkcxg65Yy4zaVpiZQca0N35 +rCMg+/su+sZMpppAQnGcVNJb9bpOBgBreGkAyRRsfJLYuQ4Pm9ivRDEM0y2jTMMrsfxiPtYn+ubX +GHCldtdcFUYFGdP95angeKzW1N1LY9OGzfz/dtCqWYgTNHj3VEJL82zQC6yjAQtOJ8akiIkBBiRg +bfuYuA/frLUvVIQDGNHlHXiw/d4RxU3m6YZvjvoQQ1BwnMFz0fN2N2jmvW5cirY20wRZFI4BtOeC +VaDVvMPo2hSxQ40hlSlFdJ79GaFQJGrXjFD0Yya40tPP6xWrOqzwvRkHLFYb1moc2i8nWmfkYDW1 +pbffcEHze/9G4eE3QmsuXLTBrJa6mW4ejCnm/k3rJtsoKSp6JjHrGz6/fInH2Yh1ov5oN6Q8CFEF +wmkTkJe8YsAoxLTLp0/2whw3sExZV2hzPSna6KkojIRgoWwkQB+FQLpSAvhPnoHV4tG8/3WJwkFF +Jp9bo45hdHW60Q+3Ai+nVWam7eKIh/T70+PylYl8V3x7xz8gayPgxZGoNjysptLj8u4Jp6G55ns+ +LtpM4x15mwHwJaenQunGl02jrzNKtRuUE7FiisqYs8B04eF6mPBxpeOLen1EezofV9O6trgzF9q5 +UgV7qU5MMBhM4PvnajFRQmkLfZLI6KB7WZ6AsktAs2pc8zR5Mvx+Vp1dml6HnC7HFgm6fGc2WSXT +oz/KwAQyqRWQi7OMPQmjiFmdu8WyAvBlR0l6x5G6dLGw3MIZtQMff2tcUy6Vm83c+jfUeWpkGpR8 +sQmj6Z9btL26ObdiwHr1oFhRsVzSTwvSj5IWY1OwgAWlxpYHT+qrLQhi/JTqVbALV9/Mj413DUXq +SzpSJorgL7NgMdZPaiXIvLNe1yiK6mCls5t37gxCgXjgU3y8f/jlIgxyoNQH/4JeUTPOeEvBP1bt +AL1GsuO5EB+/UHXImcDwE7BVOpmId0YMErW8dLtvwNzgJIX9rMKnj6T0ms87ln1QtaxBv7olJShX +q4AqDrAmCyNh/7WhnbnFKOyP8oIBRAs1ikMk0QQxE97Jw+ojgC0g3pkJqBb2TkphcFbYxGZwHJxP +kuoxTu6Y1UBgvDpel2hh5hEZEST/NzxTYqD5hHLyOrEFbDCLsA5vr7olaV1jg9m3QYwfF1HZsawn +f0AVkzJ9uYLlfrD7xVbF6yrwwOxppPMGluywEQW/OqYEc9DvG1cI4sBVkgPgn+jHtZnHYSN8Vrqw +n+iYsXDeeXnKZHtQNTFuC17aNWPbWRL3gjE+BV0ZbbIVDK48uiqC9WVeyYrNxRoEsNlkYZgK1+S8 +iz+dPK4J0+He9vQtGVv5vadV6/DyuL6fkHfVCWFX3I9F2JLzHXkEGnvE19gsnxnBAQG69gqj3iXM +PHX1d9iglAue/PrYI51Pu3BJjv2ghtBfLbEpkVE+CMwmrccH9ghyv45VjxhuQLbsGxW0eMrNf4zm +hLFBvt3ewziRfocPiEUiKacZQ1+uUmJcFGZdIbEQA7mkN18QO1g+F/awaydaaTJ0UEq7JcVRMvb5 +XFUekAdGbZ8/U9bzRKQ+UCqlgbkedRbBmLNKt4KjM/vXCxqiOaiebEX696P0FY6N86sWcP+RskkF +cMmigLY/8bcZotGycRAKsj4v4Od/5gWD8eQaPRm0UwxuBDVX+OfOBtbhIhCb9WHIgBgIYjXoW6AK +/NCnUelxTgDWhpbbrAdMjrn8RDwk2ygVRBeZJ8ZcHdXjVn/i/EdXzrcDl7vxGb81z4iqc1cDeXfy +SanV+FpDdKuO9eRniQqYSCGczOFTspMyCBA6vsmDEnfAnxLafCmT2TzRalJaU67TscGCcZGad/7t +Z62JIUoYhvgTqtT2QPpzt9bFh/Ylxbc+03ZJcOHvpVRp2A1mf702s+pmNCbX/2AHSFV9siyS3+Cl +ioK0/Cp1VxsVkaXT2d/Uq4oh7/6hcVZz6OrVeXMK2LPSr+yorTl7or6DCYC/TscMAW0/JvbyD5PU +sN2VQjdglVJ8SMcAaTC04aeBzya//w+ilcJxfhoeuazTfWDyl/9pfmUf5I2yLgo8ivAPrHXiJqkb +0gxjW2O+Sjby58YpcRDzYasGdMJdXflkNx1ijQcVCuOViqP0E1dmDD1arfTUf0duF768wIN02y3l +gL5GNU2yYbgZoqNopuAOH3IVeSCXCHFaIhK9sxFBFg0KqIxntVADPuM5d96IZs3sG75GtRvt3+iF +j3BXwSO0K24SCZaMW2Yuvtd3P1RCeAMCqM9ZSxrgs7AJKzepQLMtL+maVIOUmz5E1LK0BNAbVVWo +yMzcz03bJ/8thxnQA1J5cI+reiNG7BavxxuPP+FFWz0679Iq8hPp7nhwP9mTYotv6PqROukFZ3pY +5Oriymx3RtUmUM7Bkq6VjcLYsXbMJWCI5bgYu2sRDbzThncGngZru0d/EcEA1QhZJCh3D8Kf6FvP +e6NjaUnnVnu+HXMpXwXjQlTxNS1d2jXCPUskS/H9qEd9xS0ECDotgOkfwHUA8+f23SssM7dbb1TI +PjsXUI+viXowtZxa4PofMuewiO2dvB5y3/Iu6fJp62dOW4pt0wpeylDefVmvCqd2xuLUx3xnh+Mr +X7bZ1nb958aIRCwndEXDp7B0cB8eCbaIu2bdiPlC32MBga5LEezVJ35u0CAPXLNshyESyMB71tOr +VUNkLkMMoK2WZDiWgWjWV4vcgLTl1XzabIZuhn6G+85Qt91fQthtOxz42U8KdOFbkJiVE72puTW9 ++qZ8Wcj4USVnNvKmytnVnx3ix4S/SmhFtqKSMe9Qg5lExxE2lObtwvmoR8+3GP228vhMEkeKObmc +VpKtxVUsNnlQEI0ydLwpuHg830DuwO/TmKvBPEekNCifyUtylqLubtOkw0egcr7MvLgBDTJnmXQa +rba/VPi1niBmaMn69O3SIBQInakgZkT8H1+V1iMKJG8eoCzMmvtScWX5ydbw6hLFJ31mQi+k2E+C +8vIB6IF0hnyaOwK8NlLWYGRUbtY1wEE90uXKGxDJ1z+BePQyi8OwYvhhY9r4nYmIPEKtdFS/wizs +X0F039TaeFHEk1jwERcJ4gQ9eJt0txKihW+eEOpF6zWrxLoys+JlkY2tA6zlQ1/iCpZIbG2ssf/8 +F1/3GjmZCyynqLlm8wOyoBzm9/6RLMjoJSwaet1I6QSC49NsUw0ST4vOKJ4hiLWYhv/aPHa0Ikjq +SG+qW8XoCQpaDn/NFSuYu8inVtbI4h9sjWK/GM64APTiqkp2MU3Ifth5FY5IzK5JZgte/AMl9nho +kl+k5FhGwAPnPQWCoIMCaqvb5nrXsGd7MJBanv8ZV/3SFVa9KADfFxdC9TBLq821eJ2PvIzkPZwB +/vrVdmo648nYbJujsLfJZbLx9VzJ39LDbF0WUJwbiHx2Kt+YuxyKIv11wlwnABfQlcBvr7Xa65On +mj9JkkN4Lz0/6w92JT2vQ76h2JS2MmTThnntTQQ+9N89nKKbmin8dRZ5ZgNOGbJQCuChznRj/zmx +Prp+BEnTi3DAjXYlfQDQO+ni5XLQ99kZ8j9iG1OqJnXqbsrUJsS7so9BbkwZiYw5dBBnayLlbesb +whHKFmklEWFGlqEdtMTP6Y7dcLMujSjMI9Q6mrpB9MeTacyn+GQQ0yqxG4CnCVpnrGIMIYBcNcZ/ +OIxwG0IgtopluZ7sCitgmmFGiKqRaYPiech5cddPjKv5NfeUqv3pUc+IfloHau2zyC4FWxVa0B8H +BjvQwshdSpMxFyCz6be8WIX0NKBg9tqiuvLz2ZPNewHrInAP9zCaaGekx3k7OYol76rJnnda4Oct +0CDT9K6rOoUcmMZ1hyKfI2zFedH7Z+0JYZfh+i1i6uVpL6rGuciuIjDcfoyRG1PY33/+3V3eVfIL +OheRU+B3rPDR3b4baJ4ay+wn44P4YLKpagSAceBaFJ5gt0ZfTk8I5eRp3YKPxSavZ5AgSXwIhXuY +/zNyYwGygen1Ms+GZiaLCFmvJIe8IQp11Jh8QEz0SAv1fjyr10hk5UgHO7vJxKeOJTc2lrdV32YT +6C8qHJRcpYG3Y3S6Mm4FoSbL7OBVD4eRGebXzAkMsyfxbrXRraw2XDs9yIymuw1dhPGhj7eU7mSL +xgiqQh/mh/nI3yz3vc9MPSb3ONjhHvot33BlwM6ZZoMG4W6UsVD+MrusMUn1mHq66fvzCL1RED/r +rCGYrfVn6nvmGwq+bdxMfXT2I1GRd04Oe1OLxtFTb2tOZU1soqHZP4fLgBWfGkGGCEdvjWqlwKgj +AlH0zzzYxzH4VgswvSm8DonyCJKNfCdcUR8URAnUwKbZxhazAUtUmapZoJZ3wfjqK5fEx1H9gNar +lP6VRg2nGHA8VuTyB0BtDCPverArZDUDLoIc0C+L3Pye8iv/OhsP/6QStkQckQ/JoFMtGI6ivzgJ +eVNInIllJtH5aQbQA1jJuglcpP3Wbu0WC857rADUEP2zFtPjmgBolkUn5KvNHoQzQFu6iWnRiqkV +TMcYR8ZDWMkxdKZeFtEPE9TwVMHiS3fcvTePim0dMGlA0PWX812loZKbaeTZQcO/KCj4mnLWsjnN +Xe7xUZBO9xMo5irtMdH0RCX6J1FQ0PJwSVRx+udhez95CsUWdvck65jmkr9b/ZJQ3LLo0Rc6WyCD +O249zO5j6gxjhJa3E3gECdlSwxZBsycXCdXs/H/9EjgL7UwpOsr0SwR4ZvIWOKgQY+RmHVan00ZW +u01hifBs1qJSsUcsp7dK/RCk5gmwjBq6tbIDEMSKxflNG9E0cbowpDOUjtbNFUYB0rcYOPIL59rL +ZUsAGww408ZnkBBbpugTwMnI6fSO3dPunVOyGc8VD/2LEqIDUbSHpf3A0kDeuJFU2Om+/Ir4sIC0 +6p4KjUJS1yAPRurthWTPJ6pofJIz2YmtgHTjzAuy5q9IhzL1yy5NTkx3CZIQF9ywaTIwH9Y9K0E9 +qvY9Basz4+98GvNFSW0WtZK7yirZ5GJqoS1jdKBICHVGqcLC0+GJCwhckLe9RapmkhiZpGwW9KdL +lvlkaNUUHm0gQLDQrmn5H2QPg+UElN8RpKX02zItxX4Q0WiPX3zogRwGwTVSTiFY8rXYDWogK6dr +NlY/aLpkIUJ5rtYuLga42FVsn4zjoPBl4jynuKdIt1h/W0+hdqLb5C/TWcKCvAcJdKGNdXhRLk9b +N/oj5Skn5CbnDWYzJcjkK8qpYzsCFVMgL9JeYKK3NNlPpGQ2GHJGc8B+USjqtn6Uujz/xJDLF1G/ +a6w3ChBMACaEh1XM4nRlDDL8H2hwm30Zn1/Pvh++WuMI7sX2Nl9kTgfQk5VxpaHllsjUz4UUfXoJ +LdU9XT7jIf4vqWWzZA8wuOEyVkAf8HwdoXiHCwkf1AgCK3jAvXJNUH91Gnc/I/QwZNh/Bj9lqqjw +bv4ZF1W714a+1l1BUDERva6mr2B1O+yDav1BtAhgvOt7ocfBclc2p3/fLyMnO0QFKwBLjjbCMrvL ++3yAQMP5NpBRvtlBjAOMG/ilTfpwMgXF7LVbrtmq817Ym/uw7lq0raVWjP5JY9R9CxqPQSHXRyE4 +GaIFhNnVZP4sZFD+TEm1SKCF1sRtpxLmbeoaSXaBBUYW5S+PHE0ykXl9yFuibPW1qwM2kIHyfsun +5ENHh41zE2ZQmpoDunJx1zA0UttbXvC2+qhFvVspmNi2NHfJhVw6mv7+4FlJeo4+ogYxtBq3ECaY +RC2izpwNF0ucKl3qQrgsytsh2Fq0uWuV8vO4I6CEmmjrIlCUD/GkqD/0OW7BStnzESgOWbgLqcdo +MKCsWDurXb2atYTFKrUZTQuEzBAMN5tokTnQtPD7rse6VuzvDa/k0Krk0M2g5Pmfh10avA29YvmG +XZZtMmVgBAcRE0KCdSg6EUrRPlL64VnNxhUKfXj7GUHTrookSLCxq1TpJ/x9LyvWP/Lh7tMC8TC2 +O1sfFXuXn+hMfWqGa2OhV/3JXXw8L/uREcz+ViElprwGnXVaN0n1kT6wL9cAwhbzhUXfJh+bv5k5 +fvrMWjDNLSDxHkWn2zUGMelF1wvSW2Shc3rKISAgCRZm5asdlWBtUUw6MemSZdb98DoIXPV4P/rQ +4dcOzapcjcYEHtoIa37QSxxF+R7AtOVtcmkWyXL8T/6PIbz+JY+CVzZ5yVJiXKPVmtLt0d7APZnx +BzIkCwXeJ+sJcALpk2Xe64Q0zV5eyoY203hNsWmpev+sFIap25IDdxfTqPVvS0jgImZ+LfcRgEq1 +WhVfl3KX4lZL2QpxtXuaB9XOP4h8FH1sLqpMe0opfGscoUD+NOzXUVDqNMinWDfHHhiuN17i8rX3 +dA5Dya0TmZTwqG2BRyZ2UKy1DePqHe8PNLmQUaoC47doHwXDY57QmEcds+GPUCEHjRRFXuMMrEVa +UTzjyECOY9PIMUdjfa7uoj/ZzOfyodmHVJlXCO55kk/2m26db4UICCeuJm9Lxl6S0wUwd4kDmSEZ +HXo2R8msgN6wzpTAwWm6ZHQyyweMH9HSh8LpEFJEMm+LCTz9l92PhE5Vcte/ueCKY+oUuv5oQhn0 +tHcsqzqPLxpNHHIETNryuyWbUPO4gLgKTPEkmaLMUOGFgDUIP+d7vxhsz5iGjnfm45jMruYlj6iv +s/cND9fEcq6Y0RotgIqwuIDd3x/vjKmVztNurtG/80vDdAILWl4tQ8TnV/165aCYNbqKX+lqsoNj +9Mw6KEoeSlMaeAwPTvQscZ7tEMlxgettTSbO12BEwuNW1wDLAZhOweSTl9wz6EXL/dus+Zf+RQEO +Df3S0VMU+wpnKFwc966X6S97QObKu0JRcyWZ/qF3Fs5wXOATyN7IueCYCYw9UjxzgIKton3kpAvG +NjuWkqExvKz/uHG13tJk9EqwGqfJUrQGLLEA0+ilTZBoFxXgm92FaiF3m/kz0Z7JYT9smwm6FnPO +7MKI9uHehtw7zMlh4U53wHSo1ARAIFmwx37hXht1lDkUVd4AyfxR2L+dC3Wq9jiC+MReAdGklpVW +BbI73xffXBeeeDIEx0FfZFAxthhAp63JyivsllxCe9ZEsuSMWqx2fa0qXb9zMsrI4stDE+xA1mO2 +ThbJPR1AQ8aFNl2WEXEk2kBm+/zm33RQtyUsFuEMClJh2HHVLH/8nrDROxOYAqKUS0q8o5ca0cOS +pPVPz4EvQxR5XQAhbh142X8NkJl7hyXwKj+sgv7O+dMb983Riyu+TOk42ZmXwJ8NLSyXr7OUr6s1 +hFJM+tmyuIGerpANeazAVfPyOF3WxAW3k8uoNkLuUY0DiqNA7lXqAQOpx+MXIV7JTNBzRJ9AFRY0 +LbRGwUBt6LqGuaTeCjVPDWlNIXS8LZUvFSAOvzJXPgUy4LYGcu/ctz+sckM6dEqR6fVUbUytT/dN +QLKtCbhuYjL1V7ck/EC3oAv6B0Ao1XoXq3eQB4x7d9Z7hlWMDVpnj/PmFBLDD4kH7zj5WuZHbpWS +qqw1+FBmUS74Gce6lXVVLKhyn1cUhxrefyyK+LdEqgeq+Vp08j0Q9Vqj2OelCAWyPAo9um+cEkwh +EDFjQPBkjdlWBYmtZuSQzsGpA11yLroNCTTVOOSEJCSmP/uyZJW2Q9jbdE+Qt9rvMnwoVizKoYjC +ZuKCdjDALMBVxmsaCk3Vh5XGLrbGqDWL11GkqGceDQ7BLTkv5ygkxJvUdqoHcKcBkCUaBATEp6ly +9qsJNmZtfDp3OM3aODRQDrkgQy5YLpisCI3xo2l4UjrQ6O0t+w4KEJbpg7SzQsAGRE+LU5Zt0+y/ +0zghroMaqTo7ceILNt2Ffd8C8YtiO5hpnkgO288DfqKyMUKfA/Pp1bDYJIj6CNfoB/kUd3SxuOWO +bZChOge6asxK4I78B+D7G9I+EbWfYmUH+QydIPUvBkZbb9c+Pyvrmw5nYjqhjVGgg4C9Q9R4VPZs +1TW+wLk7gsLZE5/Ite41HjoHM+eHL0hPecEOyR6JuDgIfT0WwF7HL0xX3pc6RkeRCiaSlLjKfWKn +/kAlQHHJ0aM28LTyxZwjuUowUl8QYflB+dELxYqm943103gOTj0m+psnMiyxJfYPWGiOm6+6Kx73 +MzMqb0c+BdHu5voMhS8NiosDc2E254wIktIG4XVM8SoVdsLDQRJDRoFG3LWKqpic0hNB9runQYa5 +/g1MX6VStUfLqGr9ZaJC7HZ8YvxHPtP2XZipa6GCO3D0heohVfuap3UGPrFy0MyInifYm4kIE633 +GRQoFr+0DORHbFMw0wbHOl1G89t31Epzs+Nt3hw3v3jicGuM3fvopv3FCldQVYUS49TTIVbtDtSW +S+Eoq/zieRRk9y0OB1K+Ynwiddwjjw4bjmdPPYD+OL0SqqFOexTYdLqoVlrIxvuaVMV8IGdYJdf0 +pSdlAHx5SkJPFNvfEeUgYDm/plbn9vnDQzQ/+hu7kPGyqMKfF/zXRmA4Bzre3hmkWm1okGFHxj4z +un8LeaqaG44cPsx/c6zoRARfcB16W+zjie+sURrsZaz85dtdyTc7w2cc71UQ9L0mLehkvbSIxtAf +b1xVRbtlhNvSx+6zLVAjiIrzznrXjJL6nXPTb+6So+rc6TycxPyeBPn+K8QUEHFEuzSSbTWZW3e3 +T9iJZ0oRqG5XhfZ4LO3UH5R92jymcers+4DDvbdVw0ruiZJ0WeIxWgkDJu/34wsO0a49l9gVH8oP +HJqBD5/jsPzVMkn4KtOCAj/VHZYUdXcEVmUWUyBZFvavdRJGhXADZj4yKZBWWOk7Ache0FWXJRWQ +hvZ9kWpLNW3AVsA/LO35GLacaKj0XUAxFERdT7Q4Cht6jQdTToW00A918IsGMFfbuF9gFrUCTgmc +0RGtTF3rAdZRKgE/H9m4MicwbRHkP4OzeXpgrpFyEiWvVwUxOHCdM7wltvYP0PaFrIZXq+j+GeUq +mLS744GbnikuPvLJlVYUF3xdVyjBzlWPBsfOloyabfztfry/D8+ghZ7+k8TdoKjsRtQJKNkNhi1J +2MgLkokdYYP1XTn5jqR4k9RCyadtXaXsEhTogLnHPJHO3gMI2m/6Lcv9kD/K7/quMkKwGAlbEQfi +0icbKTfFzcmxHp41sgTWym7LuvPh2G4F85iNlhm56hxQEHBHHD4Msn55jNyMQ+1o5q9FBycVWAaJ +SLTbtCd6WAMpMnIDkK+5xM9Zal2lyRG8/bglDPCorFrefp6sQlypJ2bVXKQ08ZIXquP+ygko500v +XSP8a+jwFvLmV5qYsUfo+YgqhBO1CuXtf7jnwuj1tVqsWkUhjkybPZNLyumbLQ/Ou3UChKmeVo/w +LzV8P0yhTHpOyet/6LqrYNHwi5tFGer/34h3yhyt0xU2Jd0sQn4tAb6LwA5GIEjs5Clz1EBI2/Rb +KTT5iRWMS6CHolyMF1IfgHihThM8aG2shznLL8e3WK960UKfo3KVcYFsSMp8a+3la9Q4dukcuN62 +Sm96gKs38oqRLHdmmTZSAA+t7HlE1ktal3cOLlX5C2zRe1bqXtsChl6gD+z9Gm3+oDnXhuaB3cuH +7ZqVGvB+DS3E2iZWJ4BreTak1mUqIVLNaXlL+LI3VVVp0u7nKVhTgfP/0J4lAgbMxGG5g4xgiaFV +SkoEePLncHJbKtMBwZkq/ZUxO5ivIRDDD1zNNMQhlBBlxuYwTm0y6i51sOMFUwTJCN7vQhVNoreS +CUTJO7oqf6iZMlyPM3Lhp6i8XC+vY9v2/MFfeRYHa7VEIzhbOHfoEPmoyt5RTQL6RLpvuSW1hrVI +/OlEfRNDfRWPujaR1pStrpzuhMrH0hRD/ulRiJLwxF8ESnYTJrvYyjQL/2/SusqmaFUAiTfP7IAk +G9tr5tM7BCa6XUYDrLSls/Z5fYS5pshSsm3f/0gXo8bPPrUBl6yPkc0nGZ1pTr+FXEvFd7XP6h5Q +tKZ8+W1WqS2i2zTXQlJCmulbtmmS31SVEn7WfKi6lB9a1YOkCUiHpXSZM3Oi611p9R2SR9J4O5Tc +lLEjrG/XwfBKHQxOZtqJ13qU6zkTpSQgdUzQJHxEx5NtvwGL34lmJm0leoNZJc/d3mH3OjfLotzz +iANqR8GIFlYczrYaGivQ/LuskHKy7mjJcNDat90Mx6pkTlynrk956b0dgk3IKh8/SC8qyhmaBgwg +MISSxnrVwt6EYl+6mV+COd+ln71DFM/elF1u8UjhM2DjqXoyl4jROx11lcOW3y5HUvJbeS4u8NBB +Kou8XoBryB3wXyiX8RNaU1mMINOBwIaqVtfcAhiMdFtj+YEN2qBbVe1D1EX+UnZndTPbgOmuxDdQ +Am6YskxE8BFTXdBLSdYJxg4CdRR5YZ+IEBVWomL/jIK+PCduzmrETqXNsb6Lk8ntaUHloBsPiyIm +lfDNYK0ko4rgNIlQ4426tlwRQOOxmK3RjgaBo1KKD2C9HgQIrKNDhT8gut4F3TtrNXQ0YDgoAA7A +NvvTldXlq86V5kTstG6QYpK6jtB5/+rhS/8IZkcBzn0RDNYCYckotSxWmi0wGo/0Ii1MjQHA/JMM +mPL3wt5Nb9JrOyKY8gyQOF0i2KN4zwSmJPx/g+fxWSiZwTbvfnwDPtU6ttL+AgfFIGMEDcwgMpeA +d/vwC7YhLp6w+s7g43g28LUYuFDUWvyZ4io6MAf5geDse8fSqZR8mD/cRq9gghWed0vczlDeWY8h +GyfkbClsjZiKQb7jr8eNk/a0up28Q3c3wx9F+NHwI6REJoGZaB7XdYmUx9NnxBInx2VNuetoZunF +grZav1j7GSmmSAFc65xD0KFjfh79xbDNgP7r1NCbvtTAyHL50Px+3sqaaXslJn4+CJmeh/aaeZco +KHlMS5f773/X1ooVPGTo5ULtuDFU9XgUtn8Wi4T0E4V1nPOZOlRpbThEBt81TgEUAIf7gL8uZmv1 +AGiravqdpzn9zObt/+mkruBufLbgK5VbESshdrdTZeYgpJfsqWggSR88m7eBtZ/k6S9b9VbSOCuX +fNFtFX+MjZb4trIErq+qJoMts5DeO/Z8FMMuYdWRjnpICmJxlkUkA7zoAgOWnRhobapgZhFYqA6Y +DuK4Y+eU1HKBj8oCg/07SyP4ovwaXiHUVTP7++/m6Knvk2+ne0XPYPYsE45yJuna/q2SNcHAtMQX +wTFtazZJC+O9vPTnw0IM0oV/et3kBlvzpikBTFNCOYnxUzsIBl/zWo6DTLscW4nlKAf5JkLMbcMz +jyuiBidL64vHDkJSrgy9fk+Wr08tXgh9lUzsuqCzlBbcQzc5Ao0wjSqc43jK2wMB97lRwwvYLxrG +p46nQtV4aVtRwMSSM+lzvxVJllJF4PsVHc2rxaAEYoB5Ufj643I7iqhGIZvNMQwURGX2LEBwl4cX +IXYeB5IoWxBeijfmPJSO9Om4DJdJ/j12fKc/XtTW0KW5NOPtZWm7I9asqp8kl6OQnRBPglfSl50D +74ruFGoEsW9Wls6pX6m32s2PLXsj91A1aIDgeyNzjx6bQl1Q6/uZ9obgA4XU0tOw4FiR1VbNz8/t +oplTqMGWWmuUkZToZxxZ2csUDRRVx/XSPqnhtGTV5/A09fngIrwn0CLibiZG2WotWhNlw2Rk6ouK +Bjrke3KZJVT/rC2+Q4tDO0a7p5OnkUD5WwWcCVpIc1P69KywTOvE52KzXleBddXu6kLhcehvP/Xe +sQCGDPzs5jO+7mVpYij49t9DVKB/gu1iLFlWjBKN22NnUA6IpfiEGhsGkFjcOdHpVLl5YUByAOaa +AfrFS+IviULyyhHUFcIJbEP0PQk+b956bFMCg246r2iuIfT4WA9JG0UDXch05kRpufju9dMG+Pz9 +mgTaCVYZCtGG9grH25z3rTJXe3QngNZ7Ch3lNFBomhcnkSrYellsD/rrbtAZf1A/j19De8qWqIXf +o+SiZhgbWPHfq79SNWg8s61xW1H2nHGw8ZTcJiSjEfSVJ467QH1kElBE6MM4DtOXvi0lPffmA42c +sGwQH+CPcZaJ1t182IajdwED//m7R1ypRpFnXwkYnscFY66IxZ49TNhEc+lkPnt5jlW7PYtZmGIw +5PkSGuuucLJ6W7Y7iC/QYkvN4VUlcPHTYj7j5L8qPa2rxYT+oC7QS6cpbaDqD+9OHIGg1YuucsmB +KleZuZUr7z5bGsJt4+6KriM5joCqV1q0KtRpwOAqPHBy4fOveY2KLHgFXsyvbnZgUxV8ljWtm4ZT +lxeLEJV5DuD08ee3aAqnRf+buHeH4t8FSNwlZGsl2KQ6GD59WRhPt90HDrSw9NLrWTulbZ3QdfcE +kEkKLvhiWrm8li/1PL+AqbeWCxJfSVPPpRe9C1eQi8I5YaZ+FKUTfOmCGzKJeWeaYMRRkt6SMOIg +UC3elpDyoBE+jpkU8X67nalHQgqYWUQo5sw5baFRu5RsBeV9+/qmguI9MsO67xvlQ0O8Ig3BizOe +B0DSYnsNWrBpoboZaCZX/5J5AFwvfTJKsqzjJSDnyfUelqBpsBax/u5L8Gt6adxXmU0dFfPO2evG +SkzHhe1jXHo/Op0ov02vHIkpKpjj8n1vzuevYolEc+LSpiYHsdViZkYNC6J/KH0TEQypRiE5y0Zj +BvWWi0pASEGfNyPaDAxyeCRZEV2NLwWaDuKT8vSDOFRFoCSfRym2yN/N+0X7LydpMtaFlPqmujmj +GGa2mmgo/OQS21+9Q8GqP9i7NM/f7ywd6Inz0tSZ0TAjGVnkVDAdsJrPcSayioK86zjTGkyLg0ul +CyYy1beoMyAwDAL7iuu5FiX+QD2akW6K6nKJwZ9NLjmHCSke6WcHVC1F80yJHVDltPWQfk9oURWs +WQgvliMJtB+UUdDhS8quRabTishHBbIvrIII5qhYVgQVO38CC+X5EGp/NouuYWpWAQNJorcW6PMf +kj/DYu65yx9x1sN1ObsBBktxTEt+cPt+quYxlhNR/SP//ETJwvz/Gm3cNjCiDasOMwGiXHxzaXNe +3BopUtLbYGrYvJ4Q2gfbxJto6lqUNa4ih/JASNrFG1R3xDBFRNg2IQBRJruNNf8aKZeNenhdBfai +dFKmBFxdAV8OUZxFAMGIVOUdEQjyvfdEcW65fqhSmh71vht4btYHa6eolvryItZc66NdVmSzyYU8 +PqGIr/1rGuikhae/p4dJDPjf1iVrwfL9CdI0S7RCVWrKi2IZ6gb0q6FWFJzqHmxybKAX56ToQnS+ +bFQdciz27tBVXNW6KBs/dhaicpf+Oj/ERLsn5Bh7X+/gzND6h89acTjw2yDZ3LD4iBumu9xGLljQ +NIVk+jw8n1vWE6h+Mq1GtpLEyyrie5VKdZTYsA370o2LV0rVZ4TtJiNFLoNSvlJ9ROve60UV2Pza +A8hbLFbZzIcUhyptbFLRmDzu5ocGKKs4xd8ipRMsjmm2Rq5DL2hwUiRx/glqywY8SQcDZlFsyedO +XVf1BzIwtcCk703kP0tczi6huS85YIcNqYLMKL1kZIxkEPKF/3ojC84E8IndrzUKw4c4s9Y7clwJ +E+3SLZjp1eVG3yYekEW29JmyzlWjDkqr3GyiLaihCk0yhiSwtYfiOMq/NVpLPL/FTVuZ2zw9Ibgp ++lzzxDG/ZJotRvu11wct31qRQL6fb0PpArYFwvsZShZyjuzdowzCpnh9ddrXT3GAIXksa6PPtQlD +13riL3LfUoNQioAyQEmrF+iou14S8Lh8AetJ1d015bhszQ8WJ5B3xJriCJIBzG/bgnspfpCci5yO +D2pluF8N6QFOEl2owiNfswlkHT2msblogGytawjA2e3OsFsTC8iCVdpA8YOycIGeG48cOmMufIlr +pFFNUUpPzZdYVMJLcLsXsNQBTB2At1TJB1PorhnsjMzDFFf9wqPNYiJibUYun1lZo50cFKA8wPY8 +c8dEYnooMgZBzF/5TmpKXDkGamdr68pBy1BzgtqR23ha8mZ/INuSpN2WDPrIXTSvsMW3j6k442rw +Q4cYtN4DBgUYlJgjl/C4RpTCM24MZ9Oai6QPy+++RouvNV2wJW8UIIM91Qp0R8M+Ou0SivuM82hp +wIRzwHp5bkcWIxQJ5iceVTKsX33yr6Dtl6zwppVMKqv6qhx3Xy4FG/UOuFnVoaSAz9Z8FJYP1NT1 +mm3ygNw0zy6GA9+OWfBIRGiUzUqkdO2YyhtPQ2yQyTubiuxIUlC4s///t4DCOGpglwqjr5cmJh+Q +jhwg6I4W494BXuzbOzmT/wDbaGDHJ3pRS4ytdnk0RmHPrKNkEmcqZp0y9kZjlQ6Dhi6Y5Nn4zZz8 +9YnRWI4WnknjIMYdg4xgOuf3x5xob+7ouFnfQ1/orxKMPghzDTCUH8SMVGy5k7l0LokwSuXq8tjB +Kmc9Xk+XcgVpiAaNq/qrR6bxAi+/c6kbMgNoMMX5nXdfKXUQM9g83Ab51KkRAMHMU8F3+7oB+XYG +Sjc6tjTBa9BkScyyiWo9e2kkNcPsRPsz89dolQKGu1j9CJ8S/ZjBeUt6D8SDemGHtghSWJQrTkla +pDKyDOVrF5BUICBQ6/JBss/BsWrKO38JFs+qfLEZvYDisO2EGtCAUgOaCuGiwxRdguHZOt/3paWk +lX5i/B+e8VvVUtWUUjl3eWKh5r+tbZ6QuUPB7lmzbTRkZDy0m0mE+fxpdwTQc74ckiyxLBcVMDtc +pd0fSVG/AmJzWcc1SLTbo9ytmYlZS5f1N5RVnrHWEjPDsBYynUaMf7crtHrOKekgT8h+OPppfGZQ +/rJGOK6HUlmctrjLweiEzA2+tDFHbJhGM4ApnT30sqfHc2/JakLAL9DdtDKgnCy0r3DEA9IvJM/I +DkheE0j0U53UQulIT7Kc5ZfFl4Ivt+JACZp8iRNEed1QKez792bFu1V7R2zEeqT6NdZrtLrw7tzI +BHl6RvAOSUeqP+bXTus6QYU2njAE3vUvlF7qr9Xg34+CSqnSCmMj/Xwl6QzmVE+e54Maog7QFNQZ +r1NsIG1bgPNCJhdzleaC/UhiwswGb4egdk8HT1hGymUABnX19PwoQ2GA+Z1eR8ZyDJS+uQVcWiRX +pULHlfvYW5Gc03XwWCOVsnfl4XZI1xh2K8+78UpK5z5iw4qUNGFsKkklOoe3EIey7DMAz1GNk0+X +1XNq1KrC2wEGaFOhJUEdDZhDjjP5IHUMhh5h2tqrN/2Fn+pq7E7p94J95RfDJPgRT6VsC//o51XC +TOMcZAp1XeIr2kuDxOdqbia1f8xQxmbVpUn0s+2855jbdXu0T4BJ/L7ohnJMH33EaIitrU5c0KXL +9/xElvfaibstKURX/VaSVkKCqo6XBX3CvRU3tLsvr7EpOlK3IO5sVcUstm7jItm3uhYVW/pmaO98 +WfvzNQl/wKHT98a6rIP6MvauSFWvHAs+APyadxAP8Uf+icl+62VbBxZwxgQhXgy9+iGWSqdsJXBJ +bp8j89gj64zuHSd6nBP66rw1yAaWNo4tq9ntMJibf+nxdgB91nVlz+2TOSjWAw4TnLQcuw1njs31 +Vk3q/Bj7AvyIM+N+uBSCfd7118UmqCVt95s8cg7mqNxjtLjNWSAa1mcShAOplEd0XWis3E1Z6xSe +nxXvTzNIEUB99PH6TfRH8SERkkwR3OIfV/ScIhcio7nCS87EB8woZBregNhglJ209p/wxu5u/jxM +s5gsfAjUtwMbppojG4uLer0ZXe5iDCxlVMI6tQO17FsDdtQ4NczFVN8w6MZRmDf7CAGw758zcE8+ +HjUGRYtdZUMra9riFRooStGgIM7nGTu9aHQE56qJ5UF8tnpfx3Z95E+/baB3OZhFj1T4PZp2PPhw +5rr/8PRZZmgsxmXy7WwfUQym00Ga1/UKeMXjgoeV2LFc8NyE0qnnFQHXjaDDLCe1U8arn66Nfq3L +62x8ogaUyqtRRsy2dhCEUIJbYEiMJ8cbzZHHhYEte/aMmC0WjAAUUkoioMVK1BR27iZITsojE47U +esf2N/Op+w6INjgb2Kye9w2a2CZz6/7vaA6F81kBvy2MJa104znxf+q6PjtLQqbYx8fCV+c56VcM +cKuFcDUU2FToHO+HFIUftYHjkf+cQeOh/MiJMfiVaypNeU2kGCS0AeciXB041SHYtFxeGIQnY2sJ +ArW5fvgjpydHfJjKq80HVjNwPs0F9aWFX1shpbmfvGHNp6VGxehGUoZYRojFIcHzhG5g038+dSTq +gklNA19RzFveIbDNyv+kFc/WjxIZx4PDp9Q6zw/T3L5APpG/A0qih7Bb1t+caX28UdBLE/gidViD +qZo+zDA6kpxNl0wlv8MBjIIAImRTq76R8jtIc+TcrSJFMu9i18BzwIELk7KBT+PdMFBscBe8B23u +0Ck2IEzKchHZlRz5HPWxvL+JoVwOgaCfJn7ngA+GFUUJV7Zb+YJ6NmVpJqZZYcgXvE/y/wuMEJKe +EVp8TgNj+063uJkGhF9ZzwAG5+kUHv0ptJ00vC2qjz0BmPJfhpIHoQxdcMOGa6h9lhubC9tNtWvU +Nt/PL4nCozvHubAWlWHjTVwgMimzKGCjjIzW2kk5tUEigFlsrBX6LZdzuZQy97BW/vs+00tzKIgH +VNVU+uJcBZ4Hm2yrnW1SuhkkceGlh7o6WSXj6lHPvQcVE0GGOPOhDhg4QdaN4m15/LTNMVbdMEfT +08IR3Wh81ihBK0emNvf/m6Rr5XsgiYVVeKmkOzLHImk8u3Utjp9LA40ASgmyRrx5gMmBvoH7KOnQ +Tn6nSNtNI2ogUT5rdl1IBo3erOW/yR8rRzrATs6rApIYbRFRunViBDxRA6qQWPSDfzwRmOWxasSo +hdG8QXU9lBI17YnPWhh288cBHNQm1BEvSvUN7H/nqb3nAa0VtEVzvGjVR9qRK2vR3G7nke04ZjVV +QSZIiy0PPbG4wkuxG1SRe2eD2yMkVxlxip4J3LowSQijPYDJihObGs7yiD+6vhR6ZZsXvFMXSnF6 +xn1o8T1zn3MuDQdwAEdCAJ6CCbowAYBZclm6mJ9lDeqmOePzAII8JE1WHZqyiBavKtVjyCBhSzNk +vm7KMMOtzO6YuR2XWzLGYA90vQuEWgGBUMEADmaoRkQy8G2Dl7GLihs4+BgROJm8YjBKj3/dRU6l +7Uk7j3UzqtczdenwAIm47foIdYcdQFI6F92Iy5hef+EflcXmI2x+IgfAdQr5TiRlOwHG4iudKoah +VlCYq35nXTFkG69cLcQ47vTytkOgN+/IcRLudCUg1iPUt2bM8R+aGSxt0mrxc1sQX2tSTkZKz7kJ +oPhOa0dvDTSODkHtGMb3W9AuRgznm5hNy7cCIzeWHGk42yUR4PZWB5fLhDfW/sgm6702YjVZSEx8 +HkA4fZi8W8eivnjSprw1OueJCzbxy9MEFDfONY30WZ3s4zWS5sOlcvtA8W9Ax/mOdwpMfNmsYTVq +0ZucIKLCinOmJ2Y1UnY7xp9uzI0IRSO83k9IOb5uve2VRQJeiy+9HbNBE9egLUKR2sRY2GPyRPgc +tyEJWalBr0+Zu7WjDZD9Wqji/+0p414ZHd5LR4vZzex/be/JB1vyv0owop6Qd5DdkBweLT9hVmN/ +N9KK5YRveilycuHCNpNuxgarWeMHyL3eIQ5vbojYzi5zMCKMyfCZ0fxZolsjC1EtfOG9hbkrQ9Pw +/dcn6yTpVJBKK4IDm+2pwGupPwXvKNaUZkN44ES7sN49xPXPd6927R3IMMAY6LMxvFtTa9BIfbe5 +7akeX3WgNPQb9WsInwzqOQBlwyNIIytXdPFf7VpWDMR/RqK9Rt7yUMFmSxwtruYr4huNFLxkmvWu +59fTp/+5uyha/RdwwW6ugJx7/nb4kUndgUPG493me+ENYusQ7P2+OZ6whZnOi+gNzkHgO/KsDdcg +X/6vXkVtbEcEicaU/56F88lTA7bhxrM+aJtYVGd5ARmY1TlCxWyJXE4mqusSBrzeIbc4PQqn2tGa +J/n9+gwMmEeDw6854xanAFfIRx0cKueyRjUpo8UTQCt0Ardem3T+a+BdjPj2oyjbo3qD6WP00uSk +mLHsNWpsCRb6D+T5g6dTDAc+8ykL4ZgReZ7yaWJTzXPSZ28OXoAZ3i0PzZB4jHFoC00BhdJI7KhT +WbcLmMvkcSk2zszgzdmcsHxT7SdRS48kh2XZ1SpIoSue3agyMkcyRLvnmqBG4Oujb3Z+5+0DR6sx +KErpHfOZidt9qThm5Xa9gwLdHQ/v1Y7J2+WD4AkojN2DuFanmAXNTD1qLn0JmD0bHvt7M//OGQyW +sCPaDgkBeshZ/kZpbpKlg8nDvWoEnwNpfsTr4idWzm/kemZoh/vKN/FQd3S/bBmkL0vO7LoDJuzK +Rr/ErOo/fM4gJzOSkzmUtRK5mcQ1ZMh3GyH79Tdx4MkxT15EQlxQS+X8fjZh5cMfkyWaypbBbVlC +g6kHAxkdqyNVBBaS8wRrbRsnnOmHntLLSO4fAr+3PKyvmHFYfsL027eK0KZRs6euW6GNA+aVRtv4 +rMDKxnfvGwv57SXU+siQ7wp03nfWljNTqRTJcR+xLjcX9Lzyk9hfSyAdxtQgPAI8TEL74j7JLi2o +oAuTT+wGi0VBvusTIXV7JQ1pHtymfA2EGsBZodxIic6ws7hFMPy8ttCbgPUkJfbinoPDxQm+u+Z/ +7305FnGHZf4MNpsVy76/wApki++D/CU+D5sZ3Qeo1TRwjZoI7CXrsl60Hg5T4kYolZYkZkktcoxH +Qvt8rK+RST1php4RBqR40P+sv8UEpFaweYJVxyCoJ8+F0qZU5BGA/0aIkhBu3UI6sGIv2d5xdJ5s +w6MWQOIrye2BOrebv9chRnTYuehhPpptSckj9Mv9ZR+dQJzvusqoKYeUdngQHHERyZiv9xd9P93D +h+MyDKWnADxlHqulNlzuXoVROhpbOJfDI6yx20wU8CjKB+IeksYnT1S9L5x7aQVMBmF8LpZio9mE +ML1iE9Eb7CCPCwfqGdxK/j5J8Ao6IbunloKPnOStPMdSmTNbA9S58SsAReUMwwgOvKCFShJN8gY8 +2buv3MnnZZ7pd7MOKoxWSUM/3FXBoeT2XLE/GKkqajsdx6ozcmElE4ucfBIiihkTZ0hNLG/NjaBN +yYXL+QdsvLoEWrN8nHKel3ISa5SdqkbTRiOA9qMHB+XJrFXT5EY0BOZ1DhExI/x0zZuAO1znYoKl +LnC5PVH8XlclMT+y10KcY5tOixM7oial4CvYfedEfUaNL4rLNEJQTprMciZL9nxrt2rNYvoMMYM6 +zQcoRtQm+Jt/t2IwFu7N/ODVIjtlke9aARui09pCbquN7Oc+KW+E/9s60bmotELty3IRK0uM/pPf +A7O7KdSn2/zk8wwFrvbuLST4s16BGAUvTHwaFpegfGuz/6/fAoKWGdH2PasKKTat452qwWceKqbi +DwfXkRlEVyb9HE7T6LeumIIJi/9DKvwazHtW8Ta9U7TvsOoR5VW+0EdyRf2cYPRs1rzaTnCqcy3Y +4By69ef/dgBe1AOwluO/G15kq7LPWXjjlYNSKS1VJ1mTo3tZVVEAxozikHV5KVT2JmpKS8Qkbgm7 +Ss82fQGYqxaO3snKBDLqhN/wATc2AGrUnua11RcXparRY8kz5MTnIPi9IiaxPImbsGbCRyUZEJRd +HkAvDXwYvcji5+XcOkh9ta5yHKuHvsf7doadmb7q4hSeJBqvhpNMWP4+twGwN2QNnKO42DUgXvTe +F5kkgsZvogBSugpuZ23SZfFtE+suBxaPMe5QHq7kVmdRhrxte/rvx6wgiImeqev7Yv69zY3bT2EB +8xY92jFP/7pbKwRAIzHmPjaoTw7TQpZ2AfegKfDTJO9O1FHTcmoXTAZ52QGk9cwZ3ceic2dt4L3V +zhr+oM1ujWRFWJ8nwK6xG+Wm6/xEHs0V5CUBCkjkgY55ZJg5mRAD1ldbDnM2dWp7DDnrmvTj/IOk +ToUOwknwMpuyegM8IE/1uqlsqXyr0mg5DuMkUbkyHJYinXSt5qY058yg1SYSolVmsjdF3gadii3a +8gPDMAUPcvc78d8ebGjlVoGNlZSq29i4uBMZdpLuj5RF+UnssPjbqbuwHy452Zsp5OmB0hozDsjP +vpvGg/X5QWeZiM+xsixyDk82J0V6qxeXLwaH747qyY4/n2ziAIQFt2g5Acf21FLwZjiHL22Ktytp +93iZqmHqGCVPyht+EFHB3NWPNwB+ksNSvsW0DIt/U6UACpxeCposk9UUPFa7Xr4Ql/TwMqSvz46x +cN7lE07N+AMiEIoT4Hz3n9kNVp6QxAhi59zo5L8+ITeGOBARXS/U7c3eFaNv5FIfxxpkB25SO8U9 +mCLwm4GszFAjjtChGwFE1BjmwsSw2s7I2kFqPm9DvmkwjUSueTwlHx8z21zUvKwjpuIJQX/VvE2Z +6QUjVk+0Nyg9I+mri/vpkvMM64aPYUTWQzpWq1awJlTq4EhGbv3mDce4spAc5YyjhEmecvO92sWQ +hyDe2D+k+LAsvFpR9Z5hBRkCu8/scD1T7gv2Slgt3uXR1i32jc/KoVp+W9AUnK4COhRzUGeG8MkY +eb6otHLE0KSZSGak5DtdMHlxxOvoLfzWzWmZD8H5+pnVIioxHz2xoe4fr/MjsUc/sFIS6ae3sDDn +YyMcz5m31qBvKJtAGZrSyUh5x7Z3DUENKAdAXPMdyE7rKCSteTQcsyOMpph/eYi+7sBsCeO+g8YT +Iob65Vu/pLf1yVdo6pChI/oh8PeS8ayxwUdnIionSujyrFu/lME+0+fc4kVYQ588dJnBg/dIs4Z+ +eSoOjldGpiwmYl7sdTA1iscpib3IEu7schGafGwi32A20cb3INH3l452H+VgBvNTaFqUDIqf3TVU +quGU2cdE8afUrONAyz49fUIq6F1nqZmODnX/Lr8HpB3kFb60y1g/P3q0RznAr3LEb134we0vlOB7 +5rjL6qdOCcpsxdc9e5x8+VxtSbGjwrbTHSDuqkuhBqyNu5jHCGrEnKB5FD78FxifBO+80IIzJKqr +Bva4Ria/jdeHrwNFQ71phQnyhBLD/8aG+TIzR9p+wgAxYaUmu2CVjKRFUR9ClQ+i4/c8zbZFtH5X +0LhWun7xBwH/3VdBSoEO9necdse6pBIJ6kc6Or9351uCZPP3ElRMMJ0WFKswa9DWXMbeO1Ynggtx +KHdMe8Gtr4g/rfp4zUxUI5CLzj1a8l4f7Tt7DYLVM2shEKgGm1P4Wlx2dZXBYPFyKjBKLebMEhf3 +KFFteyP6E+Lj3sSzuj+w9VcUsT2oHETh2BqOchtEmVMlQP/b1xv3BIQZcYrAc0dvZPlwvQvGpUch +xvzk2SE/QKH3PircP1KhWAKZj7vTXpRzaFGGgYRlzbiraBoLqzEkiphVM7OevySh9wP1p7/4Y1kC +K46WMNnDRrgixypTqIvHMMXNWVYtalNPFgzLwOkk+OTDzZFqXawbeIuDBc97+54w+7yKbGOzAHzo +iN7D4NHwl+1G/Ua++bkhfdXk9mTiJ/Z/x5S5WHRZK+9kSV/g2c8tZxqxquB40LxZ3FGReMGlaEFz ++acknI0ILtRoXkew4orBEOSeeh5yN19zXJ/kFpsxTcVCnZ++SD3xz50v5TWDwwkiCWOJzPLjncZ3 +BH2WuHCWtFCPrqdrmPkNfcxCKbntN661K836BBulng50Q3vBypmXXTPOn407SVJzN2fkvH8wx4tI +vcGErSU+CmkD+sc8eZuljqnEqbcshnsjBf2a5AsU2T7o98FisrlYZcbclASREnYtRUZUuuPnwLb+ +tEjEZHcoIKXmqC9nC3eg6GlTY+NPSue/4iBTQrSebt8piTvcN8FscTOFPnH6NUY0HRgT16HkCKYU +hG5J1o1r2Upnk/W2+vJhbyUssJ5mI+oPqPF1NeU8g6Lpl4TInQOIVuIahYeIIAN8qDOfuHGfF2dq +dwnEetnXIvYriZruH0Sc8lRxbDHKot4Gsj63LYMI5/Zop5R52n4MiQI1Gu55qjBtnErzpcsdQLvG +PtYCFU/LD86+XSVX3IWV3+xH+y/AFZZVK+OxRhe72JAqmcGlSMZoWhV3bKxAR3e5IILEqlInrOlO +18L8MzQgKLnoaTKB7wjg0vc/0Eg2qd3aqea82mi13vDNXj3HEXEahsrqPVPPKV26DgRaVEYmHHFl +5moqCO30nKQg0rneEWWtQr4r+s7Dnmy8EdAouIv1aES9dyfWDmf8gfiu0R/WcCYZao5Xfrb0eayy +i1O4s8vP87+MsjpKtD60kyRwZI1OMSYnQBSDkIIzXuLe4IkxEMqzXnK7Lzh6kAOfLA35gg3RJYTx +ZPxJIvZzKj7C65F3JoJVU1oJBjQVayNdupwxRSBM0/MXVFfpJeULI36qXyDpRgdgzlgBXlIxZKzZ +hMBCxM0lPd1z+mAL64d9NNQkpSKU8XhsGsH2s9LZOH6IBCQ5S3DDo/fzZo+xxYswL5g/cAuHNTLG +vF3iO4il+x2FjrBoBIdrgPGoQv6uXmu0hB0i3b2PXjP1yo+EqbWacvO6zke3A5a9ImC3trBJ3q+H ++MHJEc/Ns1RgpMUiRJnhAAayL7aLJqMwNSFCkwMBiz5OhjSguoBBemabRtWQuuQk99LxVCdO7S7I +5k2FYbEI2SYw16HveqzjEAM4sUC1bu7Tis48OiJYMxs2FutOZYSsLR8WgG3XM9bHOw5bZtLEsX5Z +QRPeeO+PfTPEkRG1wOvgPRiFYau48+2rsCJp4XIvTl6dSV85pfLpA6M1BuawpDypHGqfqaEo1IPj +esdjJDDW2YiL1Lb4XZxLUOGg+tdihFyNWPqVfhdDXcVf4QmxgqYDDF9Wse0XdL/dvlllFia6fv+S +CTxNG80mXtivjY3H2UFI52LABaNipIC/6Cd4AoNlx3AEVeAeUJRvVIk77NYFaYhmf2ECOuEWF5EJ +KMvkto8BsL5ieHMFg/2K/shcExZI8D5nOI+pRdrEbs4u/dWbBTTTO7JSWIo1b/3MWEfJ+r7LFTTq +Jdr5d0tcC5XOo5OFu/+EVpdXmu+A2EFYq6RSZMHnMtAPrNAK2WT/kXBLVQQbdbJz+EUkjW8xA4AA +//YY2XAtEv76VfvAV8I4q17y+DKbcbpN1zu1e14G/Xvfx7x4w1+X1+fTVpc85Qr7ZaSpqwlrjTjs +kSI5qXmpDXiwJP+vusf53QpfO3iAZ5CR1niDe33QDQLV9c/Zg3RKF4iRSC7ru0aEUWBZgWRYbnD6 +XXXrbjzXKxK6q8MReSLqtNpOyMTV+cN4MDMbhxxbOBineQNVzRYE2HJ4MsYybEdRUQ2KoHxsvMcn ++JRX8OdNn1MMK/TbNWuFtlrgDMvJWe99C6tMFEdGg+sQySf6cwdVr/skbojiAAH9A3aMT330QTwD +xMW0KHUxAL0TCN1BhP2WJ/YSgLD3xo4zXf1/DA5Phxd7Y0F1YvxF+D2jEB50oYc0mbw/24zbunD/ +VVlLyBiM1ScuZjywAQuXV7xkspqMaWpPNHLVKNVBK8VNFabLjeHPGHUeUagf8X6c40u0awyweo2e +Ku8A2wc2e3d5KVVRPv7ttWTB+MGjsKJ9QmakLAEdnZGi10NM2ANgDRZksvzdeSckOvarc0ccdMip +EizdSkX+ZrCRVfpyW73sjqQLOk08d6swr7OZ43VpxHXdDOcSWyExK/NZLAaWt4O0Hwnk2S0rAHpD +2z8d4tvOLlS0SLl4Rx7yeRbPwN8RzUpdCGwVblOdk7EHpfGRg1QVgQQcQDRWbpYWPjwH2atbNKDD +zIDdn13GJNDXazzi/COT3gQUksieuvYSyMfc7k/fzdkt8ptUysBSCI0IUHb00qt/JeQnuC5x/5WF +G4GvY7+xiXomXYuK5Gx1umryrcXfSynRZq996OmwaXYJcaB3xU6/oIdgD59W8eCYgUxPz41Dl6ok +/g7WvXQLi9sZb/cqdtSSdLWpYW8whjxRZub097rUslCCCcMbAZ1Jqcsr8kdC5vJhXbzZMHBJ9q9C +luazqUEHDmBDZd/CY7V0PAi81Z0+Tv4OY5qT2MkNQPjcwttee9Yvg0ETaXGsimPWlj8jiq/ueWpA +4VCWqGt3511oTq69MS7sbKbrDNS8IrHVlo9eIGGZTTCXB8UvdU9I8uLgfJC3B6BnfrPI8XF4FTwJ ++4aIk6IwWkfTG1gYECgn6/9zJBGgv7cmZ+XuinYbCxLlymAE5Bu571ll0HT6/nMtM/stDkk3Z8fA +WHGMzRuxXqFrld+AeQheKKGYIUzdvAMigW1fiyyav0Dkua2RmHZgfF88hD28cXGnjYZytopcQvjv +W+k8dluZqbaOvqnPSp+0T1DyrAgQoWdxLNIkYQKiTTngDf26YkZYJuHKZcouLAO6PD97lY43PcMk ++A+Oh92t/75Awk5HuE27YrQ6miEpIx6kKdqM4uY7LhunlsX89xCdCD8SLacEsLJJLT34OdGIgJ+T +iRgRYzCH0dAHqasXsDC54JTOzcNQRXexUJ0Kcixa1xVTM90HPaFIxJuNB8ZlkGLvq2QMi6ScZDqB +EGeNLH4g3Fqa+V62ROOf5e2YYgQbDmlMLSJGE1RB1zUcqv0tktQefhapWa5l7kgbZnm39u4YjQxy +y2UX0/n6EXXp4dc346a/utOhDjdedm26t56PJBWAmXlWsw7tZvgecp/MjA7qWh/7Rj9a8lECnnN3 +FVcm/ie5+fABlFbYfpr1/mvcCtjl9IUgVz8n4tvidwr/usbncaeey62653GKSstf5EDie0QvekYQ +ee8uiIntL4roGe2fiN+sz1hrgaO/jQ12aeHSNqY10E5s4GZciaNapTy++63d9GA3hekbKnqepYO7 +Qc91CVcA0KSnL3O6K+ocylnnff4cVU74Kd5UCgn0OPHNFvWI2hk5xK9rS3FeTKO/gLLlOYudl6+T +CpFfK28W99m73o39nwWP2GW+JJJgAqm7UMJCQfsPzR1lVIJIjKsAJwnhTOJQh6UFYzJeFMYsvZ+M +SsZhwkpKiG+Vb5e7SUb7uDhFrtGB1qKk69UXsarD7bBhCkr1EHRkcPMg6RcAabXjK/PvtB3y6/6Z +EpCJSDxViyzVyXvQ5GLt4iEOvHZdXOmnPSzXRGZhWuofJo+TgTeNjdbfZMpuUlzvT27n8DPMApDE +286pApe5qXXfH/g1lRk46jBSAxRUfOASFbY2jZPKTk3Rip6g/Cmr5av5WslojMV5whC+jOUL7oph +fKkhJVeP1BrYknW74l8CKKN6N2/La6mN/4U4pMKx+Y46A/NfpiOgPjmpfbNeZo9W0slBQabFpA7d +Kxlh+O0YHG3ubvapDyuB9c/xCP0CUURqrMMSQpSeRPJJcIxmvcLqwc4hJOfo5ouaq7VuE1tITebn +2TV2S08si9KB/YW3Go4/J+BTW0ty9+rqkFHvdm+SbEKFazs7EwyjwqwpS/W1FxLsFqaSOJWJm2Av +AU297u0rBNyssgEB375Onow/HUu9LuN7TPTyeufoomPubtl0St9P+V/ZGl+0aRd3BQ1FmKx0fmPb +P/TVBW3hVc6QVsANNRzNNwpdEF+xbnKF+yutf+pu2mFwm4PhrL6XAQXYf5IDAI0iD4vndNEFfjhG +Sxww7Qsd+uxpVbZZgnGkUZawzjaEzClMv7euBttiC5QjRwMCukFQOV87N/VX96Q2+ZsVXnn1bqzB +lztEK/1HQZPMscy96+MCU2MkenHWs9OhocvNOR8PLT2FeV/Ww04B3p39D2AA95gZRTG13eQLIoG/ +r/lNd+Msr+5aHWCyJdLX1esf1qjGA2WaueRKGEjRSwJt8NBY+1k+JbLGpCUA6HxpqpvkII7rzEAr +XRQnhFdcFjqRZbxeIIcOqeWMe/E30yGtTLswou4kttdu8cXIc/DC1cZsWxA89hUTrjiX0dgq9h5s +wVkLPQUzu7f6pvcx9sD76pdKQneXeOo7L4CKB+TwVlVKLpRB6yBj0U7r/nV7T4XrfgQeLIAGQxfw +Ezhch/V0oXgDCgqK5ASNId0YckGl4zJ86QwjidX0hjhkrMdTdG4lsx42GV0xop4ud4YtXRIEWtdI +5hPbysMyZR8ISGRVZp5YzOyRY4GSmPZI6fifXqsZNpbTuhGpR8PG5vSc5AQ03lRRVUNtauzifv0Y +xCPVGS8GQ1nrM4n2u04Nj06/QmIcxKu/P6Wt54vcaLQZHkxoktvNkLMsECX3TGkEowGZKHpOMFg7 +eNV3KEXiBrCFWanFJ2s5YW6VtXq0O2UsGfdLeXfi8vNq67HkdIJ4iEQnP1FOPdV3NT2yJo299SWx +djl1mG4L43+Z5hbcyB6LVNkMFGiudwoddL9MpOOccI3/fauMK258Rak0me/dEkkpv+WyHGjUgjkx +t0QEh2t01Gg0ZSAi+4LXsBk6IgAvjz79NQiNw3BCHF0L+4QXv+iAWKR8j4oZASHS1M3BST4KCk14 +328Yu+zEU5VPOAN6u31/JazHxracdW0PXHDPXGHCoOQ0Rr36eMbe0rcSa8QKdPwblSJ2noLw+yOQ +9IzC32At2MXrjn/n25/Vd3nmEHqgO960Alm3h0idUO0d6XPBvhOH2OEgxvupK3HDu3tQqqh38Pve +Z5aUp0NGnixijzv1X6FGEoYJYyM1XiueEAUTFtAef5pyM9XW1Ah+AhMgkL4iT41P4bfax6BETjP6 +Gs25N6WxclxQiYQJyqmxQjbKnrLYhQJ7eBlkSYmS4UDUT7Dhlv7nT1EmJHqNaf2VuulG8AVWwgN7 +RzV5RNmsGqE1mG55X+zZSePcZ7XsygTfx5APg43qMi/KAK1hvJD11xtoliQ9Gr6z6Egc5i9G+Hkb +gG6/O6n6CbgrQ8PREwkEUUkJBYJ+AeyRDPOW1XabP4oGra4+ZtDPpw5Z5qeEhfL1MPJTmyBTRJVj +X6bj412OzBU7qzXCbvzVIG1INGYh/7hOMHPwaLNOy5GbbHC4n/E2ylI0r2w9hPYziyWO3/ScvpXu +vMWbtYZV2yN39Za4f00rE5D5T+AyE38YcuJiLjuX2TTAGMfqpyCjeYtkOrhJWrBZ9D7XsPejyf+H +1NiTjMPoIcH+5An42Btd7PW8dmC16/BmuYChwOOe+YLZSF7bc+GX+7Iq7VwSLrgz1KFxEe/PT8Zv +7W216Mge29vTTByGS0+eiSYN3nUNzVqOdcMFMANs2Q8fykHk3fw+T3ceeMrqC3DBaXLZbZJHPYW1 +aeBZmg5B3be54j+ZafaJ9ZOTVTYz8KmVVyMV3q/gPdWGE7p/P76YQmtK2v2P2NJra06wf3wyUF+4 +yp4ITAJHUuwV+Xq4oUxqPymMxZ1yRTpBGkCdUdBmTvPgCPOEd2lDwS9qyERm73o0PtiWAd11Lbfu +PADMt4+Ks5IHKWGVqg0teBVuxNDaPApepGV8SbGg3uoXPtSO3xFuuYjdR3ttj5LHrBCkAaIHmOgD +DF/3Kllug6uIPXjn61B0KdPhBXC9GbDh+0QIRCRWO0SlgpWhkrsQxCjqjIIqB2GgQfW717Pzw+Tz +I86Vz3aS8t3MQutJGW26GK6mV4xcFhSl4A47KShbI7MdxNAoi0dQ384Cf3Qca3fMAW2xaJBULFm0 +E4MASuuGQFOIAb8ZQUGS20hAb+IwHyt6XGrNF9LbZo6rcPPHtGBKcBZvrr5RMLWlmJuS/yGOWxcb +cj7ktmVEbx+U6M8A0zO0W7mBU8h0BBuO9EGo8ICQyvYh6QPwx4N9plB0hJsXRdjzFpIrfwp+Js6i +1UY3Bdoar8CY7ycMmXgFxL16z1xnLrAPDq40Bq0H/aDMhkJDCVqTBPWgqrgzaMOHXL7zmwfiNf/A +CfZq3vUcKIgSvzfwnSn2yjyjCbDcyOyZf6DdTZ/+NcP6nFT3e4fIcVaQNC1fPOHPwnG47tGNtYeL +Cm02466ti0eAJCG72zOzQUCCrh4hFAgTHJ/MeNHi/KCfh3QGAxdLZFvHR/HQIQQxjDv2u/+esvCc +7FSgLlBmTLxfzSboPh/RO64OXp39N5DdW5sjPSUejof7cR3uDrz5AIAUShz9osJ7z0/D26r4f22l +2JPiNeGVn6tniD+IiZ74TXspzpgEPhIYb2twB6t9EPMI4FzL+trnuFvv8RFsyduV8JbuzXLZVoTG +5O8X1X3TRM6YEZHx5R4Vai+vl6WpOUrfZ8vxxVRtzDG+1SdyIkin7f8a5KQ8yt+m7wfr4bEU/sk5 +4deO7BRWcjJWbhqIv5/SRAgEXPUtYws8XPtXpHdyYNVTJZeQeT3HQLUSXVqq6Odiwl2NkPyCP7by +bXc+qlB5fyTgRbPkQAmRDKE7HAYOVL7662685oldaJc0/RIlckg53K2/c3yYe+FGY3xvwokFOLBV +mbJ88b4lwVZvHXd+R0tvXhnps7ZmN4M+sp2I6iNFqtDk7zWYIHVFdh1Il+wg+092zya6arpfJwTV +Faj2mqXNkIBkZmUY1dn5pw/vkfIPrGrcIuy0QixZWh2b5l2H/PyrqjKbxFutpqtF3tc6DWRaiboJ +erNDwGXo0iPbKr61ppLKvElA1bQurPXgIhosH+4jcMdfBxFRO1Vs2QR1IuW0chnfQJ8IedLyGsoe +YFinm+44RpxGXHMemX/d80OspwZ9KTtoiblY+3Tc6UfK1gZ9yIgr4xHT2ldNOqmOhBVH051LCWfo +awBoOFUS22g/x/I6l3O0KcteNZNaHAOgUeGu3SiPllf4iFYmgx/tJxdNo5XysyKpzsR2ss8RpfaJ +XwjwTZ/RfrAuAc59B4JkGb9qbMYJGw89Aw92lq6B5McsTOfQ1xTZK8i5yYY/z8OcpGYzEVeeWcOW +N64m72vhHWR5gsc9rjdshj8J6MV3OQTiNhlC7UlRk3z67vHtBEzUJvQ82k2409Httw0cKzBn9zg5 +oRgZEqkWt3Fh7Ha5XGetSlvRh2ztql1TPyReU/FzA9//xk0gPS35Ym8KoAf/sZu6011ngMhxlZcU +wFcV/pAOMCoWF/3gGT6pmEpHDWYXAG+WH+lppvyzvb0DbxN12FJ/KXopOcaQ72vVxrJOMaSZsphe +DEh/p8l1F5duGCMMtkQ2Wz+rNJ6s+VISO0ZVAA3OSgkReMoXFOi6KOiamP8n4hKzii0Oh5d5Bjyj +z1eVvt9XoyJ9e6UFnYgdwEgx6nLsN1SqQCZutySBdBQZy8KIZGmRqp4uiJSNnE79XRsZSj/vpfuu +QJ6uXKM0KRGA9Wfr6yMApmIPT3UCIV4hjqAxQhH7nU/KqzLnjbPJYqvvhLVUolcLSg9NO18CccXt +EOboRaxKVB7SBsHULoBqyDPELhJ1qOeaDzUC3dBUHvhe5Vo2veVLCacDYbhMmtE4Dfeqd2azUhog +9SBcnJ6UnmXVB+s3eHUABOX9akPIF+3zHRvRTWzkRXMGmwtANKxfy01FL2fJ8ThiA5wW0AV6CCW+ +TLeWmKc9VkYQmJnqNhC5ULOfxoZiF8VRtHWVoCKCTaddyZvaIyoONYNKvq3AyeNKauYe2ti+Hubm +YXUUU7OIq2tEVLx2fs9vry+ALGjMvEU2wr2+FR7BbtN7OsiAMkgwUEC+QjAANg5Qs3Dk67KnJV0T +IEztjYAZj/cUL7X6YIKt9oF1bZMn306pI3MWzHklDVsgI6f5Emekjv9mPL8SaYJpZMOLbV1HkbqQ +P2opYFp5Yv7EEBmgsF535n1L7FMrMXHHOxlgJSvZwupJKFxavUnYFxM7PRxEirGI1o6x0Xaqm1Jv +P6hkx9Y9m3jTa1g+ZrxeqGiFo27VTifL6TQ3k0kEjDgJjRbizP+NOAxQlUGCsOMj0WRtFLOaA5Ud +C9cAt0RpWYpZ+wZAF5idabBdy2AJZVBYwhEVS2WKn891tTe/lxSVbV94Vt2n4k+Kti74ECm3NmhO +H79tjwJ4MBCGw0dbFEVi8v5ol5C37nEzcgOYxYwXMRpeZMZd1fCueBqizZhL2fawYUgcRGSYawJR +H+e+9P2s48Guv6MvEs5LUsM3uT0q7I9vW0XaZlehZEl43EAVpsVqGxKPHUZ9ZxPacBHlQu0U2RFe +kQ2QDVD3iSsKsHrt7BJKZKSI3Dyu1RgAe2LxF0h+YclZsW7HZuXHpHwI40zsHYtQp2rbfTQJQdGM +DcmjHFCE5vY5yswF6su0LmKH2cDPWXCpQsi1Tbsy7/zSRQDdkrxaTnf5kx5LzkAz3FivgkBbAkSL +uWiAipN7/oPhc9LXphECCRImMAdUD7C1Wi0tY/3neK40BJfqRveCXcMglEARmqB5DeltA6H6IIZg +rAfXuareuPZUAtHvQa3g9GZiffPCOyZNX11TqDfLFLzkvOrYFyv8lTpYHG/lVlywcY2Zer/N+Ym6 +bvQIeOINLvbP+VBqBbpjeh/uoo8opB/4XB/VLrNLbdBEeqjV0F6yREP/oTOp4S3vbpdyqMOJE998 +JYMdvMVFRojLCntwiVnWzpNGOkwDmtn/BebzOeaf2WBrywsuQTUH1ZbgqQsTFqKRD4w7m7rrrHRl +Gj5U8nFCzvz3VVrKZDEEXG0ZjBuICHlD1Is6e4j1g7OYTcwc+rV2So4UaJAza+hFPKn/zPVEOBjD +zVf4mgnduA8+w4YhWibbrpogmS68LdpR+LcFQRcAvIMMTDX26HULzc2M9KF0aobH2s2N+q3WFuF6 +F+k7mFceNZViAHaLWc4fN2vVB2PaOmxyZgx0EAJl7RVedNYXd+/3k5ZuTbtyE1/6/P3ER3C+Afz1 +aiJqbPuYJmdgheMIv8UhaN6IyXJ1YFOO+oO/ei3gudG2EOU5YA5wwYaRpoLQv8GhWd0Wkftx19FO +dDxA3NjI3JwsF4kxOt1PfOaWrgS0eSmAy/YknwzKxrjVgIDAexS2iTTAtYYqnqAd2gOp5YBTlUrr +vaGW/ehD8PwyEk/nJI3j1LNUN3NRYP2qBuPo8o8vwLVYDL2A56eYmiWxvH//FZXFxcn7Q+kWbBoP +HGxvvqf9yhUFVYmsKrIxbbQ3kpvygaQQylkoA+kE4ADD5PNuUhM3U5BK6ujYYG5mCTuMzEv8aC8n +DSzsz2cz2d7E1yKcxO0jTQ5Qlqt3nP2jGTYfN7Ay4KwQWZQbdhq6AEGqrQp9SrYm0wLC64CGoHkH +52EtLyMfS2jaLvrAF0T+XQ1ouRYO+w3Dby7N3uIpQFlG1rKNXLzagdMt6poxBna4ShzZtXGvAtQv +x1GbYdJ/E6J2DBA5S0Mu2ycWIJs0/Azvon3SfYb18+1ZGby4VVzQ1WUZY7+09ejVAlxXoUe9i+f/ +IrKhpV+pSiBrF3ag8Gc2Qy/sbBa92/Swlu3j4dOU+6zmB5oml/GBtalkGBBEtJl5h9aW/P3UcxHJ +ZgT54nTKqZOGhUakEiHB9V0dUDhiZ3cfC9O9IqK2oBkDfqe4IfXKZstwKO95s3adR27zePle2AgT +2X/DRIczAKUO7dwfa+RED74QkSnQwWTKY9ZJMhPqXI+vA8z8mXuBbJVHkYHodFyjIk86mEl1gQlR +3PnjXCKJdiES9fgvlr0tKHRdPk+7kPvlH89V8jbyUkw0xCFanRsnRvr5g96/ePYQUTsk4BryLerF +SVgyAtBLXh6FOJtP6lpZMFwak6AdkHQWIovVGkfc2W+3g/daNNGLxppxvOi0JW28XNfXaomu1rx8 +7zdF/v9VdV1E73oFZ3fnSdVRnFe9rZjdZ3K9pIN3Le5auTCrnQXncSwM1HIp8VQFbMKA65kgK1WB +RE/Zd5MHO4C8Ga866BiAvi7WppN1SB3GrZbizOlQis9VXP69oCAMEwf2vJmbQfTFLC5niDmIqkH6 +TQlbaS+2te+mp/4NHogZH3kwocYfR3H6H3tAuu1iujMEDZU/AYYcmaQQBkjDnNRQMCbkW27je8OH +FwhpKxMp3arB4BMuQHl4ERDe7Yj58vtyNJf0Tmv/vaBuuwQBsMGDOE2IchyaR7u1LBV6yW9I2rmi +bur2Y9Os3PWW9/EG43PZ845amLihdvP/XhcxeonVpX6oNB4sTol0GOI5xrylR2Ihhdz6tLbUcx5y +a2rUzsFE+11WwwQ8BIxp1p95Xq38p9sugejgfWsg7CVq1KAmbD19ajW27EbVbMs5m3b1k7LOqpHL +9f/ioTEmpoe7fotU2nIKceFW0PdM4bfNZZDIkqYXz+aSUgGh/afnrhKs5cuSTdQ4tyBVD+IVV8HE +XzeVmDDfUHeQCJbg9yjSoJXglzq3lWVRQfFjggC041f23LU2Ssx5b+mYGddrPkpmnK8CJnIfsXhA +GGKZv969yyVPu3qhKVqsOVoL6IWx2inBaWT+Nt5SxdIQI9KDxUmjL7vhgJt5Fyj3ddAPGMPvw8Kz +pPR58/B6MrPqRw1X12WGvKplYb/SGhETGTPP/gN7rHKhpNiDmREE+y2RGL740oPJWxMGMtw+Y+qA +2AZsJ7xmQQZC2PQm72h7OP5ud6k5dPgD2/1rr2TxdZgFEXfBSRCSBXiWcWq6g0ABmTYSeb+rbqvn +Fm2A9BTQVZbVs1c+0LaszhSyG5e4CcFbTja0jNngb1gz9r3m+rYBQABRwfFNK+yPGyatkqy5u6Rw +AGYJTQg2YkiF9+1ooWaFPFz8gHmxh/07VrtkYgBmQet/HiU0aGPVZQ+aTUxtGM0wqmDjPWUmFrYm +dkmyNCrKHZc3szN7LHpxNU8q3mknz1G+CgcpBwH52Lf6i3PIE9e9QCbPMhlU03eluvz+s51zOzJx +iL7rjDMqA3SijV4gj48FqChyPLNqxpNI2kH/nsWog60Unas50CIy2L6D1lSUe0JfG86JQ1pl8xh9 +61bLiW3mr7PGQRveTK+xpDuICFaEWf8FPpmJb6xbss6qOGLKnes/k9vb+2JDdCNzPXUUBZOsYdqx +crDIF2Xxi4IVFcZpaDfoRgOj5hJSCEPRpv1McealhnUwXdchoYyYrJDDQo+zP7OJ1lovY1tsSSWY +GzlFsXIt0UT9LW0pKRcUvzlvc7S3GESQVmYxyHSTnXWYPBuAPV3gQPT3u0btPcluD22btq/pmmuh +nI0wUbhjYixzGvTeGB8hBigW4CduMoxt/+0/Po5+BPMoS8qYY7SXxeHTZ2GYy+K4ue0APQM9WFXD +0b+IFCfulVz+l1bllvJzZGpYxtHZlePUvPAKB4Nwe2V15+JHQqH2FBeTF6oZw5BEQr34TxzT9vwK +MN5mMn2rcyscr+6gT7KxOioKirDdfkvtNzXlxGwYbn+Kbd290i6W6dr3M50DtBfLPlvI7p2JT4w4 +Cd77T1sazt5U8pLUFGBKI0woAmEMIEfCtWe+YOni8n0cCHcL6NSE5NdQQMk8VG+mI0CYZ3u5Zv+Y +yckudMEAtSGxJVlkrwKmgbsV5pKfxuyoqF9u7UALFkRse92a03DjiHommyUSvdAts+LBpPSuH2cH +8nBWs85EO7Z953KPzuK6rVLmbAs0iNbshNPoLlV9fuC3bzb3K9cVEdcdPi3Q+w6z1O8ryoD0CR6i +UdNR4ezuI4riAZxVWat9pQTxVkpdhcC/zS43utDnUaNgX43ailiK6PxP84vXs96R1yrn/EiIIdmA +oTQZnoNkwNkYGqAZtxXi5rBIKQFOopf/irs0kWqrp/mvjtOcKBYsWpylf4xi05EF2DRlu2js4F6/ +tMCIN+aF6M1iTVy2cgzeFUKoE5RpCOex48WeQOG1cssZ0Ow3oOYMtlgr1NbnIcimCLTFPmuFaKZ2 +FlLbuyGIJX9vT1oaV3g1E4MXwqoN2Z5fObKuCE8/3fKJKs3uBxfo4BU6mEAWjm5qfUYigjlO3g9t +Scpj2iP/Y8R4qPJFOzrrBetyhMPYLAyEmdwv6SGoA2nlexjKkyD4XHcCGOp3CCnNyIU3LgYR87Gd +oXjK0OJY+ZsN66sFOWUhRJVamOLkaRP1XsTdgz4iXEC+lr91MoIrXo+Rrcxd7oxTSEjXmKVSgEGo +oGYZ6EafKrl0mjAcMM6nuk3E8Rvg/SRlodG68f46fYVwCdjk1w1Ynq/DdeenA8iOyZzIW5o/rAV1 +91QMjqXn4YrFV5T/VCDIRRPXb3hntEavIdCjDbsM1KwNrXPZGETemUyrfuHvAUBlYXT0NgPusglx +FonJbe/XL920BDRax4V6G/nZmQWtt3SwxI//1Hy4BNhsJJY5eF4sjvWYMuf046yyMiEQjAYdo9E0 +PRbJ1LZftBx+WukKf/g/b2fAgoiFQx0/oplE74Epb420r55TA0pgNXwHHdV2A0VCIGsU+C42oHkb +yjmndzflN2IlI9/cg2aOhKpn0o7nTfXf282810GtqD7/GApwBaSWN5qaVPiVy3PSPv4MuM08bZ4L +XaQIQiwHd1bbOSI2E72VW5VcvJKLz8wCmWYyri7U6c/5N95ZSj0Rq+vSBKsBhZrmS72Jn4Jj6N3K +CzHxpUXa3YQZ/yGM5PMfzBKUtz+hFvPkVhiTl8RFXqObSW+1kuyE64dSq7T0Czu0YVYgr7IA7mZh +eZF4UmndCi3tj1Horlc9odrHkb0E9FydLKpCU3Ty3N6cJES3J5mZgvKw1cAnB2q3e+tQPLwKoTWT +9X61kQ1T901Nc+juInmRs8IoyFitO4+ZXWj3H9h+7D2F4mjcjSM7RC9WlbIuR/zGH+jSE6NaMEp5 +YlPwf+IzF1K3H0J7l8SDA605VImw6SzDYbyhYQwhu5vrSPKEf53yohSNDgi1dusnzG1lsZT/9tPc +49+6L7HK0H4ve91JlimHp/Sbn02Wr1DUCmznhV9hdjuzTv+qWI3wKI1hCOlU5bpDki0psMKsTCej +IxM45SjZchWZitsj/bWktID9EZae5nJTrkqdk/0tg7UVpbwEYwo60i4gKDeF5UeICigoTHyX4T61 +8v+4Lfrn+W8koa7bNDxeUTanBTZQKZX6cpvHJd08sA7ptPLIFUmiXVuTx+yWEjyAVdp1ToJl0qkP +EZX4GmqLBMASSlRoOU9FYLunZ1T27JA0N38On0OIktNkc/pTCtaxmAELCvQ61uWhX9Cf0SJKp5D7 +c8h8cUvyk4HMTjqV1jMF1gsdotegxfTA/2hQJTi0CIb6G1R4AzRfdVgheBgP7AlOgElxXEggFO+C +Pe9VoU+5GTu9Dfs0g3pyxUkY7Ehwn9SQ+omP5GtIkJ8aC26pW01AecwzoTcwrg6cKc4kyMgakG38 +GbhfrGM3UhUP0RQAo3Fyu75IWvRE5e/gpp5yffjkdgfnGqgLD28Gl9elbvs+KlQJAiergBN5UNAm +DyfW906H9sAaaXRkiQ+typ7tSd+fp+gaYBKqx4QnyXIRajl8ird0VRjx1NtNeKlkUq9TtRpIx2G0 +zgTFRQAsBqKD4r/fYJRv8Cv7Rp321ZI4eyRubjeOwoQMtcb0BMusIpt96CQRj6AHeId7gNGM8Y36 +MQ/BNS9fhh3krVwcP2XIBwne6ww2wQJ0aiftH7f86Se3CnRdANCS4Z0YQL2gSBAD1IQxqVByY75n +iPUGpV2BAp2oKQqKr7CEuMH+LWMvxmAjn56tsv3jqDUtICU+KFvjfjfvo+O5jFv+bLKg9HQob1nU +o/k6OFTlPoBKugAm7PG3u6/0b+y8eLEe0qKAks0SulRqyAMwXRFLmAJvM11eR57V9CrC3d+su1ka +rWZkH2mhzFWEdSxwLJy/rJlubdBxoYZCgxaGCTXKw2DselB6BeZkrvaelrqf3pIf4o20uEM8rtwA +cPJ1TVHVFnoGG9PJmsjnlkg5vfMk/sZpiQ4NC7V8aXq2M54xrLtGN5SS1rp3ZI9zcOFewqoVjAr4 +3PWgyDkJ7tNYCSN1YBO78U676Om6kpK4taMdwn95FLW8U8Frr3vxPZ1SAqUyJIoUrzKZr4xqO5ry +b7va5/dml6Jb2FknVFe18KIA7Az/t9koROZAPMdXdvW8+kMjBvvfLWBoHmxoFYl63jEx4oGoRhXZ +1xfZtXPejBDFwGai8pcnPEiTDLfOBYsnzhXb91uc4YMprtYX2X3ZJ8DicYcnpYQyUOlBMcK54Cgw +3w/XJi3+ShHasTp7yKIDzaWgE80uwgPCU7hiScsJ0YHo9sl27MhJRTu3YZpfgtCgqa4d8vfZ4hxb +Tj2BbpgcfTNQaYPLABUrUWgKCBfmZJx9u3FHXm5Nz/YAwmU2c/ZE3foj0M3Xa2dxzaa3pLVrdv6y +eRrEHZ6hNntQJQXX7kAhrhlo3xTjGD7WeVLBr7ea+8qz4Rx2FRr3gvc9Er5Pv6vsqA0YElvNfli9 +Lm22e8tppOMfFj5dTOXIyns9vxiPzoWDspl3j6nItclcjPOhdCF3q8oruNpqkqUD8j/mzvRaZV2o +dh1QKDXRpnOu20OgYe2+LfOK4reRkeaN/ZPIuSqUsUKaRy1U7fizWrFZzm6l3ywvHpf+XZ4SdFLf +4/Rt4LE7FWWDxK/rE66E6VW0DIKyl3/gHmUdjp2KWaiwRYBZahgn9MG8n/1PUA84dzg0nTaURfFL +MGnuT2+Yg5P21Oq1raGwavK4/D5diH20+4WK7RFW5Ns76gREOLrAyjyul9rDOBe4mXd4TVhOX7GL +HQzHH7rZBgChPgf0m5chJZw1s32JusDs4byx+hdcIPG41xXhTGSNkFoI3MsucgWCbuv/3O1i8ybz +crslvAxDbTIs88I/wPBMFTWPzPWzPT7gq5QEFkl8d2kF1SHWxksz3l87SblOV/dysKpNFGtjgbd+ +TDW++pkmQGPiT2N+XYyvdHG5K/Ll8qwzvAm1h1u9lHdYWeHgmXh2NHuaWGHS4imQrLG/s+tawo5/ +MLDCXkV1j6iMNFkF5RlDKnMzaIeGPi1h5+AhDjbAgrYytsOrQLVG+vF4DtcLuNCoJuwRgQLx7/L2 +AZ+p2pqhnd+wYcEYzdlhuiw2lDOBYsZjLDVTzayh23liTCtABVD5dfo9OUOUEoU69SebCDI7HMxF +xQEroBBRy7eVwHqUM5rzCPTTvM0qKaGk/JsziFXKFS6nXhSkfRGemAqYYYo6RLH1rxLiIkWZ1s3m +BFgfwJ5RYpbK/4LrRnSXVN9POF03i9PHKrPLj/fZhcoqJyWJEs6RI7SiEAAAzvYQUEmL7v/7/UYf +p3G0DYZDapmA+H2SJOxCyZX8XbyMNUwb2vqbcs4bfBrN7Eurd/i9w3FLJyqlnitB9Q80qUjnE9Dj +6KdBtLTESPbrc6/E59HlHSvTsZidRSZrsnM58pokjqdko745O+Koal6zgek1pzted4CARMYyIlXW +H5BupoO0KL1qwpZEp8nkXihVIaL4M3P+Aw/RCPWERHQOX0aMgGQHdGobqnYyPmgdjHXl21/ZcwIf +8KqE0qf15bF/vfm7T5kkQIdO8mY5qiijWA3BMsePLXjkwYI81BXqlPm80+gCm7Ki6p7ZjnTgf+V7 +kroyI6BiKny/6UVqIThsr+k3WbZRAYm8kP9Sn02Y3Dv/wbHBPsb5pHzTykU+X98InlhvVynY3uM4 +vOuPZTUg/XQ9YO4Trb6K9zg3DFyT9q1eadeG9BtQXEGoS6TnCXQdV9Eh9H6Lfwn/7+abJH63GKDs +2oxsGcqHmnhm3yBKk/0VvA75s1+VMPrYEogIMuUqX4bufZDsfY34S0M+LwWJMlgIMtBWT4TMz32q +zVgy5l1JwaWUiIHp9Y2WiU2dCCt8BYhATYRMjPWqkLphkV2KKiu0uNZjq1CJUQ8fV0M5S7vCrjPw +JL+i65oqyVXHzzKf72jgG9G4bqMi3HuwhWS6QjHo59K1WeTWNh6FmmIuSG4oi/YnT0rE7EvwkZH/ +6oxaK97jBpKx4UlEPaXMAuvz1g3TQVbHXBXg1/OvOt4C8htdoK3homnYDeSjVhETbWhCnD290k3R +RRQZ+E6qi9OI4jvFQh/TlAFnBqtXJG0oMPt9PXXJLjie5tpKaPpUbC5GA+CVhY4+wTwMQGOMWnEp +y4pu5sGQ9LYpzst8xLo5J24hT+I8rCrCpe81tjZcnP+NWSHG4u7Ne0e2rXmEFnG+aThaj26J+Sv9 +diR1+EJcfMQfK4Op2Lpp8cBI4cyzYblL7KceJmD/2vse3VbJd9Cj/sg9uC/QpmnqbUw5bS0UtXk5 +X7OTN7mr2wsaskTQcmsoG+oDD74emfjpREmla8ykzFsd4PF89GLp0YQ6MUiYet0dwZ0JXsQU/0p7 +KjvYoSu8EQ6CkTQM4xg3W6k64HSSOgvjjsoc1wWaVW3XHUqx1/HI9pQVEgnR104rXONGQ0HZkk49 +r2Nk3oBMtQ3IRTk+GfUZm/kyhPME2aocHlgagk32VkpAbQkqIyVyf8JORRTyPlHI9AEo89yRVt26 +F1fVr0FPfntIe3v9yqqDpoZcGNVito1RdKtae/+17+13ZUk1Kqsy//Id+e+5P/5Fv9C0fARjMocL +qQiNkhiF71h/S1yunPFNOcNvqcgEWC3S75TC6dhUIJ42M7w39GJpKPdZHktzz2ytcrWOfdHMbxj/ +ZL4n6kZg8CUZf/RoeViTo0FZZCvNSVdUOc4/pCkGtmIhFrIcM9h7MnYB53NHXzltAp9ms/8AMsCG +efgn9Kip6uNkGfu1I+z2SSHWX2veWjVq7tFgP84ZZfj9GD7V0Bo85enGfDtku7oDiOvqtTEujAEx +ZT6Pdnq0AqX8bteAmrDAgK/qz5cup35O+cWiY7Mad4Td2NJ0BqCv/Jm0bXWQ8YU9PHSXo2qYt2AU +HNPR9ihMJJsVrouiWyAzjOCJtWWmk9VQSxT0jv9d9wbO70NMEa0pcp9hezmygPNraywMlQrmpygG +vZzaqXQVoCPrEP2kAPzuW+iewSVLlWM4Z1U50L4LnsfPj89AlvU5VSaNohlrsGxPhJdRmpiwiiiw +FZBqlzg3wDitlh3HMUseEhPyiHUn2czrXKklQ+10HqvifXJPQ7mPqVQX8W48n21bb3fCqvSrD3DB +lzW/De+iq2kAkJcHnNTgVbD28QbJoO7G5Yc8l/iL54O3xSLqn6aeOiN84/r6rIJlZ5qDnEW5euKn +WwrPNpFvV6uqqdF4azqYcqElRIfGEjF222Bchgl0L+b3I/xo2LAKXpHBPAIbIBLud4JxxWlyiLw9 +ltSgjmGD++eKUTrTdGsStQM1x6P7DKotFrWeAwBmwODf5ZDnGV7ApS8uLSdZcOGKZYeOCLH6Z+tV +ZeO1xx3VSaa/tokhY+e0dMWsbz24gMKk16jaXKaHJZgec1BLsWPFlnLdvB6DnnfeGD5ODo514uL9 +zmLCrUCwetmAcPdEtyMcjYneyaVE3KIpYblgNpheZpnM4AQrdIE9RRksFEwKfndPcdDMdjP7cwWa +CnxQI8hVgH8X+ZV3AKSkoAEe+Rbc/x71L2qaa0hd/8OhJG9WGHCff8L8vHS2wXiXFQxnnjJatFP0 +dHChmSP4rXrTgkzq67ykw9zrEUesBOID6/QNCohMXXnceV83fjG6vtMoNr3GqPiRSNXjAI62fj2U +4dsWZ2+hAEZxE4bYtlQYtqntT1GCrTFcMOKVUWAWbqZ3NbUU1SlwaD1FuicBVkbjtILEbZSnYbHa +qNFNvc+/RzxWstaJ/VvE7T5IzMcE3yG/+DsJkbdFv+GNSjqfjc834yWawrpIpz9hGspU5iXA87w4 ++my0h9xXin0NEWZOdhaWOQTREnoyb5GFKgjqzupr926NrQxnHuCaY6iS6L+MbF9t7TNI21KUEYZT +eXrn6W4+GgkCujtfh4zJmJZWqCNrbAa9JsJI8LXJwSJq0yqtLRdY9cnqQgZcSY0FD2owI3i6Pgwa +deFIiTF5SZpgdp2x+JUa/SgVdwf0MPsiSsdsrAqU/CL2X/gB0eN2WEsdqiO9BRZgC3aOM7CgwlJm +cRTQ1Fw2CmmqDOJVUfyZ93NVVuQdgd8eK5s9lKBDR20qejAYejk2kTZbRTbYHjQhvu8rPS3aCEJT +cxWHY3lKnb6rQYbSYmrLUVfi0GztX6Xfs8GtRL3U09NzhvD3vuhI4t5/ZstCHOS/Uip+O1F8Ggve +3saPp7N9ZZ7aOhzFOCGDpw0bYTfX4oSlNPNka5yBfoQQywyrguv2Q4gTiKPpK8Rp/XhqNiiDr+O3 +UHRPEQcBQXRWLRkCL1L7Z/AkkztuUNm+0ewbN8B5AS3uIVBrWXw4F3shPIoFJs5r/jt02lhcTcb/ +iI5tigQl09I+HiirldM66LbQwhZOjgj0lxLzDmu8WP8W+ZLTSDOALnQcwpgvFMUi8OXJLzQJJ9KA +KAufcoif6QOkMoJMh4dMgmDPKFiHzgEYy329y9Xo7nzp8mgZAyGSBx0K/+yMHB8Rpg0I9C1MbN83 +2ziVksdUyURcW7TJVyUNV1q7pvVjIiN5OByUmOKTSuJiwj6T1wP9zPJnsFVFC2ecUrb2kT7br3ee +xkZ+NGMZ0QhI6sbGij6HiDFLr1NNXUASFLtndnO/6HMlG9NgazpfpL5TjxQ4lveAjEI6TCyadTno +4rxKOPiXKAdTuL28s7dnBIqVl2ml5u5m/O9zGco2epxDo8iGYCKrii1d76k7/76dFUGyqlxDmxvO +3xhKPQ2ABwmte4jL307WLAtV3jqSwpcfedakpTIU032M0FPYDe8rtONVDZkIsSBNYEZTO0XpNC+Z +muwrw/cvyGriK+pQZKmSP5cXJTXlETMFzAWkRTi368hMgp/Wv/CDRzLz165fa+DFFYOiAao1zQL1 +B6YBSDW84SLOFwZgGiGACUL1ZL3oKbU/gXCg6B7eoNDVJ9ORZyX3nY1UMKPq3pX9/miEa/dXBJuD +KfCpqNX6BI3vIR2HFAlgfpC3nwyzFbI9XbQUdLSe3XNKmG6pz/5HZKpWe4q2lYmNkZYGloWgwfTY +goBaETlzBGAUF55+26kuCefxlgKrkGHnV0UGgJT/+gFdAHO06s8GluCqtH9UhmCAJQvZWOZZ4bp4 +r8ydNImjbdzRx8fuWVo4sc7Mo353S/X+iwfiJv/pNOoH0HvEHvjWHt5xZNy6UGdBERLNbEfW9Kob +UtW/th58fOJgfzyMpK71x1gt4cb11LmTg/AjX2TwBOMp1aVqHRYmQ1RIyRFwF9ae6LridwoE4Hv6 +v609yKOpPf2pKm9deXaNuTJ/D9OXK5GQqJTzmSEEGCZ1leTf5ZQOyTvjgZpycC/1VPPtqUhyPWFi +I87idCvfCJNRt1FlS69NWI+a/iECNGt45yKIN2drGBo1wcBKLem39krc+CFRS5V8Oj+EBIcCZOJV +5ugDbMBLLUg6DsQlLRpKE+lj507C/Yo+w3RbmRcCwThS0c4QWJXLzw1bi4B7SJUt7PwUBgyV9gQI +jk8NHFG4MfMvVPPguMuqoo4HJH4ZW7StYILkkfZV7gaReeM6ajAoTKdiO5+Kz1sMoo52673hSLMG +c7b2pLh6DuGsNAkzWQKwAGGKBBwWdJxLbYuzQMkc9qLSOZDnKtgpGr2JknzZyzDfLEqwAZBFpHmv +RwKfeOzjtr6s56VViZ09UhwdWtD7bhw0oCqqW8mDqH1+04QXyzDv2tQjQ6gxdiJaagthSpXdVgPQ +fFU+N1M7dz4v+Y040x75EZKc0tl3U6ymxrB62l0+bRkwlosR+Ar6Sb5woN8eF6SfBKFlpF5v39yp +aF3D3MxUgSyxpEYz/NKKj+T5GKQ+Z2tGertxKuEbxcuMePQoT93QAZCHE8dQvYCkB1x3oXkPTlph +mN/hhQalesDZ/Q6zjEmtW9jb3cVQV5MD5ge0ZRhRERb3TsVRfHBwih1WrxiWcunWpj3+o2iGCRbh +WwcwLGIbPJBIxFA0ij/Ji/ReeGPOgjtA6jIkw2bhokH9KJl45Gf3nufCYkfPwZpfCIIompYQBMyn +e660sHQkrsEbkze6dvagzWiG2swqWUJCPgVr9J0BTUbco87/UzrgsoitWO0qPxkkW6IVqtm625U3 +//b8+w3s1xbzQZCzK7JJScUBshyc1cIekYcniWhu4EbufnUB/FAS3H6oVcXlTqMI1jWH2mThgAON +jPiCb6esP5wQZ2QiZsDjZg0kaWznmKZJ3R70rtCNFA0tA9cq95VLzpQQHugMtU+yWP9JHtZfItTO +M4oArZnKWLnIqdQ2SZCCV+ayvslFlCNr/NcdgvfbMcrjXqabACvlcohXKXmpcykrKsc+KapJSAw1 +cvUmtraF/mWUTnkSbHC2XZrhh9B5dO/SAFbHEMschz9DEluZ7o6mUhImsmeNbQePqNXRjIOafKD3 +PhNdHwJcfHQnjpNW6Zf1n/qc5vkutNDGKYIH5douCmariCNvzgmJgIcE82+O3vX6PzQYuW8EC8nb +lR6ZazHIiU/ZE7vgeT7I3Qb8sL7HcX/EboK47XZ1pvQWGnNaotl7DAGOOc4GwL7I5Qp6gS9SUC1/ +uOeG+Og0SuuBwSPdkuYjj0IKvuk6jjcf5Gt9dGzP8cgRr8b0a6R9/0tMmSZXSv1QNPxF1/uZCCgs +AgY3B1n02yCNKEPvCHeJ9uh6VZeh5bIjspDCxtOF7+wpTGol19lwFnPVrb2wx+DcFnDuGKJcKqdB +7fCMV/oUOgGifxeSv+UQdo5g6dVbUji1LWGh1tcqpsf9hc+nsegimaFamehJfTu8+r6pzptEqliF +WzQ2Ac5JWdo6yZLrYVcg5LoRtqJqqd/7QlgKJucCWvA8A6TjQLK7/srQ0CQEFwcrSfxeUkWPRjNY +MjzNxhRc3JMMB42wkxdhZW/RIPx7x2yB49BHNJB35VJLyQWnVmNDAi0t2oy+nUgXoQon6olTfGJs +EB8pwslyDvnR8192PK07a+XOM2LR3iAXdUHscCs8ue3YoXHzQUEzKaGKpuRT3eWP2+gWj+V3srJn +KmFAySh7pSrtbr0NoiFgcBRcxS/l3YER7TTdeX14JhOHAitZIKQ30M+sLrUfWkdHS4Rm1qbz7HP5 +JNE4El9HYqE8V3/YHXHxnsjnJ76L/78hA1Q4UYRU86MMjWJpaPvy6wwpqQ3qtcwYKi3NIwtLMCcN +nM4vmTVZ5mHQ7EwDjIO5XCbe1O3mqPTLByWDyjHVSVYWWcUTmT/DfMX/1TxWDEGf8npodUELMkMz +tI7J+w17wtVeIgweqZd7gK1+FNNvGtWUKuI/kP/0vqMk749uJBKMm0numLXrBrSXip/0gdwcUapt +FWMXCzS8hxhFVxuDtKYsLj1YS3IykfaUhspBlOV9yYd+L2M13sY3d+39jyJHdk2MuCnGb80e6sOp +6L0ok6FvLvqee/cQjQNbrKzFbA4GthDwSSKIBOjn7SigUNBXmoH6uj/QFK6PMpU+ckkULPvB8ThR +081S6QXQpqHCJm4M8ELpVc3TmG8wToBxV4E9ToGued7m56SoGeaDz6eet0BYplt4ClIMQuBxymqi +Fu1yadJHDrAA2jg6+ned5n0Hxeu9Lz6CIkmyjwSQje/w9KHRSM906nVwGqCGRIeUpUBCX8F53l/e +iLK+x0XjOXbLuk9OkzvJheEQeJsv+LL1KAayWFOXkhRoVqpZP0EVICAnSafvW+9GfCAmVbyl/pFE +5Y7dCu11BLGC2cRSwFKABHQOpTtFV5/jHWxJVs0VHMvlMSBkPW5abYWTZVH36ic6oQrxWdiff5mX +OG4GtT5TrqHj629aqcXAq40fZgXcextc48DsH3UTOCCKzfNJq30tgBYj/lvK2dwDZl/vy4Hzx5aj +Fe1XXNQcGAaB6t+BiWDZ/sIPC90IJV1XSQ6Ru6oxcrVxcKwWy6T9xlFZ2jcEyWyxTu67KJsoASza +Ru2oAEbgveKLroiVGsuG6QLNP7zhnm5dgTftGN8jm1DSw6zUChBqZq1McIYA4lUI8TUjtU26xssH +3WNUcJ3tIodRHQ3j5d4ARmMXk+OQjprgOkQDlMfKiF6hGtXM13T3ChEvYvlswPkLHhRGcwh6gVTd +mn3mnZ3+EYPaDw4x30Jd1om5fDkfjt1ZpFeKCRoo2LuvjRnail4w5oZP2G2dGQ8S6Au3vf5+qB90 +xtuEOAs1QftrGwrjRPPkjDc8JzJCOQGCIVXUoWliZ45b6F9sg5Zpa6rzHMo0iR5t0CEmGTDt0mu/ +xwmPLHmgOJheJ1tWKu8iYGx9MkB00xT3V3ivL5wZpKDV1GCBMJSS6MfuwA1POjyVMbORUw1eGylQ +r0m8KdfVQdAmqTmIhFo+hVtSxYDw2akHu12H2t8J+6jm+ZASR8QES8toCkUbx/p86EBrYK19d57Q +OoNhiFuCIw0XFd98YWne2ox1BhF2qknOtwlVmgg0/DEnsMfH7R2u1Gez2+uI+zL07df3aVSWDYyh +HKH6jICKuJCNoPBGyO1IY2fjmLsqECGBKiv0VjgSJq11dO5J4JP2KV3RrzALz6FqsG7e0YkBkLyk +UVJKL/rxPd0SOtelokI6rPCWBqT9P9zyNAb9+ie9O2b/2ptZuUPCpV3AGWas+a5nx7zWftk8h/nQ ++TvHW0+uhZrt50ulJ0DGBPccwzDAd5LcDyWvoMCAf6P9zojSesAFl4VyC4ZCUGAReihPfmxcuK1s +PGA5qhtmH9fX5n0ibFZrGLvh78ADOA2lC24Q+Fk8hIXOluTgrX3F2Afrr+GNkcH/jOie2DvjTmtt +kVuNkFF/xzESZJUZjQmKpa8m53NyZ0WKUFWAsL9972jVPTg5FT/K/v/YAeESpcGMRb2vdQxn3J0k +7FvhDkb97R7DzFdjr+dS6c8ZJcDQZiQ+ZaaUZ2UEX8P/xtZk9QjwXnWaTMrcMzzV0vbXi3a9v4SL +Qr1unitIdBkmgLg2PbqLWXMPjxt4PQSxO1h9ayR3AI7ShpdS4p259yPWUm5pvqxHJcwqBp8OkyWJ +/Pj2mNFl/YaCZlLKAbGjpGvy8urb82kpsP9kGgb/gk6cLvC0XfrglgwIgpqIQkMOgm4octSNa70i +OAg/uH0fwekixnA4Ebty4LLdMhK0vvuh1H15NJPjL+MGJiOi2vIgr2n0Z35hYu0dXnjJ5wp4N1Mm +lcCIfS+1xPuBOeFG+hhXpzQHNsKtm7n07xyEmdxI//2g0ZNraJh2WlVBPbyAeWz8+9iVomU2TNTP +pjwwoDVEt4EU80KgKcdm3rY1kGkT3wyeqqXqo4GpA1KWdQl8j/65Grxyn/i1dPXPc148ATlgVaau +FAli25bEcdS7mtwbJ2BuymuAAzTUOSacxi0QR4YVuOwSDjyc3JQYy9QvaPR4sKqEYPTrhZNfHXHR +ZvawGhYmnfZkIQXXjxMRjh4LSVlBdlwyJSpqGqVcfn0R076tAyXc+I3V8VqANgDj1c1yofacXDVO +d4WpLxFCTs56BStD4MN2O3k/FemYciptUEec5Ks/wfpPYrawqBve4uAr2ck9QLOAclYFl4kE6Ysa +MxULa2u7QclTgq/bwrCQDWVfrMHIrihet39aK0sZhoQlpRBNGJPN1FWGMJh6dSukNekAzPyAn/4h +HlKuOwo8vmtyln16RTZBgOdOnmjuYna6cN31rlV5O3AA8bc7Z0eYHuXHBMzoLm4z9nrNgn6nmSjr +Bsaa+XemUVmAC9Wg97JF2fFTxOU6TkrYiIjj+G+2K/zoSAhJSM1QCGaB0TePAKqe5Jl1pNbYNcTl +XbpU0NaNLUkre34+FfXDEWk/+tT9T0ain+MqmDDHDJR4afQ4iDM+C9hDfkRjika4/S0aB3vhOv/O +1+q0Gnc7O1d7pvRxv/YAov/L02U2wAKQp0TAUUs+/JP/WEgEEFpVAH/F9phi/ESn2WxqPr1bxU95 +zVFPHXytUSRCZceskN0R2wkPh0QtlLTsew47QGgcei/33l8U22KZUFVcOT+Yc685HJGSVvbSWOz0 +H6KbL/mYud/zCakDogMMNgGELjw5kYIzoeExZl0B2rsEtcSLado7CZPmc5ms0N4zqm5RILRDzo0o +eEf132qDw+6ZedsihDH4Ijj2btN7ScMvxkp3BlezfS1xjtJwrnSa+xEhqpML4iYljKv8GXd0GYiQ +pO1hh0zK6nxnOnUDvgTh5OelrrJMgaqjj8IqeAwftFzLEwv2TmZESCUzX+bJ+y1RbAIKE/ql7r8C +aieTf03g3FcKmo3gep0+FRsbzqgcktt5z3NvpKDu3jQK1SwP3jW4opjbDNBZE0V4/tyAz0XtDZhH +beJI18OkUolKUGrkGuaiFCOSDY2SLoCt65hibJtn6Puh/KcgV2CzFaxKW3TBegSkC9TNt47/D/vW +ReeEtYfoHvsCovuERnS82rrhZfdgL4oLMZ37mmXmwpYiyibtIkCy7Rgi1E13el7d7aq+36t2D5BF +b4a5MUc7u+3CdqPpWxN4BnlnCu6tmUrfUTRNJ2Jejg9ICPz/Ol0ZQTL6lwZbBHgywNEXotbc5OXo ++JwVOnSUoHVXZ7QJjrcc9oBTjHV1NeYWjMqvJS7bqytiT0elOcLlym5Ld1Z+o9mzmth5I37SXL21 +2h2QWK1IlR1nCpvtQG5P9LZ0Y8Wq4KLznPPWyE6zxQOPeCGnTt2a1jCJcUnqTpwoqh262IhJ+shE +hOafuMylhXFGU830LGzZMwk7s0pHbb+LNdmg00FIjSalZrZ+zz0uSfo+CnWlTj5KJ0OLt3Oidhaf +T2KE5kTWX2jDb6K5hXEtOcfmIXwss1qtD/bzgrTJMYfphgs44EG4AqoD7skPoDZEksR0DrRWaseE +d0KVApZZQt7NXhiqplOHuWS1crjfnMgDsArafb9qUV96eCaKSeLWe1lQOamwbac1r0Ts/1BKqZy7 +wbwESghwAO0fN5lkoaeEtXqKSY1pjH511GnWzpc7ViGt9ImqDr9SFf3if/bWDsmcE4viGEm0b7rQ +KRM6jGRfKYQDNzlBfnkyWuwpkzqI0cssuaAqA/47uEIHo9qsMXVNbatF4/SStDttSpfmPxvM1qUz +Xc8tHG0iwE26W3Jekkt7n7x8YQnWXJssFif3EBc0YmMCzHtF9nwk1jijS7q6GQ1TYNBgEBDvddI4 +v6qIAwS+vP2CZF/lX4cGpOGlKj1aWJScXIF4MTJiCV6Lv7VAI9dlFczE6e9e71xSqLmNAaUCpogf +MbCg7n1c9hpm/BHMy4Z52APrfzrXIoSCTvqfcC+TkrBLXGn9YKPHlnyuaC+4akjT78jqze4m4Oqv +jm2BRIn4fa3hyO7kUU5moIOmG0z7tFdR3s/lh1KSMvTBtqgaokqkRmhyhS++GdjBzz8v51S3ocvY +lRkWcvbBlIlDoKcqDvQXqwDhVRnUGfAEWsNJflocK7DMkJRmmif7IaWYDM3hQXnqAFp5rC2hhDVa +VC4GmX31reBXzjPlZOoCAaUPqVhxu7twsrZDmhSgHNVlBBP3zSTTyHkiiVPpiIqJEl0SlraXAw3S +1UFdAairK4vfcRkZ2T2aE7S5lFvs9pZnCHZLD5xQHDw13lEaw859AyO+iHU/kvTgS1sgaFnIoV7R +O86rZ0M2//R4pCHa5gAHoJWCS/AjdGgzyTTrdRNgZ8WNayKCnpjCqJvAjiOvXkPk2BPtZlsneRBf +8acURB7LbrHpZp7+GseUg8khk4MN+9hxxR2Qlr4suOjp5ZovX4qmoaCvHSMo/LFw6yb0Eq3WOTtf +Dj0abjW46md+KRkFlPO7ANtjrW759b2l4ptF1RbeBhq6a4ogd1ZtAgjJzOG4gXt7JR0iWJ8aKOfz +O06NKNcOERYfjPhlv6KfpE3mL8ZM90ZHoRz4G4YaRSiqDKd5cnxYL559KoP74Xb5FNMDeOjfrTs1 +xdfSyHmqQpTLRNd2jrrdC66KYRkyoxxMzmfnO0W5wuYNlhuz6oEm9VT3er5lMlTWZAbd3ooAWGL0 +LhTpYrkL0cQfkTINUxhK8otA6NK5A/jDza/0rZqIw04/CAkNW0E6lspd8X8xX7q1iekhViBAJH/h +QYZPuCjv7cjtINCwP0lMoF2zxB8wmkQC5mib0lqWFYP18Z61cwPx6azyXV7oPTd7cYPfswobcNnf +Vt58y4PxUmOmVTCnXBObsqfc14/END2FERKcdQnSRXuDQ6262DT6YNEMGTPs+6vLYVoaFGRk67Oy +gQpRm+6qzGtkOz0/46NKwsTi6XwavYLD/w0Weea6SYknJ/IJUIPc44vrdPW0xz7DwnntVwPQrZiw +wRxysViP8WYT+xbzMxjUhNmFErMDVy85g8WKAM/FjR2PnMJYjA1hiLY88wzAPAnJF5RJmBCf/44+ +sqQlJM8aoPYaRVoCQP9OEqikikBmfoVZlYNO/mO5naTU02ZEkAK1y29T6QKWBF+kQX86Nxaw46pf +AM4+7hIeKq5K5rmBHOJAade3zHuSWcnk4NC8gLfCfLxxe9tnfzfXyAJuVKXlGabB0mIYg7NlgIgi +KRkI8+BNkslLngPmWUvD6RZKny4HOxwA6H2qks03nKjVFQcG0N7PC/v56i8BDEiB9fFu9JBfxpgV +xZRDPuUhsLI+D+udRDtMIQRH3xgdCOSQki+p7jvgbCduzeXep37lt9/zRO4V/5ct+Tv22gw5twJd +N9K0EBPI1k+hikt0bSSq/2kLNKB77AAHrITN110Ag3VOERFL/pBMSZAJWnBleBlr7YdNVcOjqTWM +PCoDbp7Wwh0WRjpg7l9AR8LVGJqGt+6YVch+hxizJEP5IetBbsy3qTYwF6uQEG6DiXTbhMApNqJ+ +hqMHn382TvMKONYhT+aj9LakyAJdO3ZnZ/CB/lAWZ62XvubnmBYAuqDT5NXLoF3b1XaZmLnj3Ps9 +crrkxBq27ze42ftU9KVJ1NpisCIKvM09FPhu7fjCj8pucYCTCMFQhTkHLM+pRPHzscjLFhE8ysUc +j9NzDcSolrpvZQKPIk3jsr6PI1gPGXl1RiHkDAHRCbnRpKFcLPbFniAz1CYWTT5OWja+L3AdFBNR +hIF2o2SYizj4+delTOiJAlumLtGP1m94MAQqV/PBNG8FJkJk9/dSdKj4JNfeZFprsqrjq8jQ5Enw +F3jYn/F5FURpxRVTwkuazg1CHZoKa/T6h9IHOGJk71/2jGDUfUYFiWkCNcqz+7bjozDGm0RallF0 +6YYA/ciA3aRTdz03O75DpIak1pRsat2mJnVw9AmPy0yAm1hd1FzXBDpvH5CSHN6wzRrt/kppAFzx +t26gDVvxwNQzjRvvOwpazlOBxvYNMwH08ULvyUEL6YwHjaopy+zTgNRX6Hrqv3TJ7tyZkuKcZrC6 +2aonXhV6U1JuBTHhsjqQugA/kB9Vsbt9sHAyypVelab9GuINx8jNGXgLcq7zyu15mtKj/jInNHYI +kwlZd6GOcxBdFw2nJtMxp/gqBu2REVLf/nwAxDBZi1Wyfk5qdsg+KxBwHuMz/vKLxbwu8T7eFZEs +x8T80mbGVd9hTitCpEO6SgSkXQ2Sa+kUzyIU/m+Y+m0sBuacoV4Negxchb0VqgS7zyK98ACYND19 +tiWa4xngqD4H5+lO793c5w2eSjbMJlGrAFu2FwgHoNhqte4QyabicRNovIz+vL95OjJ7qd3JCef3 +37uRWzCcIHO/tr86snfrPb1s/polrXELLSc+PhPf/3MjRMxIZjkObJZTPH3DWQi6KcMiIk37E95n +cFG5H+4PDdFdI9WhGrV0FKck3xH/KCK95q1JDBdT9btUFW/xu/fpsiGQnMYRunA9g00evbagMdSv +4uv3vJvn2SQVYYBB8ohzqmKN5LqSXIKc+pDGiXJ/Lo8Rz/OmHSDcGcux+tmkDTUfq1VoohrbQx01 +nfEVuS6oT8FhaEyx19mGDmPg3h0wDf9OmiWK/MBYXTOj+2yB6T2QGSH75RuNhmBfRdCsnPJFgBj8 +RjU5eLG7BL9xyNQ20gTeh9oYntbAJVS2tHSMlARnY0W0h/lGHrEfqDzdFIGaCHaHWb0LUwHjhUYP +cbQ83+BJesGdYwgRkLGX7BsF2yZIEtPi4GZ5WVrCdERxRuKvOxZlFHNagCs6Ppcn9VZiqyA70t0T +/5J1Ykw+5gSrikdIkdxsAUQ2vPsDwr3Km8OW5sB62o2BPb7RltNhJU2iDH4YUUIUMaFa3WCkUnJd +RoGCC69uhhTVjyEl/PobPMrTt8Z91hPeG0U0XFBYhmgG9PDzp3SSrjt9KkP5wHhywEex9CJdXzJe +dv3omM8hE8/C1n/TCLIL//egSZx4ATiF4mx8+W2fn01H25+3MscOQzE5/F+CIgJit7NHbA2S5UgQ +OvulYU6IJgWV9gk7xswRIQvPl/1nkSAbCvavHdNuAbQUP4jfeGffgIWhZ5T8wyrn9lL17mUEXJsA +GBmognYQ/2X+Hmo6+8w3NEbyd3IOyt2kbVd5dVzOedYRCXum6uYbxW0SRWgwwgL5MtNoIzkY1VOw +Py9UBJLfPkAo7LD329yCaFCMcGrdQENUNync4K5ampAuiWpYRitJwYtLf31kFoDhA/N/5Hgyq0mq +hc55iX0JBg1G5TMLTzshFa6yNQjrxv2gks/VV8MOXJjXDq+LnHEqXiyKJp+gJVJEo331c6C0Vo53 +ikSifPdFpmg7U1HbXpplxazpwWH72IYAdZsj/kC/GIoUsAI2zUpiQJLsT5jpt2BtjV3RNR1rD8a4 +j711jUXgFMQEImXoDlwvSkx/phlnio9yBCWJCrs034YkDMp1uGxjtPMjKMTcmVUIuF3cr5q4HoO8 +9JHrGtmckZaSZ5gBRS6w4h7vOfK7Pb4rZCOww5hqrNoSPZ0LUFGmcsCkS+ycVQ0I4VcjIinV9VZ/ +5IrQOnIMLrjsErOO1415dMO+bOlUbtyJLaKRI1MjeRpWvCRPhOXFJIIpAroPkX9JlNU+cch/cqxB +zrYnM6Sp15BQnC0HnY8DKrySwj1GNPdmHx2heLI/ktUiD23qV/wPZ8ileX1kB2x8Xq+Zi5UNhd90 +LkWJMJOW1gfYmFf8XYx+7W/4RdBjlZEF3TmqTkmsK0pAjcYrpi6jjP7lTlXIBR3d+ZPelDQOkaBu +QTrMLMQ8SKvTOIIF6gh3hPYj1BJ0tFrDtqsj+kG3BUsvW+mDQPCorHXchqRQweq30rZMbmrB60r7 +fMulAYxaMnxNlADHBPyfCPFM8P15JBRHcXb3ChL/yyAeDvVbiLTtK2fTfgzOYHc9xtFtMoUuIKqM +KPdelW21zbHqRcUwFAj71N6lfyMufW7s0yUggG/nUwPneg0mCkGXpJISaLsrB9amN9UZRJK1uQYZ +bW1M84I20/RbAyuBiTvKrnYsfYdDdfUmbAdbrX3c3NflfkN2jagb5PsmQDMKy80taT5QEy93Busz +ZDNLUvFhwfZ8IvKn1CgNWsUWtvvXleCoIUXpM52llUKIndgMlsNPSugcMEumDAo+Idt9uBdKJLjt +j65mmd/3eUz5CKNY8Kpds0qqaa6zUk1TkUsrP69MexxNvbVx+7cpOORT8sJeE3J/E6e5JUeISIFb +/tWPUcPDFb+fRbgfS8FB+FIQV0GxczCXd0W+qaNlLX5nobsa1DNz/RroKOsFmMoZ7gNqjPfcCkFz +1szscqS7bHS7nRuBHYnNGDU8xOh6jMyT+t+FUWbPt0btGGqPnrXEQqk3x3ExVCym2pEpxQwY0ajb +jpfrtrFuO5B3K1gUywQ9wmW3IjFi7SVc5VX6QudcB7jtLIANFgxHj1/6Kp1VKix0Def0jzH9E2Xr +UrJ91799/Csl3ex1X7wcxe9cqvJ9htiWeB8I40X7hAbjlKVGt3tx555RtsTAeNrXvHp+TVquABdL +d//CLqRVDfvadCwf3rqwyEoqcv4nHcHAZk8mUWgyEEw9VnPzA8ugKkgF8/ZT4AEf9l5wpJfIcD6b +ksmotuDpREeMpyWMbD0jzOSTrqZW6eo5A2LDhFiCSWNI7egZWywWLtDH7UfJ/BEzvgrrckV+XUdc +Dksgs28fdmJWZtvhGmqw62INoCjHwFeWQ4sVwpJOjapEZ/t30SWcHHJBjSp5lU8nlF/ONmSoPt9C +ATsS/7rOLVbQ9sO5lzXSNZVUkCkIuBBpwhSz4Wg0xDH6k4Bx8gC6nAfXBY+uEIDBynhuEUDvwGoC +/0VybRMmJqQHTGXkdSwqkHi6yrfS9Sw0+AkoBap7xjx/OcwgxeR7/ZVn0wbRZh412AfsxeRPPqSm +SzTh/OR53UkGJNj7/xaZS7itHLfLxPEjkMBJTe+6DD6MEPDSm1E1UncgP/6P5H3moXeeTgIu7PAz +jFcLI/H0tQua4TeDAIsMWjMAo4CZMzG1m4rz3d6P1s/DFL5SHQX2wU7RbUoiYmLW3Ndn5R0xv4zz +xZ1PuOiu4JzrVt7bRQYNHAuLrJwBA/OrNnpCGY2B0NLF6b/Y7VnCg8xNVPV353nMM+fWzg3JU8pi +nSGal1zAPIdiMDnRGwjEYcmDTUELXsg+uE1HSUN4wX7bjhFDjXhC3fBobAH12Gnbfk3gya57e5TP +VmVyalxjUk3JLQZW/0NEBcXzj1PvtVTTjcF3omJm0dmW05gbheZpuOng8Xp5vsORhjVe6kX9U6x9 ++ARUKZBBkY5A3F6lb2xSudlRE9D9cPvMiUEvIRmFrdwJTy6h/lylLlg3HLUZnUgQSJNICD2SJ4a5 +4H6QjgDe6chNtzSRD6FdsgIqlHN72Zc+ca/Moe+wPncGmw8/Le4qvkywrXy6X4Sqc2L/opHQfmcJ +WsXIkLeLUBKKdpAjcyQnq/g1RYYzU7pKQpeFF/RvqMRD/+f22Y2AmNhqSk4HQb97JaawkzhIaIRu +21GMs1xRxynTl/Must1w7wsDEsAwmuQBpTHbZG8ZQxcey+98a2id8ER+I75hdrYe3Dapvy8mgeA/ +t4zoMTQRfcORSndyj7RJUm5Q+AVRZw9dq3IdH8PBBAjy1G5RsmKE5U9U8CIIOyj9CKDr3pPWwcuk +b1UAiecijfkdIMD9k0ZBB1RvcGTA8sSe/gJDEpjiesiNWg/UE9vbB/nC114PRaSOrsa0S1uKUJCO +7IKs1UgnrUBGBiDtdkcxTia8s+UPCa0p9HOkNzHzmHosKIWPoNRNaRl1FZxzojwVBoa3amDDZVAb +oJtnjghihyl5DDGC2MhrFsokad6rQBz8mBbfhBmJfZecHQlUuNnbaayVNAZfTcmDB/Z3PZdbaPoN +NYdoSQsR8yIcAn6hgqvI79X48XTScZRS/rPVu5r63VoPfGga9zIlIj1lElsD0S2mMMhrSN+ZjV2q +eil2pnq9Mt9hdt8n8KC8EG7jR872HlLUdUCmo6fsndkKHi+fJ0A3x3AbXbuYbw8Sj3lPZP49y3ay +KCx41dUxd2bczHA52FVYJJj/vZb6UuWtUqb7eq1PRfVUkEVTlfwJ0lq03fBO3nwclHOqC/eKPq2B +hx6X4wLIrs7sU3rBpf/WlA7z7+ku7vEp/4o9f8w6xTAky8bG26+2RhZHhVmEzKjiAzOt2iUxjiV+ +0fqCP16JruJUmrqoJ9pCDO3qF/t6sTxRm3Dhdzxxi8o7UjSaHimEOauLn2C0t6oMHdeqo0hn0PdA +yAA1RLJnI7w47v7uZwsw9GeF757TbJwzzAmh4I+lJfA64bssvkfS4df9KPbsWQba/2pianGbFPaF +xN8RhYVOw4V3AyzWrADVbnOIQrDi55x6VZC5ZH9bn1USQeD+krudXqf3d7FoG9jcb/lbJgqZBJ+K +v20Wk7ChbrhtbO5zqgWUzv+fNI5OPMw+hfj584QI/WGJuRfP6unKv2niTLWSAISYqDpPykOHXRSj +Lv29meMoC/GW7zyPjkfwY6ZIBRFSF5cTx6Pwox6vTzUOvgX9BSjfXr0ClW2MejHhk3OUQFXIlrHG +Vc5OWqHtsAm91AGNhMxvO0dPCJKK0v0puxSzwtAEuDyvohSsLQtzDu3xIzWPB0gnAQHkpVLRQPzh +yQ+kEx18Dm1asjB4Ks9ojt6FpBRuw0x2QnHi7jyGb7cghOup/W8HjiQEEuHwBchuKeERbU9yGNVz +Cyfp29QRym5SQdBm5/v9PVYkn1doLkzdM40Cph+l6SwR1f0lXKTMOZP4jP8ABQTFdMetqOHxkIKU +7hasItKxett+ejOtWcEkv3lm2fW/uGo9GXpGJgk7nYy+8dxeEqefsZBUy7oxsKO58/2lvZatYhF8 +ztzk4jyoVAc+WdCEytP2trSNBcwDhw9YgqH6G3vmpvbGBTLOBLlfCQQFwdbP/hFQQRMGv4rKMGIb +zxuxKbSz/cwcXNHICKvab1F496LS3mn7h9g2oP9iPye/d8+88Mb8jPBx0VGA3SHpCaG27y7Dwqww +wcPCYLLwTWGl+1rJ/Qlkul3xRw6ZXOBWVW73mRBUyJGFzoCuEq1G+G7mSHDvgZon0Q18G2ZK5hAL +XeAYDLWr2Lv4hSe4Wxf5WlTD/Gvh5MgdzdDIsbh2wHN0DCw3Ixmd4thKZqFHqUhXtiuwCMr5xoOO +XXI1oi9zHar66pECJ63P/frVmkto1FmYXKlFFUvFWBQdQ3JEiz1iT26SZJc++Ub2YtUhgyVdG5Ay +iLNCzrbVH0sxqyj7OAuvcIbfP5vwNqXuLWVgkuZRStKoTKMNbevO5FeAO1SxSckCiJEMbyDx9GL7 +sOs5JZt4yqex5xeKsO+KNCSm9UyB/AiJpcAdF6CKSNjJu5TBiieePbiyFjb9mggdDqVjiaubvNex +NLhQpE48T8YiYfjvSuWoU6/Ni/ztY80Pd7tXCOTN7UrhUjfxYOyP1NgnACDt+97etQ5u9cGn6d9r +bJVn+gbihqKySte0AdwGVcneSDN9i+jJX4yk+bnNWhjjRbznKLPiIRYjPwRpEe273lfgSSTh5wpt +yWuDGrU2cSbuOf2piT7sx6ArqWwbjEmJJ6A9Je8Kit36soqWYr65vw5zgFyTxGWYYAY3HRTWHJIL +qBt9BbbYcCgSj05y9nK2yLghL46y5ZnFsB6AJ1V6LAz6dVFg8qbgcP49s0wB60Sd+sjj7ci58HQJ +wqiP+P0egTGJAX3VPg712orxWBDdBP8I4S5ZjNQKQh1kVvq1s+jKoMVqIx+ewrc6QFCYBqNZq8Gh +uq3+4N1NP61sy5i+sch5zj3i0Z8l/LHI9YPr/aZbBbcPFUupPt0THK6U/5HQ0Q3P0uWW+7nMNvTG +mZna19vMV/GzIHYWi3wiAi0QkaCw2K+Pid37zBg1jx5kbtkxHONBHVs9jBwrNyB9alagao1wbrIb +1uvezkn403ieUREHnuFmnO0XgcaVaGfq6npHq8Wijqk+WII9XNgQoJaIB88+YurjZ8IJnQSFZXdf +5nDohihxBKZ2uBmVMw445vXel+8vyNn+hOloG7Y1OEVpj2QN0uMRDKQarOX6m3bqMhE0aGRE60WD +6yk60yztA0AAYbUEGP2Vvv3S+5hTwbTQGGTrdkPfwig2ssJNsH8GAm6NONdDxGG+UvgHMKNL2k9t +afXty13oC7+o1y4IcHq7K68eu7jjnFa83cvowz5LhVsEBGk6UouCtD8jmCxyZvqmGxFTjGY8pty3 +mGnVvalZW00eLqQhEdPOk/fU7p1yGK7toyULT5YMyr2GqEdZGTzIsG024CdZYDbjATADqp8ZNgym +DOVLGdkSOvFS8mpj/o5vzttzCWs5bkooO53i7SGoeYlplSKLPVb3qFvi2vQFNBH6Pyn2vJOeLq0C +7H3spoTgM2caQ+3DIvylzD0F8u0l/2k+XVNXm99yy7ocx/KkwxeOr7TmW7dt4Rof8v10uu2dk8gM +DUL+DkYNvSJqY78bBK2wIIcfFP53hQWhx2S62B6aKpTxdGhWs71kqQqwkCjIT65p3+vHngMrMN38 +JwvbDKFs0btfzJF+QAqAkIfocPBy0DEHn9H5WGesSoG5+aean0lSw9sAOX01F4dx/CyDySOQnSXW +76ORTrV8w7Zu4hiVl3qOqgIwTeduqcl2RvTOUcVx6JgR84JOj862+3cp0m5X3fSSQa+KMTgEx3qN +zV/w6qIhklAH82JdSOWvWPSWDw+pVvIcKZSklF+b44pNb3nitPebXRIKWovIM7CSyF/U58TxDeZg +lbmUeaWr/ODoSN8aKc5hkGpLJF9fYgBZ/kdTHGZCbP+xaK9M4Qby+3Pf1OcLl7Im7qnx2Qht+t0N +4Ver+yW1fPLMOS+eot1LaDOSXn5C4p9nswkWF0cWqplKC3bP7Q8d0HC7vQZL0xDbfy7y/fRY7H0T +b3mAHvouvcceQ2BQyfWRDg/mk4ajTDPk1WTgt5t7utSqIe80/wHRprKy+vgxoQTOKN6ceu8QujgC +y9O+pra4+af1/F9DVzj/xuvFOmt8+2IPks4XuuinvDtNo4aFFxe/BV7Qcel4It6XZw7wErqTqb1U +yDqhRgsJdV90H/OdYVTcvk8e2IZu/ZW7UbBcmqBMQXEN25XUhW61eSwVFRl1pZ5OGevpM69dPdO1 +XxuJ9an65NomEsmKrk66PIzSM5YgSCXXBV2hckLBl77EQBzQqDfv+kylAphVOs6bwacA+Sw38UCO +rGPad1t/uBex3NsZsGj6CuK5pHqATng16sXbC7f6Woyq+NA5dlHix30D2C5YDhJzwoDd7MsTtkeS +zMW+QT+Ru5JrtUHHZTt9BbyroG9CBo/+XG7xPo5qx/iVBFqPCJcQq3cJr7lofoOhdubLJI3a1jN7 +3B3xokTHeHCO1rp3fpKX+918YJiI6L2wF6wo2I4W0buHFs/u5NmTMBh+nNzvZm29zhS1M8iEzR8F +tMptVTO/Vy7KE0peLZR6u1oz76Sj0BKdqB779/+XQ9Wd/Arge+EyEBoVEIj6rOs+v/I0tQ1bY+kZ +QhNsIyj0s1dfghyjlwygol3ZKm0s5aGoHH2kdZ6VAa4n9E8iGV1UrKVt7WO7N7Mgk5SuCdloDFgP +jgrPIlyGTzLjzhUqySXt1sPlx6bIdmapNCVZX/RHuepd3Np9MH8OrMeMmX3E3wn1VORrwkD4Omij +QuStxz0wy43ce2qqKMzquWdY9q9AxRo97ZAeWf6Ny3lL3bE+In7mkN5h43VBd9fpXfWnnETrgQ6i +V81WKmcITPIrgZo4A67OXMWr4XbElLUKxyDkEQTZ5hwiXvxEAfjKvIu9c34UGpMsWrWJ78iITgrt +F3gYBXVfJyYXxzObPcLVsG983c6M4bEyNEoppwi9oasz6AKce+JVZN+Y41kxftjRkOemhZFdELMI +JlqmrZCtEy7u7PD1M8a1lPkw0oYQLVvoq7SIEKtLWXGTOYaDe4Z/BVtqWf69rW1fjtllCBg/TyFl +rX0ouLJFCjOFodRyVS4g/rCvJCL2ZpEfuyRclFHSqNOra298UWLbP+9V3rhX5QQdbBsPbgbdl689 +Oj5O+972M0Jyohe/NHD/66aNxb1FglanjPSDG8eGgsoiXfzC5aPZE1l+56oWHeY6ruY7QoMbnZFn +1Ofs+hILwEnl4oVuVKnI3vv+R6Ci52cNxxjTbDWgwRjn3Cx6Fnc8I7gufeCPqkBU/ZXZ5/GhoRwy +tV3bYhxEvSSFcJsje7ePADq8NMbT0CN2tBVt9xzrak4kLWImz5vJqCR3HB3LSmiU6nH/mh3bWYlb +6NtTIhqAj6883gd1cVRRM/VZlNgQmkWv62HyuXjl1pdveWHCw4Hgqtevk+Xhuh1yGCfnJXOzO/rK +8Se0I0fAGYNLxvqHOj4Pq+u34q6QIBmlSCLrC5oDjCnZBYcMRGZyQ5Tng2kI6M78lhxW2rt3JPdb +21uewdvhQUB/+cqKUHm8N66Ck7nPIpHKQfY3E2yebZ32z20JnteTUPfGImdFGiE6gNDMS/35zFC2 +90lV5jeeXt5b+OAW9aMsLgMH3y+CkTa0+3ZCVYdPXyLMNWHIvSog5C+rBKxIyRQkjB2nmIkpaO2u +OQlFkl5SrVKn2zbVVeagLwN5A+p8DSTuFNHnmWbnRoFu34HUvCH1p+I58ukCGi1CQ0dTb9FPkjaQ +Ae76eG0hY8EqJ56YcoslWjJOS9aw/U4tbkKOypCOsDcQOqEp4g8C9c8qargrkt2jtD8FtYhSwO0S +Q4ati/+RENRNe/TbNsirFjCP+ZnhyvGrFII6dq+FnfnvoR2BqLffJAFbbDX8LjZdRuax7OFckZVC +VjaBVMmkGzj9xuBBADpRQSBe3f3NnLrseHKkMge8OqXYxxQen162/49wNxo4mhlVnH5ODrGdlCJ5 +NGPWmeCV1SU7Qaoa35gpsqJKoypzYt9tE0xOgUAQzt/xmmHZ+5eilHYDyh/l35jrhAYsYHJmcs+l ++xT4rii/L+/KJ42fiK0w1eUdcXAYjpib0RvxjZAsFhKkB1PNeQDBcuzwnNhhhP4bgqVi9XMt2Dqn +heOIjrKzI6Wco3GwRXUBJeRhm6qpXhZ1J/Wujfmfw2PcmGDkJ0r4Fp4Td7SwAdIS14c9PiBksoXB +S5ebwndkRJDVPo8qiWd8B5Zlw2GKLDmyNtOWMc/2GQ3SDntMp70i5ewypNuQkntXvESC627f6uyV +mQwrrpKPcGpL9QluTBqjnq0XaKxLXGtfRk0f2vwS1fy468bhKfoBH0qq0iA3/c7XZiVZBHVoQbEW +jc2Bq4x5/Bkxmjnuu8OGTJGJHPhOd9SfLNDRXqVp7qFL/NODMVabUU8hIQE8HA9xqqffGYNYwW/c +tboQ/GCkJZotwAJie0fdwX7mYwlcT1VA4ad+TdD6s1aYl8jmBz8qS+6wFb6qEaXxoqbyWqInEVhc +GKhK6GEMBFJ9NplS1AHMGmzRy+A1/YpapTrSM7OM4h88h2mNNwAA9cCUAeUpTDEZIBSz+NhTidyI +STaiQDKnSKK7acie6e0NB8UNem2tOdPKsmvIaarU2npHIT9AS5OAmnZWqoM8t+1a+xVFqdXyhTJ/ +kIYycdudx5ZnLX6YPuyhIDOEquJW407lyzbYUDBtWltVdTaqgN2C7XVgMoyMFcDRgHODnpCWgvsK +SltmqQRBgvESzhjsibYwMjAhsRGbSBf0gEEjXc+i/0zxmon+KdDtnWlb0kdjK71CEkEHnOe52miZ +wKJgMa+tv/qMvEEusT/qK+1x6KaJU6R4QTnwtSWtIIklRPcOHWXR9wOsFGFDP7Q8X645Zwsur3Zx +BoiJWdwzE91NimugEqyzsv4kkuTvlFuNnfRjcnqdE6pHGR4AeRKgrQS1YZf8bZ5xF7SaQip2yKH5 +6SMANuY4aCH9g6quI1H6kspfPmLqgb7XJ0cPcM0/zl5TayN0nZyR1wz/cMcAcAOmuRYn52ZRw59d +CzpyyCtPsPks8btKRHc1TVKYmcs3Ji82ECAL1gbdmDo+Nwxp7iXOeEMqEQToFCgRFQmJns7XjQR3 +4nS7bFU2Ykfx9dMA2so+VsgGQ7HUMBwokCWdcmIT8zYdsEkk5Gq65Q4sco+NtE+7W4anGa0X91Mc +uslIxv7JKpiq8LPameiMqnNUx9b4KZmm9nkBe3GlGfE6vBtoAWPWSLm7968WqzM3uadC5wqKuBw4 +yUwQ2/rxruEWbyeWvmFsOTlAtZ9XPG4JMlATqo+pxtWJyz9V+uHtsNXd2v4VwjEyqo9/zLQi8fT4 +SliV+Nw8tuE9GKN+vekusmY8z97NgEYGHaB4o/mGNKpDdNr7wNl/f8wHsckRfpIbDAQJqj0PS5rZ +rQUuVmy9cZ9ekesYXMMSHI4pKKOrXMT0om4pbNgf77TnpLmUZLcEM80yUrOU0C/iTTWC/QVMoTb9 +g88GenFCrFP0BmkGV/oXuxh9S0s02pPaATaUyqv1RSTmxoSm3B6tv6T04gBv3rcVp0bjBdWSI/9V +w1acsg2yBmFOCrTxN1NNKf6ZRT2oyvFT/CdEG4LGZOnAdcmQSvTUkXE493+Wy9mC6EEj+iUaqbR7 +71XAGaOert0ZBG/4xD1YB7GwjxBMTEfQU0l8vBi3fFAZwqu+d4mQbrJ6Gd+9lL3jEiTEViUe19TA +zA7+uDduJPoaiZ60tvCu3uZw2A60sevghuaWQSoBgwkbYaOMemmSzrVatc6iKFmA9QBvy04kAOSM +pJcH6Q8BobNazn1l36fawSPiO1R3ayqczcnGjuyZKQ79xPaKtw05P+pCrEGQvCqy72nv7m0Mp4qm +OAA5Sacm65/dlb8AzM1vRnyZuPcUmdFpb2J1S0Ca9IThkDcKfTykEc7JPXO0hycCzT9lGtkIurSM +pAhClmBRdWNcmrhxI1nZTKD4uqhxqKFOxR6wr3gaqsoUDzDIDO7RSqfIXy7OB04+8XbWd6hUEzT1 +4OdzDM+/9uLDP+GJsLCfDmqnuen7SlLXMitNFjLd7zfZyQpmEWMX8vviowE2Hlp2wG9YseL4Mh71 +W/pzHbJsw4v2jgZMrrUJ37vhu3dV6PZmU18nuM7y8Sx4pKQAYna3HBx+Kjkvgg79EObYDGB1NUlV +PLl4LigS1Xr54lKTJHrwe1qtZ5cG+cKO9mXzvZWdLm3XWGaRhy2R1jO/HvZhkWi9DakBegaP4cHv +Q5cMDNG3yctJgtzDnLiZSrBTlox8cXLWFsAcSfvaHiztwt8ESdrn0tHh99Vj3w+tJD9QIxp/X1L+ +d4pW3qviH7Ov1UO590X42FqvAq0AY+iWm5hzvUgWXpiVej5qrAWZyJZG98qHsCkmZUnpxORuR/l0 +PeW7yMG6mnWJH3jneoWhWT+f+B81xZ/xLBWkVspgSsHVHR56obiOAmdesNHyQ3h4cNoapvDmchV8 +OmZIKNaCttJdnDESv0+5DQwTuPC+HaNPlf5LQkxruVI3Aj5vzHCT3UTSRf6jFXkmU2VQg0L0zJKx +rHc0YUU03mdrhEj/U6z1y7ou6c0Of/J8YYm1zDSNe34EarX3UNZeGnMNYos2to6VYUH6onPyg+lb +RqOjAVaVN1zTqaNAUekhg2AVa89/LOhTWcFZchtw7aa02x17CQupOGKtGQv9BkcepOMdQ3aUY7wr +bOB00KnlMYD+zekmaFkR9QnBB1Wj0i/HpfjOhKxeRO0bxyxdVCrmlrZtA3B66RXwXOKsJBGGpOCR +BX6P50f74dz3mYlE/KTrF2TnRlDpg/ksFQop3M//g+H8KVzikFpY4OIPmeI6ARKSEZEWk7gxyeIb +hDKuiUn8epcsjSE8bvHzNL14PCsn+OGAHzDfqjac1UwTIu7xN+LvYSOa7W4j2KfN/oB4tCtTTld0 +nGJpd0aBNJFNMQPj8a/aBakqKEvdpF8ZQami+elEPpROvfGsNdo2U6CUKfos5SgH1eY65024q+Nm +Vgj0dcubSGjiB/BFA8zfo+RNOJfRylgNTPR2vMuYRgYA7+BfGzqj3OCo/nNYOudIYOEzWoe/nCdv +MrAYbaRIKAUoBWtV3Vm2VDXI9bVrB3wfs8XMbDreOMMuSH7wmTB5L4D+VLsSxw/7EfbpdjMuvvhk +ccTxMcoT9njUWy5Ou74PK7BaD49f3BK+2mhS9YR2ERBrnie9qd0gDdzzWf2GFTk56kgrS2kHuYqW +3DVn//cnUHv6pNNljqPkLAPYldO+P6pmd5qX0+vxksvj2XTm0RwwnCNn1zbuX7Tt3kIsSxWPQMhL +EPJ0vINsiCZDYj866Ga641Adg6nNtH8yG6u2+uAqnphIsfofZ98GUV06i3mWkwr2z5GN5tUfZs2X +RCwjoDKDsNpehkoMNWeSG5/rgbPp7QyGhH7P7q8gefl8y4w/VBKV0YIafVMNXYX5K73SjaJ4hU62 +Kpp2BHOeFZBmll6d6r+EDRc/eEYQgzgLm+0fdjH9UviIMve2w0pX7Lx2SV0mcjjDjhs6kHbH+rS4 +/jy0/PuOFCcLadDcUrh3vAfbDZWatC3jL2s3lExL/2qMMFAp5hXWSK3ZggiNhg2/KG/X53JP8abZ +y+7jRkiEsStkmlV2M2K3JT+yyla46TqhiY0ZYNOzIi0iz0wBlRG+6MAw3pwMcaGSifyjwrsqdf30 +YE4mTN8YUorqf/+XBrFAsN8ZwyTWO1CljV4qmdWUwE3kTKBV6rio0c44Qh+l1GyMLM7j1rrPVKQj +tJRCClCDIoAHr8qdFvWw4oBjVWvRP1xcAnC76i1QJqnfpJIfD6h1TPru/Eq0a/EDNmvMeOC9zrLq +KNXwm4ukJiMIEp5w5dGPIYoGajRHNYayajDQesTxyWHAf2g4AQdO2UYmpewY+QL4q5dK8mtzrQSM +5fdRRiN/jlZBJIcbREQULnETABmF90tyXBpgwIJ20arOgebRXvvTJsYLuu7kyISwkuR+3rlrBi+1 +Z8enbm/tOmhWOel6eo4tMtODc7e7JdXWjK0ZeCloy9npMRgxyQG0OquXNZcs771mz5LEFkaxrJJ5 +wWlwpG6Xbv6hNz8KBkpXvMZb2mvSKntBYUsrOgh9bbKJ+HmTUK1a1C9g70X4YSJ97dd8J+877fmI +lxcq8dSPXvFrC0FX+q4TGOzrTFuVcH1DbqxIivZfRkLv3LRsKQ3W8IWrp860BiapL12D+5aWQDTt +aBkzzmEswY+NWsK+J+ydjHODrHtEnsF0fbuUCXQlTkeZxztA8QoaJKFYmz47II1D77JwDcRF+zD+ +06ioxj0evWvEIrsgUbFFfZBkyDPqe0Pmlk4uJ/euLzSCTHAD6J/KnfyQ89X3W5NKxzTDuj530Fxp +q2mXhbysr7N/30Kn71H5csZMcVeec5G4izRSkcWAQdAbfdL9yFx9osi5i0fdrdGHNjtnaJRv84fq +3EE/APbfv10OnYFiAXK5U7sWFxNjNjbrugeQenSceIEMz5GyTIULJL+x4FNLn7O7ZH7WuKJMB07S +cm2ZmbS9yJiZzBS8m7LIjUKXYhi5LHdQW/K/M+LIfrOrhU4SYU4zJwBA+gufU2oZbVGEcGzzcvOg +Z59QqxPzynABkcNRRLDT5GSfd5m5WyAm4cczgClLS70+JxjzRwfDHMPAKs/MiVlU7PsYvg65BK2T +preDcbwYF7z8CotHwuEXbXn3QxBWIl+eN2YjqND1vnqaUxkuelINoLOmsMG4sYScvlxC78y+sE31 +i2n1XqUjuUu2TuY306DPCRaWu93iF1o8HcatYQrPoUZG8KXsa3yhTQqhxnT3He3NucrbSs+5ZXnb +gdnYLrSuWjgMn1Xjjjb4dNFnayymKfrmMFTMrFvRY87hGm09NJh84e3ucame/NslyXVc8GhgEXpV +HCydVeEOaLRjeoixkYkl2i77SiFi7rqnmM24UDwQ/XzPMJagldGzsjc8V3FWA/lsob7KXkoAUy+i +6IXQ4WSQxP+wrOohZLw5PcZ/TFf0fSMv4DzdkZsdPXeMgOiIxrAbA+YcsFZoYr7eWjPe7ptWtEq+ +kxs6RqKOY3uMBb6+GM5PPLGXj+cdr/rWdaEkuco8K7iHsNn3mzEHJgBFHAWeMZ+D2lmgCSJNmmiy +eQnIvsyMa6iEzysiaItWLVSpHYdIg6CREIq0Ah7e5breVVJnMqGPmfULe7/Peb9suoa3ZDgRmt11 +vYPeqN3EiHSTHy63iHOJHwn3oxd7au4H1fQ/9REH564JqtfgLfIzlZwPp1TTI1UVU7CLEEab/2OO +K5YGX+mcx7wUeQiIWGgvxqZkLm1mcRChJY166cfLslSdV5k4EAnxVnYXNxTgGRUcFkF9uka6CMhg +KxlmMxIF1tBYw3KlOGnn2p2KQy3TMv1gEOH+M7nbr/htGfL8dmozhTF25xK2Z44yEmpmp4/A4Mvj +/eNXrOJmaUOXwa8lLcSQoJ/wwRGIo8YGZHWybgu7EzEKlaxdFO/R/NvrNK5jxhKv+1H3utUQ81eI +0OujvlJPyoLspSRtHK5yDV8EUeBJNq6wghoaGxOAqdiEhj1jMwUZTo+k0eNlTd+ef+X4+nviTzTL +daX2D0dFPhp8z+TUiBrETSpPoh8EGTQ8RcttM1+3ahU4z5oN/LnC26GqDAssX/UQUHB6iJKXX9nn +bz7n4twUXbT1inD00xxxh+pBZVWicSJDlniv9AevRVNlzsQNEFmJXdMzzpnE/Th234SD/RjiOBFx +Tir61e7w7+31GISB7hF/3JwMUxxHA+KtDiVNBpkRjIF7uEMLwQJjX2GxbKTQNpp47VggSWGzrkXy +KCSK9SoP3dMlX9Qx7d48LgnYZQwk1tPIYc0f8XDmNyiIFKbTJw3QhXtH+1xPW0gqRjVos7g36NAc +K+nldqcx69vYpPbspiNSpZMt0LFv6QaapPyBib/p6azLkIBmS77gmLXFUYH/NfalO2P7H9s+Xuy5 +1+SIOUb5VEMk2DN3v4q6TxMlNHJwYurGPocd6i4y2GDNZ2MTg8dhvaQHXNCjVJEMBsXvjTUQVwQi +EIe0NFzc4WlLlG478yJXbRiKzgdngohL4wiy8e597QgHjFktvQg7+B5/zQxWgH2uUwJx5/tuqo/+ +Zgo5K9dgp96E6vlR0PVqbnnqGvVcjuZB3auZ5LFo/MeauRGYIhxJkaX8a6wZPjgRsXVlfXo0mA5B +/WnxRnnAjvyaDIujZam/WxnaUuu02gOZ0j5H3jtAPQRBTS4qJk7FsPDRP40yxUsm0Y5vzFhkjICl +PF7zB8cttr9ptlRDACOuxahqATwHJfXSvhI96hawj1XPGnKcHxIdQkcKu9xNAXChEVaiJgXgLCsj +xbG0cqAlgd3S3LDGO54+9hFYmF60Z90EjZv60e7e7h+eNtTyuGSoYnbb2ueGmQykBG7VpXn9Qv2W +VwXcBKb2ZQAdeIdJKBAFX4nVSV99ErEH6r/91j2kwdCrLDof8u8CzzOo3Da2JgJ6XeTACGJDnNQL +emwXpmV0AfqIwvm9RtQGP446J1v1HGmnmifmCVE9w+ZOAEISScAPAfVVGbMijRXaG57j3P7fFAAT +/mMnO3agJrRdEHQPVd/0yXVTI9jaxg72vK2YyPx+ssne/D0BuzQClNnHYcZQjiiQi9HxrBZlhZA0 +d1ufbKAOg01cTeR4qv5JsLljf3xT4+InENmXMc2nYjbIZB1CFGeBQrAXJS2GKYdZgvP4lvMqQpsd +5ArjRzpxihhryNQS3eTxUUSQSnyguoUh16O5Byvd8EsMY6/z8ibvvcA4YZsZ0/5tgRqlusPxKsWB +01b5bvIfx3MoNyMHwVW/6oz0jytIS9GQCRhomKci37z+hZAIqqhL8gsPiY02OnWQnjDYV/FUp3hj +i2ETfzv1PzEeFqH2SW9i1hInYdxKE5ws+XMx1FdN7qd8moH+ZF4ERvRp2aUfEsFbZflmBGDD4ewD +qt6/JYITSBzPJcbBIjiWlioVTArblGrlMXvoy/GhXAXc5MdnrNMO2ay9mD7bvaWllIfSH+FR7+pQ +NGLmqXl+hJfnz4NDHv5w82nKt830x56eigMRfO4UoN43PJpYfHYY4L272UdHdOHT5h8xyWCtnHIL +FkQ+DJoGzwfxadkU+2yqpj0dpvNSNXDO7vvA3XrvUB20qvi4I8M0Mieb6TN8BT6g0ckZvWWyGoNL +N2per+pJysI40FRG54h20dEqIpzZgdLuIPZD++AYx+l8bJb/KqOOhqEas3TTJMAH1FmaMvfCogWg +Hk/f5qBorKTbf8sCmq8GaPTuWq/RqYzCG4moLlKhavByTxriJ4wtahg6nVrA4d1enBTcJME+bPNR +rny1W41riR+BRUjleXsanp4Hw3VGJgaBn90HeT5hodcoOrmF/AwUS1uj9N1UWESHoLGZzOMja7mu +fSwlX9q6mGOqV5rf+RXzH4XhzMP/3Nl1VgWqDPQeqOw1O+JC9w7tP3AvjJhUe6UfAvrEm51HK83o +sMcWrWRFu66Ylg5VEJK79eWHAQZnh5TdXYg2Un0Y16+oWlYHXyc5QmTigRQi/ITa5220tp2UVwiC +S3cup+hWkgdiayKrmnSThqjtTx0LC8VR0QFQNtJ8JWFU+QCoENFQ/0QGsr9FmccZ8XafSjpuVjX+ +wXmjTIEjwQAbiK5nUQa3XxBvVb/6LNVeQENxMiZwCdNwr/R0cj6u8xXBrvsLAL8La+HllNX3USMP +gbnMyhd1jjCnI3kA5v7At2vZM/RRULmFaHxgKrd469tJSTFjBwyztS7DSrMl0aTCzoh00I+RrUUc +gJTBz7jaWYRjcrTobv6sd0mDSm/4g3GuqQayDCCyacEGV28c1ZLj7PxUs//gmyvCVeT1gJAjlo/a +bILBBLKhnm/gklhWPnH7YMABrWtln+gBUUJ4TqDav3S/sqdzalSmBHanQUXQski+LPp2KPIh5B99 +PrbTYZxD/rZhRj5kuByzkkWA4rdjf4ZpFuDzBA+tie7nE9qXI7TFgqLkqK1cPXh34Prg8f6ZQ9NV +8owR7NeeHFYqqJvwblMuOBuK5nPlvypscRfuVbAwSYA1au8Gm1q2Y9SssabRw0Th1thLPjXf/2+I +P2CgI8y9Y/l+CLSB1rOHSqcz71XWPJT4MHs86xXsTo7ZnESLo8E49pF7AKenGS6u+wgQcB59G1f1 +FbF93XX5hkDc3pKKAUdkbZFDqmJr/cGXXdPILc5r66SiCuBO/VCMcecbEaP4K3eVRxfvx+EAvC2+ +HKcXAyJLHoR0A/gaZIAFXrJtSy4NaVYgsPmwHJg7ZTEE/2tQAOcxOqSwfP922VuLdEuL+MfplDbF +fnkICogoEpvJSnaeI/MB//vH5u+FjiO2qR6iZAvAvdGsLasxtCPslOg0oaiFhMLgXnuohBOR/VuR +7eoVRYkA/W7YBtlG+2L7viZPvOHGG6Le6Nm/+4Nejxefbt1gu4uPaZZMbTS2SYV8FTt3CBmvw/aE +FTWPX6MDnxfVJcjHN4e3KtVRmvz6iMISAaW8PbBagCi+oNncOag3V0Tu0kfiLhGUY4FLiDKbFKbg +NmgczYkAPOq4eqUDCJ9Qj2eugi2P80CyXrs+iNJuMiliwI+K+KuYShOKNvfLsuNNB2gRlrYbyCAz +1drAQXLWNbMsHEczsve6ucOIR2d0dUg3AZhrxCbybnN6WQOu4ktMst0BP66ol2Q2eNj87I8MBhrE +UK9VhdalJrtYbEdWRMIkf/g/YlfT0vLWnbbfVDkSz+dXTyDHZoYE8WxhZHjBJI2lPWxr2Kv0KTsM +hn+rWCPbvxRymQAQHT58bU42hbEM5z1zTfH6FHjqMYQ0Qi/2B0Z9mK4V8k8FJiUxDk5Uw1rKvGKZ +SeZLSbGpuap7vojorYkwMDzDsjAtHuGx7J/iAJhfxV+F88wei7kuEeLPIb3Lo7z80ryuteoKiSTA +3yz78J8cnUimHoflrWhNEe74W8XMHqxKUrpJ8kb/nN5gyWrNSaRi+ieKOJIWPWFcpfZOm1Xyk48B +U9DT4mSnS7MyPcMMIuc9glvTAJFpre/LfkEpIPjVFEBKVdJTS8fHDt1t3JlP1TBk4e712WFZxk1n +J0t2AyVNom+CtBY9RcnZYLypfyIWw8m5Kby1+zWlNGRYjWQ917DdLqEqZdrSGT2efQETjaxZJGdX +75wtG/HBcf2z85Fznt5VM+0z1moZZgwZbyhQesBt5KoeiboDKPNl/1Nm46xvrZx2dQJqJGDUc34r +rQbHCiPQkZuUWHBtISMJN6TE3chfG3ZJ4oWlQ0oESQZPoRE2luEYLstYN2JkBuSDJgglAKnYO6Jx +63QlewlF6KWkWrshwlmxNmDviTpiaiKUeA2EFjuhKRjG5URmVAEU4mTWGECgUlRR7eg9WlsG1wf2 +e3BOBCr8aSIUf75wLmytl0ysa4a0B8V755z+8Sr+6AP9u8/3XLO6uhNw5omLllJC2jYAkCdS33Ou +wl3JSdDwTrvnkPR4nqa1ASHuj7+I2rSYIELV/6q86fk6ED8CwRsQdPpJ9g3FrQjRTvkqEHQgn5je +n/dKfkDcVuBG7EWupc1KUBA67abdT5mh6iWC8UHROuHpuc4OaohbqMmN+u84eijqjv3UFH45MdlF +tFBDkQoyNHz7N87CyGpHPf80A32QJaFFMPSF8ztauHYtfShzD/EYhJ4m0OiNul8u419fM4L6uJA0 +ehtX4sVTuHqqdcHKbwBazz7SBihkDqReDRryfa7VVhf1m2ozfDZ4r2oSHwTVTTDkU90PrHrsLE5k +nZpG7sNutQW/P7uQD6B7D7kT9Y6BF9+3sTnBSkNSNMsUWYrxm+v87kyTX3kg/MWUzivkY8qKNLHw +2MyYd9FEVU1KNvv1HgrloXUF5m4sASte4nR60sT8bdWwhMfJhPFDz3oFjBH8T+dhw50lL9OGjzbB +fPj6YFTlCUesf6W2yz6/FQfNYdoXqLEMRQnfmgvrit1PaWH6+OSlpwGm3pKxBCuhKOjwYEhfQrLK +e6kEZwkKhxR0SKSm7klyNMzo7wCzKT2o9dBbLof/He1pmCTdlYa4Z+HI+MTTFytkmWfaeqvsr37C +QWq5NMDADT/RLh7CNRWzVYLHI18GZrFlJmmEVXJgJGFe7FLgLx5QdJXYXeaxEjwZbINQ5lwuOs/w +u9z3zp4Y7xd33mpXUxBmXmRFHQajVc0K0o8lRhM/Q9jwT6Gu7ihAsqSPpAx8aYQqWGx+z4e1CPt7 +Tu8ByIcWU13oNa4kDoDd+m6vyp0AXblu29dUAP1iNn0+YWXWWSsWmnT/UjdYfqcmkJlFz2WUUFKR ++b0KKralpvULJ1knOEm12Zv0lLckV33jmTqNL/9EkGUvC3umiJU5jybOgvTAeQ5RcYrEmzxh8015 +ZolwvQ50cPmnCtEj6R5h0vUsMKGPTCcaakb3XbE3Q/BzbhboptrJqi0kv/pn544lT7tFysNmVWJQ +7onSnTBLhL4zXlRL+yYYidEn542VA3PiDv1rjPzyQUAsDHoaIkghnLZR6J9OL4rWJrmDCT40Vcgv +kgQ4dg58WlUhlDC1Ha9tGNBFGF0c93vbUX7PNGYdh8zX2WDtFnYYhUjv/GPVa0Syp8REGmtJrxdr +ZPuXXkJoCAhslDpZPJQjpOSRbc6uEzT9D4VpjIct04631nef7lI8xB2KwzH86DV23TL7hHlS7Ump +pZg4zHsejYh8PYLQWDd/CNQD+SlOkS4O4EnAej9IMyGOzLWNLlcLqnu6URCWGxizB9YXUqqPvgw8 +uz3msMiDz+tXYJ2yk18F4kVyULgIxcEyBAdNdnzvEKptb7Ft2M9jONzALqCSUcXu3oIfQ9VUfzli +ewbbUokr3/LFpPhYm3SIxEnV/vDdcOKG9Wk21wAWnigj3ol+HXhhzgImac2wjDgmy/gN1475tEeE +K4tV9rjjgS1ilSPv0iYcFWILik1RPCo8/1bERPGgD6N1QXn3FvpkyVmwW8rReTAOaWHbyrPS3wmR +UAWntqve6MD7QOr+LG6g2V5U+CeCmQr+GKA1RUdX3wfINTiVRlSw7eAfHQrQ8/9IfFxwbDMzbGyP +7LkJIQVQ/2+hulgDHr+bJkvSvTIDOrFXHcBer8MJ05Lx/uUg9rAFBhSCU/cvHgAkgaiMmv0ZIKqC +0wgqkIJZU9oVcFJivssKLyLCBpKWfKpVwytet3s5O2sFmJX4hg08Bqbk0y9psPfvEOduTA5MhkK0 +lXsyk4DghlFkyZvPF7HfwJf9TpScfx2+snx1ZRt8I7uEjjBHbA2aJwDPDc7jGZ3MsvW3EF96AOMr +Y3BiV77kUUpwnXIYm4y5hh5cxT3w1PoNRCD6YePlFqy/2KQbLQGFBOmLFw/9NSVYrWrybdQoons0 +V1s+w6PBTmW+eGsYItb7gJ0WiHQfBXmnRoZmw++r22gQkgwyMnbnT20j59Ho8BUQwdA1GGsM2XN/ +XhTnkVQZdirWYB3lG9rDqkhlfh5cRNW+wVRp4uvCxiJ+P+wwy1JMo/SYpi6hRiG7xt5/013sw3HQ +QLp79bN70XDU8Tf0HDLMRivc2gM1p2xGw0eGUJLPXrHlzpB6C7/f71iKZs49jj4ucKYx+z1xAm1M +psHYlCviTG5kEYTu1Y3IGYM5hsfs/q3AOEhxgJdHXht1UB1zti4Snwp88OR9Gl9MOr4HQCXVi9IQ +ende4q7Z7FeagRnaqAlQtmkw8MiPtycPLsGN+RYDr5r85bdLB6KPTRFA2a05bUwxO1Y8Ea71INIK +m/05mwXqLIO5K5r/DLavdbwdMUHApJLqZdH6eV/McBzxcA9zPIKn2oPBwr8W3wmqxn97a2tUpbIv +CxFsa7DIsRExnJ1zfZoO2u7+V8+7wz2p5gZh45vk5p8if+B4z4DBkIO2JXZh1v6QGdwZg/lLYIs0 +Fn/M0+uKqMSREp569CIPOZ2Hn2Jfm8CZh8cXdMNXhw7w+xrW1Tg4Xdn48Uyow0O5YN8DcvAUVmaH +op7J9krNAZy/tHo1WPtXyoht+4+5SLDG7vyLGh2i0zBmNW7esIbE/5XAaxUmWtsw2auB/Y+mJKQi +hNFyefZ55mO6pjX17b0DaIOI6H6epu1onSAaNfaH8wm1ZReTCoKKmqqBdKQAgNbmJugM8UWP11z+ +mBXgrTQGzTsphO99ubCUin7sivv0zNWOqu/M591Zr6+2jVV+g1sPKxq9lXcm8m5ilt7FmU3G93yX +7/WeAhAu/w87QhYIWg0EB5CFY7QH6Yn8x/fNTlDzEdikEHGqw20y/WOWz2SMyG5TKnaEyhebu6Bj +d5Z1l83Wnx9WqqFBK79Kd9vuS4CndvYaGdVBWfHOaGvIC6KzUjTr6fX2C8Y77j/UIs5xYKThmRmu +HEAf3hRu4BM5LFrZnxGiNmUaKxQiK9cbhBk3TLmwKLYpBe+Tg1KcTFztrz3Ej0tB6okCZfrC8Xlt +F3zBJdeg184yfYJkDNxuotRf00/sg6BN6eBnyi0pgEdaXg70M2TCsOWZ2YHmUSNul5iCb7h5V+4I +ioc/AIRFl2ytnLFymfTZSuWR4MNbki1LT1rH6ScUujiwE3EC2pJRRIHQjT1nf5670UdC1Zg+lkFN +etGejN7D2q9iKpgYuLRfYbh06hbGwOKtvKM+QyPLyU1rCSPNnXvkz/lc6Q+64dkv+0OvNV40pVIc +F7Q6uASjwQl8c2MmJODvTWCP0jN++clC7WLF3NtKgv1aaokqKWYGAKcumDhJW2Qsr72qKUY0RmMw +wmsfkkxXRAJc9WsLCewaPheLZ7h3vOWGpwruiD4X1LAs17MdeKI4vCVhpwmBhBnYE0XX93JfaT/i +Ud3XaBO9q7+BNs/PIoKrOXfUDLs7K8PSxz/1ypp/DzQWuTMBR0IyOg4OWJiFDHmooQoqejT229ov +4wkXd2R2RQ3A3EaAWaNlG3u6N/6Q2bfz/S2/n+CaHvzGuTbSkpqzsilGcj4kmTzjOPu2UUbX+pDi +5UI3qEXZYOufdnw00Aex/K1KKAJMeT0nDhEE3NcRpdAj8Dyz+XzrLfHauxwAskJn3rR0lTZUt+G6 +uWJyBM6L0N2b3wdmGZB15EJ5vzn9oXGypQAOQE0/QbbVNpSNbx1qjdeoqFu9UFBNQj/LDv0l+dYG +Z+dQTWdhbsmRn0cd3zJunDb6OTyAq6kQA5U5zPR+PsdUu2pvtli5xCt74CGq7eGkEytc1x/cyOEG +rwcMeaIJnpYkum2Tc8Nc05U5UvohHpRc8aXYp8CwsWDjRlh8CRqT+hclmmjVUF0ME8a8iV7psEkm +C9rHYMbA/bS8OWQTQjaaX0XJFmySggaj1CZRW/v1EfDZ6AxAKKCTZ+l02ALoAEOZ0WB6dwXtmZ46 +uLWs9Zuj5NmOxgMdjr3CVEIRMJY7fHLlLvce5cNLay+MlqvMfA0NiDFXkTf/DAStGEQMWiA0sxvZ +fxJroqJ0d6OtaSbPLMtmPhFD67PVETkZ1wENQfPYPyEZH2T5LbnMeUiKj1aWsbV9aUH+3Co8XhJL +j0UnUQ4Uf3L5xyJRUPpVvT9VQITwPxP9L1rlRpCZ6CXd2ZX5iHVMK0iuZib1ugXulaqUhw65tVeZ +Eel38OYTHE2A+0m6jQ5gLrOHeH7s/efkym8p8NZWZQ9zS7keqsqZBQU811fIxKpw/PuFJcn9Zd5p +Fpb6b5gjYZu7mC2XMcEoDdPsJASB7F8f03m3YgnbldCLxZdT/7Oy25To5vDJHkCLRj9wKvJJDrdG +882wpyKNwFD4yQLlBrRW3FUUPDmRBEtERARA04pu0ZYeBw8HDJNxOa6Akmsmlo98grGhgD7FTGaw +/yhqOWp0vJE2+8Fyqn3bpwK1I+kROklyTyHeuP/KbGy40fpkyBe+m0QqKAhlYT4r3AQcwl08VpJT +S6YY0i59cRV7B4XxFeG0Qrr40/856SbwQt1HSjsgWaNjxUVxsYMMWOS6JHsDmhr4JpDk63X8kPrW +OZn5/xgoViqsEKcSxe1b5PjrPmLDAcLGT3sWZYQrlG5y8Pk95L+FiqviLoYQtNfvxC/Kub5Zw/5p +bO16ZHRdElJYTYBfv/dp9/5hqzQzvL/7AZi3URalK/aJOhS/RJYme/0JOukZS+rTWaEafffPD8sp +Ie9yUnNVFx1egvTDARJBHoilh+jJ7miSzCbkVwd9JgffQUFhA/K8cJgyJ5dU8oL5e6A7289j27qy +g8RPR9mC2PoWSRmBk8qRFmbZPigLXYDRS+f5C1i/BNJM+asznKXoEhi6B0CjoTTVTsaaaK5Iz7RC +UpvSJcKLCXE+pb4gE+Ff9lxPtZOhXHrEoM57LZ9PuzBquShFWVG1bsZvXTH5lzEZQ1zQg03BEo7G +NMdNYyTt5UOGI2BBnc99h8WRbRo1bM2WR3rEyF6PHfbgCyYAPrLvVkgV9Zo7o/wYXgN9rFTqGBco +6WELCS2BgMBOfs7ai291vpMYrcluXNeZVRa+E0yu1U90wHbOrMIf7dnBQh6YOwinXg/NVQbw71Mm +tQwOToxfJW+WFIntLEjwoo0+KhNpuRHFZnH3wKu/1GVHblHJcKJfU2Jllxp4VgMC+75w5Xv3SO7/ +reXsBk/3neDLFpw/ENkcFRUSiggdzns5pE6NZemLvkbpNeAT+16ZTa1zeTEpxSjJyUqC9XAhNIwh +c52pylti/L6JONHqmcLGRGY4nDGZj6IlIeB3MNp8cODWJf0QK4R0KcVKk51XcDe32vNpoALG8gKl +QgV0bFAOciCWYzKcKv1J6x/sEoXms2rbL/6zEopFf+FMgWzEz0LMnZYrxXi/H32t77mr9W9Jd3Xd +MENhQNg2cV8pCL116vwAoj+C56yMREVcdi5C6LCOMdXq4Ha6UFN77hJUu5yUL04VOC0gamebtLEY +df2fP/55iZVtD226fBN7Cs+w4n+sX6JpU2cp20fLyIDvw9ECOyBoo/qmd75tN9lHT5Cel9Kx8oWf +pBDNgyFY8mdaWzYbi+1E56HutttiNbf1XtwVn9WYkDJqskXRGzcmpAlDTUexU8x5mxE641A6jpLB +f1XbJTzS5E9USE24WHk7jcfxoakxDxI/SzEsPqFeK1WoZ8o1HWrpWV8l+iT9/tC8oP8jIxW1cMyB +EetkJYZqPW+cBREQ/NRnLhhCBWCygaFMBRN+CUvTJRBJZuEsju4Fkwrtd44inIAShQ20ZpLkbj4I +hKVwJG5XS13uc4OjXb6LtEz2JzWRZs47/t4TT0vLSD7h+8O0OKwVBEaoo634604b+1rK6r4QIxGG +5g71Obq89WS8KmT4mOdikcwOGCWPOF2bzVX5OCidapJLNzuRrC6mALpKABVwx1yft9vSDwhNRGVF +NN32UVH2FQdmNAqkSxEVVal2TS4eo36ASnISD5kIVKUuTMSGYq71gF3M/Tc8Gb27bf7NcUXFi521 +vlYGDkO2GtUCbnO1D3DA26MME2m/SYntL9dH6vhAhUPw0a/hcN0SIZE7POVvQcwnlLH41Jz0dx0G +l/YJgbUkBqJ3dMr1eULCh8NoOGFgoIs8s/BiHAMLBAarPG2wwUcw0aeTn5omPvR0lKn9JfrLBaC5 +dGmavDuY5IrXu8evzLSrFuzEIcwvhPWDMTJwcebq2Ltho+7PY8XBytVPKPI3TfXDfZLJVU4HNLhB +Z6Mer40gGRBf/Uj6YRiTcbS7Syqwb4TlJYFyDgdQjzeQAwLAzbGbtH4+DalFdXqJwgP29RiaIZFM +LAgFFxz5KoircX1EmJtw+Cu9xkh+k1s9rHGiHQEBbwasV+3OCWvEALyeIy9//4oHJ6QCpsme7DKT +BVQkLYgETHUi9dVGioWMf0AUKmzUu+KkHy1orgx028jGPopB2Eo09IcwIq05Z4bsbHX5EFQlsEzD +4Qd46nIW8lHPip6fENbCexURE9iHoA5LDflt5deTEAZBhybRLyylJD3AzvpEOrDtFiSsEVlQedu/ +610Vnu8nBLeAbJlFvexuUOVEGLzJaKwoYsEHU5EIJ+tzbqh/Sc2cJxPBtMDbc6nEYeybRTF1JDcG +3WpkiyBcjuF1DjKzre7mTQMyrNrsQsb1LJtWhRdRJDrWpIhT1AankohRrYhB3r/9akK1J6xlvvm0 +pvXnx/+bwvW/iPstvwkku1gus7EBtTNM7NVJUPBIJDaguZc7p7rBOj/sF0wJNkjyLjBG4v/KIyiZ +kQvm7JwZtKleGcnaXcQO5mKUNvXKlrT90LS9D4H8uUyolnVvArzFiI3OdPswzxfTBVjoh3RdQV90 +C5NYRHF9ntA4LvIgsetsdNmjJuIQpDznRX2F9VybNYsX7K5lIrQRmnhKWMnKPHIuYlQ+dPV9fQ3t +FdpIeHIO/Pl5IUgTvOOml/NB/yU1S2eAJXIO+k/sv2fYbSvqhvD2VY7W7O7WLdQNFYEX6PcYPksc +nifNn1w22s40dS/UaWcqySZq2brS2+FpCKuFmqNJaiYSPkR+TZLb3MpariaZtn8qnHbd6I143WvM +6bAhIU6wpb/ZAFAdfrGUv/DI8vUwERtM0/6R9NNFO6qGMjNlp7KbrzlfYbjBLGCG/G+u+lZB7YVM +XkeVBnpBjt/U95nmfdXUK5S/ui9DeaCwKNU+MM/0A8EfKdrv0J0LztkyX8WSj0mTZKfjhNxUg93h +bv9KbkI0C/GZ2HIqcxYnMSsFLM07nScZs7eKjehiWHwC8AIFtzHRItPpZq3nJnJeBR1sVKhIVxA3 +FUDhKFY/ptuKcJgdj8HyP/Fb5SXZH6gyCpHNm7CcqbVR95EATBIAOVFxbDSnTk0gT/bxsInuhcqB +GLu0s0SlM4gklQXhe2BUvzryrpaN8iCf7fnJwHBfrV4TPlnWVR5LJMm11nGx75Wv+eIOvEprTOxr +jZvFvDoZbo5IvGmWh7NA2GPkSzqDCvG9SBALJEZZTckNw9d8ogQpvtDatSlF3EzOMszLWeK1mON1 +BBNzwQnxqVxSp0qwaVqcq/Vg1NJRw/ZuR12hcioJW94kql9GJGqAZGYKX8g7U9QXxGKfav1Pz0RK +NiJQzlov3Msj410hJNe90MyKmH/O5TOkdUZR0gmK3clfIRSVvYo16rMjbc/ilTrl+lBpmARqw8kB +pvXq0ytoGT5A2mh2i/fEBtE9TMVTZv3IdYD68Bgd2SHHtRhh6x61+zjWX5GATOAtykmpSZUxQYf9 +PIpX+oPcbdyNDs1jN/DSVwecBi2Eq+tC9NOhyXPorOLwSUDVYsfHnH/z3sJ2HLYYql9onJ4W4ubL +zwimK1Ihdv1kraMOuepObJNJoos/hDewoZBvhf9SDLcLoh8DcpF3ta/vwMQELfOOUjZ2zspclmG0 +K9DTwGRH1fKhbEY10VWSE79FKugYG8lv8UtYvQ4As9MvrN66Inzjk8redxhc0L2HiUJlo5JlAy24 +U4sFqp/P3ooVaMiRDba2cHNrCCWheSP3HdO4y5gkAjGyKTxeVzYBH7eIJxq1rUiklZxgVRDz6mox +4uhJzfIzf8Nek/g4skkfI4XBIN4TZ7z/4brgK9DCFq6hWcAFPdJu9cVfSMmdXruL5/7hW00/4zps +ZEnZ2LPENeOO6OgRD1UbkyjgdrBbMmnw/trFcsZhO3ASOdI+kET4rIQfSNa1pITAU0eRfglEncJu +TF0o+lCQcgLrnHhWUSWYoP69scmBTmd5RfGDfXm/aW/mGtX55h4ccv++pIsT26S4gjKSvamFVdL6 +2aKGAFyg9Ieb0F06be9399oclYBztIcJqZfF5VFo/v4Tw+ep4IvLv9Wk44VP91CS7PtQEsUJqB8c +GH7ophfR8oi1LRHqklzMqEeErLan7zYAXxIw8b1iS6s5XpKpBfZrxdzM7rZTFhEaW+ov9luHTnjN +E/XGW3dFGdM0GX7LlNxigH5JTeKTJrI6Pn73ROJMY75/o/UfxLrNPH5ajX7diL6Y+sOyWZvXAKDu +82h3mFwtGlxNs/gE/W4X/M3yY1FpDxuApf/xtyngfAl19auArExQCh1CdqtGcVg7DQJgh+gbkN6R +w+8fFWa7+Tsbwaxa5lnRjebX4jE3WpEUG46RdX/H6awH7w72RN4jSr6Dfvkcr8ZzXNQ3DEOZqMEu +NOfV7PQxAPE0EZ/gb/yM/ZjoLk8C4lWIE/Ju3BInyLBLnhJ2tijfHdXdsFxanmuu+rC8neUGXg2m +RFdwLMp9Ir58ETot/3oMLtmCmPoDXiVFT73HqzyC42UqsiKg/U52txGdgrOHL8qNlHAC9PIkkNvR +9Eme/yUUWLjh8aFePuLaQAf5mu6yz6eB5wgP0e96iAnWu/cryuY6fR3v/sqE8RFxfo+1jPnW3/OO ++EktvrF7F7LwADKewAifi28pXzuQ5woMUHSL75zclBYmKR5CDcmSwVqRFRHp/nIXVKXsAqLYZBmF +E5919JfRsFqUSP+4Apv/S9GwgEBOMdiKPidZ7aY7+UpeHdHBMTae0GzF/CFG1k93xlUgA6Odkwxw +PyviAoEyPWLv7QTwxt1/2XW0siUeOMaLEUlyPmBzN9Vd3CcgYuUtwmnp3WM7Z9tqB/B3sTkc9Gv6 +QMQWygB+py9NbmdJVJMJ6ckl2b+AiceYoFiBL6mLNeq+iht3eeu9ih1PvKEPL3n9sdejDynJTVmU +lWppNoQdHYDwdtlrMpWdQ79ND9+8lHwIcGPYUAWsBwJn0pyMiSudT3j2XJFrxgDFGdWJGhjDd3qi +aXzwyPTNlKQ7VR7TVp+cZ0WpCubE83qoBu4mlwep+aRY0EJJSOvLNjJpGyk3xnJJRUQT+Sh8ibtF +0G+QPGDcpXDfC30WByP3LRwshccY9Dl/1qyX23J/7LgrunAjfSAhTkQliazyZQ4z60x3MPhTJ04l +1QaAxP/5pEpVXBsqgzwaFT6x6JSk8LOvYhXC/eLszMPXnSxDEhozKuAE9oMjb5H/XFNFlZJae9/7 +eDBRFuB1fhAga+PeSfy9c5k++8sHW3n2bj0jP2jBv3TbNLUAXmLalGeR/KM0k0GeqapH1uZIsOZ8 +PcaQSw0asYFHc64i6gQdM/vABvIwL1OUHt1Uc8820J1oVV7kuJ9auKN0UeJk81/3IUnW/kIIIb8i +zeJy3AUncfRDbxUgveETu/h2alShnVAiInMQ8XjOFgaHlBg6Ysy8blmAVKeyDCcnOgmmg6sFnxOe +LReK2t16Z4jiDO5jT0Gqt0eKaPmpi1AEcQ6OxM2i+KuZAYZpZI/m1sdRnKhAv8m60nXReOBxZUgx +Zbx/WOE/4TVdH9X0ggjKCiv1HIkc/z05gNOIxAWKJY/G+zxqRqGRqeTuyWd7MvS9P1qZnnrw9NIA +7eXd0vCzLDIh9XTUwdgbhnyRXjuPHLcSv1XPiKpIl44JK/H+ZOGzfrOXzzToCNvR3/N8RWRkV74o +OatjLtcQ+Fd3QcFIu8uQJZO0DulsQeOzDIPusxhetuIMp3x6eFE0d3gLJyVgr5J18YUwHmI413ub +FdLOqDWduDctdpNr/KJRcOzn9RqCx1q+vbIeGP5GhmPAXOaggvDcabbqSEjjApOAJg3kvIcKQJMf +9xvh8DA+dyLPr/9DRvnJHUQcpbzPfAiUKLmjwgPaUcpSCA0nw8zOApZUvXrPYmGIzqR/F8zYAcmb +/myNJ/Z2zeZIN8Cu4QeAWpzQWUEJBB31U7h7OpFA102WiDN0cOkt0kE9pX4hByfMnrjMC/dB4cU3 +hnzNAO4S0Y5BoFVQtaIFaKPT7WYpECzPoNeEw+gQ/m9zuz72JqUcCP4negj4kmrH4Ry6Szr2jTD4 +Z1iaXzvt6NJ082Qd92OiQVvWKAcQdMxlamZmHwwDu0jEdFsqZ72K9KBBPnyXbccdRJlqLpCpv5e+ +ugHqiy8r6x7a2cOAkosBnZb6oZMIjwW8t6rHpftHwkdkZYJleoWZjphhDYr4y2rTYQeDiSoDrg0V +FibkvSrhq+tns4DQKHEBFhRno1x0dbBLHrytNXRchDFYK6chS8lHNPEximRMKjeurUseBmj7ltwr +3sXjACVYLDet9xnvarbzBxk12AHZ6Q1/o7spHihSuIS29Eu7nO1+8fmVXCPzacKjpga637AfyCQ6 +QfBWz2xKIpR+1JTvHkLefT47fsV1PbsQq/de0r1LXbGcaQkEVOQceNjc7XJSCe+p293xlcpkJlGt +V4jNaBdgPOdYxFcb4UyjXA6bv+OqPmCuMxey8FNmpv4bHPTd6V36R+AXL/HxlN+biQiZml2gQt9I +pdXfjuVca9dp2RYdI2EI7QEKTSXp52aZ31vpGLGiRsuh9RmBjJ1x3DN/eSU9SQAAKQug895A7uav +0fqcFIBEj81k63l36XH9j7WfLrm0t0cE+2k1BOcafIZCWd/nc24FBa2fKOdjAqDpqCREWDDHGbLK +iVMHGPbpkyW38dkzd7jAqAjHgscXb972Dly2xxhoocfNbu12wS0kxMf6uJcgOaDGyInVbBZph9GG +PFNkpv3WXSPzMmWR+EL6txkP9/wXfPLeqC21vAzigaV1NxJdG+PGs4qFMXobsRdM8JHMvSoBwZMk +KfzPwjeRwxb2+QWYHoZ28jgapBoAXPE/ebAT46AqHCCOwyY8l8c8NmQTwQDyMtkUwLGmEvCAjO6V +kB3hOBFTgmrSRdo1FgzyDCtm9O5PS6RF3vWiVqxOWTiwmjUm7+cAetCEe6CJwjPfvfFF3SiIg/kG +sM0/OV1SdE0V3OD43/LAve69QSkOKSLfDFhijxaHF8+ZDGG7D+dnDoyIcW9gg3jC2Sy9SRHBRgTR +cyDD8YUtaZSkxAYb4Z/oA+dPzaC9v8zYbQ/HM0lVHgudKWl5PRuMd/IH01H97B+OZnB5TSJeZlej +qJ81Dp4cT7wEhM1d4yhrOyZRMr0yGkSSbNzxkt6B+fpHhvP2OFAbNddBkvPjhzzNrZI+DGdtGti8 +sCFQ5QXKiEUVG6f2z08oD9TkUs5U4oG2JFLryuO5YAqMn/fTJEyQrb12h/CGs19Y8G3wJ3QQ+gud +lXbPy3i62BCK8JjAZHXOlpHbz3IRP11rN+mnCruCAlNziQY1osIrZTXQV9bP/BJI/fKn7dpFg1se +j+Kc1qGIbBbmF5YuzpotT2h3RR4/POIGrXkD6KP7x9Dv4pDPzMzZy3KcQaifpIFHYRtQ67if8a60 +S1s8xq3Ec+fdlPNPTVm/Q8pPfr3xwucoaynMKf1UglnKGMlsqTlM+3BbISrvJir90Ef9FJyZS1+E +9laCCWj/udho3RZyfv3FMKCURJM5O+TNWMZkYZQgjGII/bID5wsE4sUn0VjmlZmoH//3rrwoKTCf +h/ht8rxx32dc9yTxpZIRbFiagOZ3KgeVo6enFT1+mS46wtqBMUnya97H2UEk4wR7MPjt9NI1gfcM +ceoRxd4/kJ3aTQ/CEefVc3Jlp56HD19IXLeGHSXlS8sH6ebY/gTayTQEKHl4kbvVi9l/PNMWjP1l +yA4ikGX8tSIlD4eLBBdc49ZYFWXdGKTnfPS8Mh4VFG8KlrGeA7dLchheaX820S0sdsuvSqk9C4Ip +lA+8YWtPvzAuAM/4yKJrN0nnOkWdNwRwmm3EQIQXuTHv70x1s4oSL1Ygtlfq1sdF1D9KC6YOe031 +OpI+5B3SN5/YpYHwjV8QtGTVgHZWpw6i9Zzwni2ezzkJUaBGFU1LlsY8gm8gkqJIA2mF2FvSu3bm +j2LRm23QBitZ/Jht22getAd/qDeMyyZGDpqp31RAV7bLCQucnSoKhSiGAZFztmeTHksVVquFdXUY +AJKPDiaVRz5qHLRDrBVL0y80XpSFWpoAkha43wo6njIDT5St0N0dAJx2FeHrRmB7tfAtRpgLBQBS +b3BZH8yOPtvNMxFG75GRduWfvk27ioWtCwx0NdjJIywJvEUu9FF02XDfCyDYKL6hIyPJBiE9V9Q1 +qiWgNQu497NdsTq8gc3O/n/BGDVr+u6lkPMNanEMTwlLY/R9Gf1I+9tlyNE724P3C29BVSl4TUh+ +JTzCPmK4hdpdHi5SjvUXwZExVYrMEkb57YnTRCT3M11WjHMEUh55jgVnGvpzXZWUwtzzFhm6v9DH +2rZTF8qUACHCa0Ku5kQCXcMBF1c7+0ugvDg+ZhhpF3MjB58bEgioO4vxe9SaqABzMaezZ2ruxDa0 +gttsdL1rkidJwvM+ZOzaEr4kjSteOQAiqirLz7dSQ+1IcOeaOUJB3vHN/movior+NYDD2gwHWUsn +rcLfKQkW1EqtguYNjpeASLDypsfof9BfoF3CI0P/CbTk2e/A2rw0MMqG5ywObFuatDf2lccI4hrC +r5nBTbWCo5yueeITOrdqA3hGbpLW6ksS8X1p6DN0I1wfCMvhDu2bHHGB10ekCq1BIoVTH7M2g+2c +Fl/xntP0rixx25NrrjrcDxSf3v1d7AXYnkwkMR7WqNhaRf0t6rxPXIlyUnYK+REksbYzKUSqt1la +VK3gh27brbrYA37WYrq1Z1K2q3YcTsZ94GuOz9DgEaDoB1LnUjOlJQOHVa5myMP44OkarnYMaxGg ++6JMPKNt/49lRUufAb7YC10khYfbnOHiZYhMNu1miH9KmLesbGg+TCH0fdr1TjQzCEkEbqOWmgZx +gsqUTJZCXSzL8IYRq1+MMGhbQFw11HsoWdi38yPar1k8Hxo7Kfq7RiUvjN+lKjZQUTeGc5FLyBYd +f3Wcgz3Th7sdF1PLRyPwpaM3FPesRTNk/uzwtmmgre8cW2Lv9WQgGPF8YUrE7jbaswD4a2zxQzkK +NZFpQbUyf0JRrT7vZhNQTDsqGNFVtrEJixSu4f8f4YLsqUkUHsnZd0gw5+hakIdGty66Dy9IzOF1 +FiSmdNyVJM/7pb69IIlsvCvMetNGwEPkK/WXSPYhLDgSTwPd3pZZb//8N79jmMVZXJCQaphEQwie +J8n+tOe+l2dgRsinue2/70hX2lYwLwdQhf3o5/F3J4AdSXWTl9xjB14R4fgcaV2yydWWgh3V+UiM +cWONzQP8zSGg5cSCjKztyXasy7367TxbmH5NUASm21CZbZphRvBEehPvnv/c7tV0vYBnR1KOxyTS +Za6jL2uFJ5cq5e38HjdiSNzg91IK74Ml5RRNI6ls6hmSPdpHq9wNbG6FIOUCabc8ek0+QI0Y1qAb +y5/FwLs62uFZW1XsFGJLtaIGL6fxV4+68zMP2xKrLwP7oPv/qMUql5lMTgCOrAeJbIP8Dkv+xq3X +aX9gZvj76ik1ZHQWqRFhoBzy93Y4qFtROIa0CjBgBs1SfQKXH2mjIoYuNb+jDhf9UG75z0Hbnge/ +++YfwlE4O6WCSyNAuCZ5FQmZqaQNox/kCJhhDA015ohQGz67nvYFubIFYZlfXgFHr0hdisufzc4z +yuVPIj46y7jrsHSBIGE3BDzm1MVx0+KF9TO5cymIAWfOSDsXLFs0sma6xvO5DWDjQ7G++e0WaKSP +6IQUwQ2JN/vM2lccd/eZK/3suv3iduziof+dtjBf/6nLha0QOHhQ9IR9Z5R02HMvcF/cC+vowdrK +yGB0WyqCcgwjIH7VCWUyd7YZihHsHVLRcv3iky6UrVl7Ox7qfLLeBUZYuMZVDI2CthqiLGQd4vgK +1X8wuIC46onHQGCBAROGXjvuhuE2GN4J9/7fJi8r0hrYvxwSLPHMyfgY8zCZwYiNsAZcDJ1upU9P +UZsbz6aPX25v7KHUS9+t1DKFDT34ljg4B7Z/8qOq0AWTARJsQtc2G24rmOV2ylGccd2eiSqTvc09 +0jQyK+0ksu9puNteFRNZqXXrcZWNhMHiS2C55zKV6rrFbVuM7QUHjRyQ1uj1sz3y+r29rkk8CbJq +YOm++KDrU6iHK/qS+/nsd6giZSMsZcv6avx1eVCzWXvPyDEmjRLndXyoY8x3t9E9EOl6xPcxkgsm +i7obg6bdm8Ewo0RWmSFlgAQXvx5cTPlDK7CT72KXAmmkuTjC8fq/sbCYirPTM6IgvOuz4Csywo0K +QNAcKe8NIYGAvsQ1dECcLOL7sVfCUekhry+zYMvqXTX8k2+DuQsDnuQCKcAg+7HhqibkyQEB2qwa +HTZwY1erLYEMmdyLLEmrNsFZRHoNbUAX3mrOeiSXiO0zhRQPP/Gy4xiPFOpWhdFscpdbNcYHj76p ++tUPGlNxxTz4ZGbq2cXMTC7Lk4rviOyQa5JzH1R4Vr3LxXApKC+kSSYLxgLngmuwot9DSVunMedJ +fLtsSo3hujX/93f4w/AxREVjMU7CYAHuT2KbjFeRaiCxYNC3PEshTO8e+3k8p9JTib0jHHy/qu/N +TxRP7ChcE0/TjVpTVQD3Zw3nd9vjDkkTkY2FnX9BgZFIjCYhzsxP1eGZ3q3cvKgFvWWnXPQCFIci +1zj+mYBfmM2vXm/DE9TfTIHSVbXoTC4dt0wA6FK3KsA7WOA/vkY1lFP5MZMfstpvf9M6fbW5aleu +fZbYEKuhJ0exQyEyCAVJ2N7eUFPglw1DqedI4oZtFNDnlSyUpy4cjFDfvebYiJJ2ii8OgSSuIa/O +1V5dLISKsk1u7z8nRZ+hlmlM5i9tYXvY3q3PY4wTTL3r+ZDSlJj0cwDPd09SMY5brEk0IaHWshSm +yJru00ZjjC5ZZQpTE5gWWsmo5sFfF73xKL7+daavsIN8rm9E1kDLFIvTcc0CX+QrLBFU8H3Fj6+O +T6pKBBOzP2J/1ELrdMsxzaeNup/UosBnGyKOnHIFjaEB2DsT2ZuRTxobtprWczJLTyj/La6Mz0Kr +cm1YS/CxdtGo8YzUTa6JhC3MPANOHrtqcKdXTLUc/FO2UyNnWoXeU0ytQtDbNTBuacKXbRZ95Wpn +XCbhpEyiBR4iYXZTY5bdUtUT47NHyGtXGUjzTuNageIvCwEOoswdo3tBl6qY9XNxddY+GR+mMXdB +h67i+s+XHZq4M1pda4Ex7N9mWTYhBaKtjBLtuaaoSo3In+3P9wW9sSaSg0yZEoH4pdTxC0HwnH6r +5xbaC+cs0tX/0uxVCFsGUjqZm4klOxsisMo3AL19j38IiEzzo/j1bimoj8uI38iqm8MkvJ1DIHq5 +kOWBa3LbHpS7i/ueJ8jmhZrh3aGNS076S2NycTXH+q6McOnBuwMXHKtWlV/IZtjF9GpyRz5hTfiq +TfJyfGpyZd6XAa3tJy90/NI9s0ygvqirVX8wi48VczOmcbzIaBMSF6YZ45L0kGdHNiTcfUTjxPhT +x1VFDK6+KbfeMzTUajrrUj0sNRN6RK55+UlcBMFTILBack6BfMDh2rNIXZeseSCWLwI6PwMaqqEw +CAvq4apAyiDstjYsQmuuha0+UGX9mP9HGZaH7QpOJlbRVkSryF2ZeHVaS4JIpXec4KAflCJ8NDPn +SNCNuAFpihazoMXQSacWAQqIBzte5shP2vmt56Mc/T8xNwo5I0Z2omYX6cEDJ92xflav3hSF6gby +d52aRtgjYcskRZHsV+OSlbDZPMnJCuDKuBuvFzglJlVjvJ2B0vCf9jp28AQJP7zri1ftQOLgis+G +GMQfXDPb//WwOe7B4IOav22VEo08T3ngCDVJxkjmCeq4Bmbi47NLgXMfCMrIKFTDChAAqWisvL5c +Vxcsy6Q5ve6DXBVrCWKx+URGymFzS3Ui7cJ0S+QTT8F5+vmR9+5rwMi+5/aijXwphv3nsT3NSwgi +Yh3ghv9UdGkm0OoftGoN+Eq0MoWGaxFKEFMAub6sE4ZCDdizJRBUI/0teamS9VIstADsQxNINtdb +IJand+OW8P0F27ij+suityYTgTzUoGz1UDH7E3BqZKQavTcnMSW6yX7dPf7mcAHSv175B9ihEXpw +0COrkYA/1OUL9Soxiz7Z7pZLhJc0A+qd/mvjE+OTiamAxhAkNIzVXutq59FLSk/4EOSn8GLA9xxN +imN6WxDW4/Fj2M2d1mTvQrf8BxRBfHu/AbNdB6sP4kmeKbEc1kHXi3GHRLQ8IlC4FNpQYBnko/Hm +OPMrqA5Or4AB50Mt+26DeX1qCXh+ffG6WUsUaa6rJdUSWPgf8tXH2N2N19RpkMbV+WBbox+Rc8Zd +Ukeu7wjT0eLu1BkOopDSxhuTs15vBKX+VgeUa29IS/oVqM6ESUEsldwGtf2g2tK+JPpTE3vnOHV5 +jOUyinUFh0iljFInV6wnjXwSdLzLYLN1JPsZBdU1oKg6e0+db/3VArhuFOPYECKlH8w94i7IILy0 +sVU72/1MZLnWLJNNCZ7PylB/2hdlcPcQzg+bHISrTJs8gLweUFKCgT1e6VEE6JeXm6ZhDN7oa3bM +r8z+g7paHBijsv0ADKCWfjn0/zyU8QQ894jy7w/YQtXWo12JmZKP5JkRiDfhiufXzfQEAUevKfFS +1ftYVuUj15SC/vn379ugxs7SpjB8TA1LT1PK3utaYFqk1mN6A3MRQ7hixxoqC9mGvUD9GWXCGGKc +H/3uSnW1pKmsqATGhkhYjkYMSHnwtXEy/hxtGBxy73cRfP8Y+n0tTh9aGUkPjH9+a0KvZSW5mZLD +R9ODKaOJg65xgF/x7eSkM7a9hbEctEF8nhNr3fn20DVAZkgsJeQmoU7e24UZwGQjzwawFMFWOpfQ +e5ZyrNWIBX3XaaBT4hQ/BTq7ReAfv+rb4z/XLFGhfrJkrekT+myQAQJ01YmYh4UuGxLvMyrJNouv +9RTZkDc7qAkYWnfgYsZeulaa59zrcIWkDHpHXB4m0UDKwLZNJTXCzRHYMrvagV7U48Z7MLo5Hqfe +NA/18bu8826DFizDSpxmXSX1lNSy3WgP0Xsc0b3bjGQOShITLcAD4K0Q2A1/Ldknn4Qyvpq9BPOj +BUeTot66Ew4Y7RNvcyDCu2UBCLl35aE5PmMCRx2fteQDm/JskmQ2S/jLpc89v6W0tOqhRhW9vIK3 +7/q/peD1AU3oOZhULZ60kGkugUZD3sIn0mnnjNh/fBcPo+lbuUi/5GgS5RIIKc0MY9SM2oKsFGVM +uV49sH3BPiKZJXrPFwIYKyv3ZsS8+5AdZR2J1/BQQrsffZDx6L58hxJiYZZXoLcSRdB4B00CxFP2 +Uiu+Uvto+tIH6IydnUZcm9EAurgT+/YYwtdpDM7m8AcbAmUv/fekJuFQ33v+mSQZlGLNf13iprQV +w0A7bIhiIU0VtSSk1B8vI71eyBTtflYa2tAJCHFcVxoaasGpYsB2GPyX/oc8iuJLwnZA2KR2yl/y +m1dp4F7E2CqKV+uefG1ruINCBaxLN8bFOPxqPb4JZ86y8VFhYAi0GLUEL7++YytgS2J7FR2uoqPu +nrQsrgteRonvMinr1+GviUc/gvZYmBob5WF1gQMutnMlLsULLqu8U+ENQm+FC7T1IPnP4gkjFiv9 +KGihEwHXoofN2haJwJ2WjaEk1S4T6SuzrsD5kyaK7498VW+2Ql8I0UFthI85RmznlQ/DWNPqtu8t +zVKqNAuPfOpZF///q6+vqlfIOO0H326TWW/PnVx1R04En/SZyNI2xtG0A2uP9cz23IevnGiPMeR5 +i0kZ71AeMG2CfGMrE/0vtZywzTIV3+JkLUUiiKbvyzZX+Qwtci+nXOVTP2ss8vNahcasZayTweUI +JhLkYSZ8rlPaLdnAAooIpbwexC5A6NnAYe1rIEXbqak4TQ3m+GCV7jKcpaGOgGv48hiBO0CeKIm0 +2Lu1++4gBpslAbZzHLwBoyYYBhT7Dc1K9HZ34fhfWrguHc2ATn9XEu0Tr6UXIp82awFrSDSkx4CR +nZa6Rq4zzdVJB701pZ8GLIh91wT7aNLqK13PGmS6Wu4cLQ748fZX7S6pVMGYl+UlCisUt55Bz+aF +rJsRzSjl6Og6GsYQb0JASFbAkhKBn+WKNxbRKxd+70Gje01pOix9MBDZFP3+fVvB6HPwu7go7pgQ +LsMHb8VkcW7ceDm+HGI6bPlLCh1cbdpNr3/D3B2SEoxbNYGbqdoTYGjL4slmSoML6RbGSBfvexkA +gY09VkyD00k08RG76peZwsP5jqYy7hIP5dA2r58HnUCbl/ZM1qdLiifzmyxcKY00iP+S08ByTkD8 +LIEAozubEgGghzDaYoxU7D4Gt7wJviVsWBQUXd+YsYoXIMjg0j0KIe1fmua2lqnnNagkOrQ1OUZH +knCEAgK/1LPlVVQDCFdUrWsL3x7EERvxjG8GJXJyAhvGPRNNE1V10/RnG27+rHqamFHiixpGASkr +r5M5nDGkW9e9xsK1WcRUBPEAz9g9vYuU/OzTLr3mcdNGMLi3B9Qvv88YZrbl5azQVc09WiM+xtxz +JjWvcSc8J/BhoKG+Re8LIjAUy2vQiRIstRIlxKVz4U/Oh5eF9+M6vLBdurfjZYg54W0xBgMTcDeD +4xHOaCmflE9u8cAq91NDEAsWSRWqChvBGkIJTfu5pTX5agXYfkCA4ND4XnsTGKnZThLImO+anE04 +LrnSviKw9k89vBLxtfa2l4Szick0I9eeJqQbwXgisqpp2VhHdNU2vhVRapvxU+Y5Ja+u2AaSAOY/ +HdTZulSOpahRdK6gzBne2YLammTMq6TiumUL1TFyBdFqNNU2u+61/PW+gmqs3IJ/0klJaAFzOxmt +m/YcX0xujDURnBEGjnH91cETjqB+uuOoP2Q3EcQjhtgJXB43/GjILMk6KIJBWhFgtLRzpFE5JjIs +auRLJ/AL5za81TltMtV4fAa4dlRnqYZMFvFv8vgjoIKBYDwMlKe3/8xWDJ7z3mDAxClwdGDkq1/7 +qOyPuHy28f05HI3bWKNXmWNJ/OkW3k6nPyl2j14kY4sWBOJ3BP018PFkbkmeNRD51Q6adY721vO6 +k7ImMldAcSUcHY+DRS41lyTTORr0SjYD5KGWu4FlOwGc2dqWVdzWQl3GwuWdoHpdVScbaYAZDABQ +EpG7UH6UPAe5RHDbnNr+ogeIKpDzIeMrhbTK7gWhJ0ACNkndQ7jJiKsohXMjpgP3OAIIH2ekFsLJ +NGgTniXp5xDA86LVd5qkfMOgxayq03CbM6aQTM0139eoU1otWA/zqcg5IGpzayf/ZCqZBVqFEh4k +1KMDHAMBPOAkbFL/XkuH0h3F4v+5Wxed5HoWFvTnwrheVgWDoP6pagGQP3vUi56WLJHpRsfY/knR +gED8j5SlJMn6NzBfWtKyt1JDasi5sUtWuUJ4WHrkDj43wbRmvJc78bkizYfunu2hKs5wTNyxE/Jh +DAqzqUmU/7N8pap1w2n294lSnuiACayKDJ+cRLMEONixeeA+pu5sUWjevh/Rx299I0ys3YuJaWbF +teeEx+ht+74fAAwHaFgV82uvwxdZYBnJGwRdff+QY0ir9VqIdKObd12NwIpkv4hWhjY3Uyzl77gY +wRg9YfzlVBebZaCayZOzrdB7FOPDx7A2ncHzOOGsiHmppWIZoaRPF0VapzMkaa4IGMYHrRPWDgxe +mJ7/RDT62cRn44fyNPe99tSGWAG+mNObZqT4osC7Mh7Y84F4D6ICkDGY+e+CX0/9XHUhiOIZpNa5 +6Qaw8BYRWmmm75QXMbNCsnOfHgXwEmTTFpvx0KpC6penHyAwlCuwQXlCg8P3gQP8Rj0sPPyjZkco +Bw3U6gslSM3M27Ae/vlI4solDAX4970lhozlexZapbii6FfqjGo7KUSYzMLxI1kxsch/tEj/xN8Z +cnobFXYhkzViORBUygBKPr0uY/GlgWSJ+CdUUtLbS+AbY06MY84dMgeXG1J5xrXBK0i5exVCtmlJ +GX7yBgBbwXx8eJUhGPADA+74OOsAjKrDS9VkmOjiUquTXZPWnEGTkP36yWxfEyNvJD0eA2egNMkV +7+w6Bv4RkwF6S0OQUgvVWl8X0PcUoerwniUbtl1dAVpZhBZ2F4dvGUy8Kf2659eEOn+5c8x19Awe +jyysWx4Qm/dadoSEmisENlCheFEUTyF6RzU4zn6qtUVcGGFfbcArLwlQS2XIxqW+Umxko+JAeRMo +cSNMBoo1lJtny9k96OC3NBujFrW0PUvhWT3S8i7kH0ALggXefXCn4X2M9kr5Kn49qY+IAwqc6OQf +U37ZNWcYtFvwJiyIlwc8MZR8pHX+EtDyHW/HGFlYvQOrWB38RF8H/uUPB0m5sOO2JBUNLJtS62OZ +AHbD4PSOCNZDnqoTa95IPdX7E7SwMEC3Vuaz3kzStNgm0kLqC2e84rneQlRXuqWw4o9OcylFpV3Y +fKYZKfJEtHfVpfpU5pqoeIAdWRaYsCAy3daDbnpICJtXcUlTAkp9QNmPHDtovZR0XlVbEuXoa3Q6 +aMdVRMpHkcZzyEHQDBtvt/kh3D/OVE5cln2zitR5UiJ5d8RZ2t42lfiTAESNTPNqEji1RdU08R5/ +s/85yfLULSw7gqqRirqxBrmw5oVLGIa4KAHIEgzbWgPZPOjaqSUmAy+w9znypHcuMTDDIu3YRkUj +aCD6Jh83pkXziUxo9UTC023RaqKtMjhg5mPj8Nkd7/o/kGbvC96pXF00LoAoHEDKEDBblDGxjY8H +6ZHHWRE/C0gK9mPXlLTYeWWHnOcIejoE234GS1rkHOSFGhH1Naa3i9IQO0izGATTIxWKYux2peS/ +4cJ8iKDhsARFLPL84wzZpvbtoiqtC/4kMe3nGCPCeG7xh9G06SDQ7vwOc8JXMKL9joc7259Dq/6c +EQwCcXIgvwTxi5PR3tfCvFws2fg4gKBLxcqcmtNSWLoGd9uMAElphe4Geo6XaKfg4Bgtn2jMFtky +njFvkHYCxestis0AzYTZsuWdAg3drASoFBHIOqfSFuYe5si12pUFVzAwRr4VxZhaE2qqaMc/QN5H +J0CectO/H7Zh8PsHSXkaABOG+GJOiR/shdJw5NKr3o4i661K3u25MgACELm0VcvU2NpGyX2SAY44 +DhfFDwxprdcvMbXlbXW6def27AHRoBEuvZRkD/7QXg2iIJFYZImAKsanpB2VmAjbkeSN8d1l5wSO +Bc5zOigxgXNvDT10YQTmJpQ6xPPD+BCY4R8Yqzka10FHqJ5JaWF91vQhANKb2xk9/ga1E3HXmq5I +SJa5ZqZmsYRx25PRXwrKYgYEHzGUGErh9zaqaVi6wsd3QmPeIltuGl7s7onkIzoKYODRpQTanYWO +P21KSFlsFjA0OvE53W1oSY40jjcVL9k5JcsDx4Ks2cu4qNpEr0Z25RaUNLXoqU0xafi738jqLnw+ +ye3idQCojMvKvlXtdSijXb/vjqOFLNnYLwpfbU89BM67uGw97ZyXZbpTKq9MTgqLC3f+gQmIgpNw +M6HIhY1CRyqerbTpTI/2R26nvvU3zPaUWS2Ldyd/5hy4O3vTQrXcGee2EZ7fUOv+K5lVlkc/MWsw +3hbtS3sBvUp7jxFc6LUQl2S5t/IuU4ioDrbbGtnhcuHaUyjWzudEjlrh4KABPyUdllZPG+uufhd7 +CShckSgSBL9d5ITS2DeI2ec3IW4vAFH+rujzwvS3ZY0Ef7Ttt2Nl2009b240juKk91jyhgiFaQiH +cnQbR66siTiH6mTf0vW9J7xzQqEw6PVHwsfkRZPVHyoLIE4VAn2iVWKZsdMcNoNE7tn2AadBpoTA +zJV5n3oQdeiTOXSPyuHN6FfpnEKboIVyEfdYqrpojYZSmRn6n5LJYdzYE/sl51FoUCzlfIt8l33T +Al4Z0q5LW8MEEju7vxg9+IOi1uOLlal7xmlVVlfnzx0Aam6zXDEudbKFK6nC+AHxQHc3DhpJDAgz +0tI7RX9OYkYlAbzDZkunBUtiEZXHW154GKL4nLfCPd24gjruLNkqGpAg0crIm0lzItbSI6nDNNhG +OtOrajtqoFIf8KuMkLyz6D2hhMINCUgGWHATrNMsOU6D0zqGbFzjpczD5fgpOAZPieDFfGBv46Qt +bRdH+OXXgtlx7AYWrLyFbkzoaqrm0pAMfp4OsJJ4UMowj+UD8k/Zj8h1oKbarmvjwk4FIW+MBld3 +hQ26MfW2uONZ+xnj/iOYCpA7GoPjJwoq3WLVHXMmQp5USCgQcSxcI4g4BoGcEZblH8JTzK995lhm +9xScp8582SC49nBTzy7Z1yEyXfhXLqmLAXrCiWiGl46JDi0Jtui82q7I0sOTSZzL3dLW+y9dUMMl +y7fZZYByPm0sVPX4e5XEeD4i0cBd5qXUXvi4TILWr3c5N+vyie+T43L5djY/E6tsLuKbnVVT5u3m +BCBa/KHXXNJMZhm9PoKPJVf16hv8fi/er7WPYLFbpsieyNPOHkuuG/WKuZmhJbmwUP4ne+y8teKC +o7DxfN/lLVgPkURCtQtH4qRjL7PUGzjpdqhCr9lwxDnhhSRtM0X96Sn0TyumxJ3D3gyIaVY5mGvD +Tka3v+TCLUshyatqgfk51DDUQZ60w5ZRh3RAGIvwlaXlYWMPmgKi5JZWQH8yWKXdqL4ZD7GxEPCw +ssE8Vsa8h3gAEDohxUqG7+bo26C+22Hdp6XPFeHZ5D3l8Ht489r9+MHQYKDtNBrs0NGU4oJDop0U +sspgZcXV3U2oPF001HBRIHUTRBKwzMpT3qcOckZEx1Z+F/XcUad4PMfG+RWnqsYRe8n5gghuvglO +gUclBUTpAV+mpGma2iIfR0ts/p+e50M1isHL2RSC7JCDrtnxYXrwpQiXB0nHHu4KjMC2YdXTj31j +w09/KCgkJij+nKnZZTwvnGkMUVALIia9Yg329BL/6QvrSyzLxewkbOSE3QUrpEO0GODWOXsF8i15 +fRrRpG6Nktx88hGpcqgvLQoRbqQ3F/QC3P0WvL7jD6yoAPthc2FLl8uMY8yW8QEczrnZACaICMlS +8EbznDavr/oVaMjIYn1BJhMJ+tL2ygvV9Ap9PHtnZtVAq8gJe7YS4hDTCvYoA2NNSaca2DotBHZC +HQnHZnJjbwWG9vKdUzb7aLg+YhCh8pa1LIQCn4v60EFv15+jLFUBIzf7k/krb8aiBCbl+UOpWBPY +yh3WIPfWvJN//OnV1F3cXnkP+KMo00MHjCuMIIG9HNsWYPVH1tiKBsnPTLC2RXb2+/PI3NKCykgX +hYmnvfiz1tQoqweZyYUzrXvfhDWbCEaUsllZVMPQNS66eNZSMsRNNE88/oHJyrnFYIvftElG6k8C +0DDVx/pKSX4MrD35OZMxJnw8CLnWtXe2jY8ORbHX6bixEMlS6oTewcCArkP0VK6kYWuaVDfLRTTV +qvR4syoGEwZEgp8xJdfTCgHTbDyJwYaw8h/gpfb9QzbcZPxPXoH6sQf1tAri3TAXZi6Olp+xK1c1 +zozNmQ2eJi/3Z4E7xASCbqilTRQF/iyUZ8/pN2iQgB0SbqGJmFWd+w1MubHMy+VZhIcWAzwNtD1e +0GF3Eh9CXKHlAn2jZ0MKZ09xQFpnUVjxUiR1m61kxokcGbR94VLmDKEF2FgB4OLzlP2LBFv4sb5/ +RmHdBUlk8xw963EVG7DRCvAzbc9Fk8L4D6D2gMp+Jw9McyT19n3L6QBiCfyvR/q3XS2zRuMgzIPE +sdZox2C67dwJd2b8QGVY7WnXlnS4hpqE0+pgOgwdEeVpMa7JOG3V5FvB+8bcQ/MNy61+ca22EjNx +79BScywKqdTyb4O3Wv+SCYyO10RJ3QYI4DD95Ubgq6syooHTLlx03G9hb/8Brlb36p6te/RTWqLy +dcBkqFQ1+BIdVS8bADPUeNvhCdEwLsEp6PdY0KnvzKpzSLhWIAnS5U4gkXnWEPyWXZvKehad4b+X +WdL4cn27TBWA7aT9Cze7T3FKFVihhDRpGoIIyAbA1uP78CEaCE58Sz6e93+ZWM2CQlV3ZOrO+817 +44z8TXQtfolZDXwtf//5NhmaaWHSqykPOeYoZ/DtDKrAprsfsv40wfwrfhNVIKLCl9RWnwIZll+T +6NF/mRS8++RiSRGcPC4j5o3LKOOCNdO1gKlV1l66/T5pB0MrC7lQJaP/sQOaRLxOUNpp/6n9KOU7 +IXKk4ISHEYQt1q2CysmVaNg/Ez0p8lXWnMKCam1LzyMPiJnOuyM5rrrtrts3bZOovARdKXcLW2y+ +4CzqoYJcwJudYXTgGFfSqFVX7hQIP+7EpnzhjX0q61eQ4WTDgiOv2x7/qL0WkbVOA+ixR+1LyRA+ +iJy2+4x5aablVoHtsiIINBNVK8mEf/R55dV3xQwPvqm76UlxAYa+idWHRLfikgakvIjCS5xpd1pM +K6I3ICaTEYQmofX7eKDRwX9YKJlfpzrBPB33Wtu1hem838N0hYwZpfAgQ+LN76uHiH58Gt8X53yB +gBIrNWYyeromN8Rlmb9mL5zCpkFFzNLkEgtPD18sNlxktkV331dswe0MgpioKmTWTwkU0T6QBh2J +6zq9PeTfu/t568ULCGS1I46X4fy+bvthABEdhOpuAAvXjcj/vbg2s5YSRwL0qAS0eemKP6bMydQw +1gf4rWV+uUjPlDZXKrpitUpeTjmsV99JrljQShsJooVlWKh9wsZ3SWzw1XdsV4hX4ZsTiIDnIiMt +QVxJwqVx6dTWAfdyHez8vDB4YINeb45ohuAl+uUdVBpqAcanh97sukiCwauOsyl0UYJ5ciQGuwkx +9jutSojIv6t+SFviRoL7lgS3j9CUTGtqEWA/CTN//wOxWsg8SmgSNROo2ehZKzCO0v98lnGf//wT +O0WzTRFF9/vUGA8zf7AWgytWYRta3FRHrouZrrslSm+CiHBP4Zct7LhN/rmNmLh5PloA4qKKC6s3 +2zAKy/a3gIAncUa+JCqe+3/OSN/GqUMZ7AQSCaaV4E5AxNB/n2PxhbvefOmwKQqPXAnLT218VrcQ +TMnVpD934WHTrPpM7Um25jAUqcyDk9XXC2YgXZhmHQA+iA32GqDE0dusTB7sp8lTN5YtH0Bi+f1f +94IMSqBlzFUFMBQzUn8ox+SQTCY/YRWODk64lMac5ed1IaedPWgFvgxxThQI+F9lRyQLnWgdtUKk +2UsgrR3PA2zXO3Cjzvtsl6r6O0QFzpyIEVd3MCriGpN9mFTuEE+3nFdoNKzCH4rl+nOvMU+28IIa +zk959LLOEdsh+gXCwsbeAQy+sHW7qV6d0DI5F0EMSDahma9JkHJlp2L6RiWkiB+RPSrLGr7Cao3r +3uRazSx2GzfZoHYB+7GABvaTyGz9GNAAb4Prju7Bt7bjcEgL69+ODUS7pvwU9xDv7hPhOZcZKzKH +rccY2tVt1QQ78r2WTus6ejOaJNxVF9UwATmWgLPHMusIY80hkSLOCEUHX/X0B1TFaofoA5rHzhLv +tJYAiW6CyXbBO1Q6ybdWAqLN/itDPNGEcEsXiFkKQcH5+51HKmpP2kQ+YvR+jb0eQqOWsenppm3l +Uyj8TtMOrthRuurxKnz1EFETPDWhCa1CeNGlXs+lNEZS5S7YM9L3GKl5T0RHST5mzPry8qTKY+Bg +D7N/CSTNBD5J6zVvctqjX4HBjGQtefdo64od5IbxBYBeISQsuyI9IH/eDWUtQc/8i77RBYX0DJLR +/h5CqR5PvY6J3QlUe98hZDlbu9TFFrHu9qrOlZlwxBd6zwFxLnGBEjt6NcprgtNbXzj5L0Yh6t2J +5a6MUm/PZfAkO69ID+DOAqX2PPJVc39n70p0+2I5wT7rwknCEIurSvybi6fsCxSvXd2k1ydWoRgh ++feTGYO8LklU7CmeiodEBp5PKR86DeUpk6ugzVqWPSnEnfRB38YtZn1Yt53vKFzVBvVY+aiO//rZ +Mm0epoyq6SxycxhXzKTAivmc7yvj6rrQNKpqY+Iaze2Gw3G9bI+BZ2gGUBUhm3pLa01KraAZIL2G +Od0qjHyF+1cMGjNVdT3u4LiRDxHQ6qWFwc+eEheN6DluAAfxqfre8hTo5Tmr803vfoewMcWTq4/t +VM1wvsAyR/JpSRCG0muKhTbSv+nd4+zldj0sgjeDroEMO+2Qf3jbPgjfROn6SbClhnu70e8Xv1Pv +yUbETHaa9u5dgBILBVGQI1/hwj0t7z9HZ1viqFchxL7dHdB6YAw21UwvO4dCI1VMci4zgOOwOSov ++sPK4xDkLgMvhHQeehv3r8BPnewKiu3vHH9a6wp6H1osdZna4B8Ut5g39nCOyyrWzdQsDK3ASnjW +Njrpe+Tg993Y7whJ1Tmj/+W8NsslPPlaUVi11x6Run7vgAs6WRUSIvUGUGVfASnm+1rD0S85/AO0 +cE5PXG1/5cGMx081Bbqdbz3vlkwuApVpxw8ARbvDqWeKBoJN4HL735VY+e27O8+6O6V3UE7qMUMM +BABAhWEnDhm7jgLOgdMoBOv31XWZMTVzQgekhznaWDqWEWE7l1MsLLVCLSGD2QTIveV9AgoP5fht +ay3CMCD0B6yhF3W2+ffTrY+A2wYigeytB02erN5nnVP16uW/L0Ewbz/5lHX2mNsgziPL+SbWbZrx +T2fbH/lELs9YyadH18zgV7K1v2FfX/51+swAZzKNvlGutECsMCbUuoD5/YOUcx4vgFcJLpCoC7ec +Ux1GqUlXhAV1AULqVfL+n1h2Bae6Mv9jkMHjmxJqzMaqfuXIGkkDhhUW2CrcJPkG8f1pjTD8F40d +8quOfdE98523ZXtH1sTOxN8Ab+eX289GGPSbUpQ4qOh05qSTb4Oyo22dUDb4sEMsob5sirn8UYny +pGmjGTDTjAIkAC3sPV4y5MPnKMcA2i1pmUinyLwJHMhpTmevFCpQ1FuI0weZ8bJBJ7RmF8NV7BAJ +6ARynffsOoJ92E3e4kgVm/R11vDllIxlKd8uuPonFFwsHsGAF9zSaj4wP3nD9Yv4SefbTFdGVTYb +8tS4luWlSbDkeFpFpQmpSf12Mu59rfCvwxtG7znOZL4a0iRdFPPzPIBtkRxiT0ZSjB//uUU1sSd+ +kdBgwYYSAyjnQ4ffYz5z9T3ghvjI5ukt4jpNrSGxueUpVPiuQrtzaqRzntKAAoulcMgwfFiUuKAM +Q7XXCMOtPBFqeo03BkdQzQwGw91r2WmVMSkHomUz+BuEA6WYb3sDRvSQhkj+0xReShG6taVBetKK +0Va1At+mShx9hLfH5tQVtdRssmiDELshrUX4Q19fijBEQiR+DfQp+moTyeA4HTR68EhXaG4PH8s+ +mnquyd+bf8Iv1oARDZvQh8Qd7VVmGEWhQ6qv6TWR4EsRLp7RS2ijiWiaFjRFvZ2I46yiH6sQytjd +EemjOpt3fTiTXwJ0Nv7FJ3FGoRVipHeIJ4ap+UzPsB6xnGvdFRCpcOSYd1fOOhFA1tnxOZ3u02wh +0e/36ER3azITLhZUTQPUIucYuuAmzwfm7kTVQ7x2B5BLWkRwq4KHKlujX6HJGkmL0tPgPnKqmvrn +iNNyh5BgyEoAPmVjBwGSS8LBEopihYiRGnTBrtnpVJRIQuEnokAV4sx+yiM+zi0D/oNLQON++UjZ +Dw8CmoejDCR50XFYJulgJCbw0FuEI0Smjiz01ZOAHVXRI0MnLa9iaW1IJJ/EivPGn5NqyM6dTg8S +wM/Wp52HN/rpG+LaUsGMh3ig2upaAcZocABx2m/z/y8vICb8j8GS2rId1/ePFAa8cB8zsmmMagHD ++SAAj7zUkZNojCStIU/iOJrj+TbEUDO5dxghY0A44wGFTpWWy9nvLUnId83mlrc1vuw/tzwrxjAL +mGPRtshIVBxNXKpYrM/GtTWKvzZxDPnaeXC5Yn7RgHizhbmkCkLmb3YOkz1IdkbscuwvsxkyD3S1 +lqvmYMNyHj9XdVPyl9Z/UtjyTwQiJyoRGD5Opuc6p0Yb8sMtTL5Xp2CU4Onqo7VVafV1IOELkgi1 ++5ZhC8O2mrodcY07SG8ltfvkujiIIOugtynV5BHt1VVDSeRw4PROdO9vwjnCU4i8pRNZIdUdJzWM +vO/eFLlyzw6IZirN1F85S/idZiC6zBoyAwXiBOMzR+02/2qdCznAFlNjlODWHPL0KqiiR45UkQtk +K0XZvOVygVbZ7+ejYfaaVeioer992MruLaSjz8PoYMsTaL8Zp1XEDGqpCSCNg9sLas3PLvoAftH6 +t2Ytzo9G2XHNTi78pPcbPIHBBt6RwlNge6B+2Ulkm4sx5QUXFq2/nUoRNfeuSD6ZLtdFZ2VXF178 +2OG2u6KNx0mV+pmw8IfU9Q4C2RSIvi+PkW772pAjUeaLCiBnzZ8EUNYiqEHgJyek7OThR+/oPkCM +bd/caQbvSYBI5ZEljz/6Q3W1CnQUh1OiBbHRqdqFOapah4pZKPinIlYtFAf048ZqQS8sQG7R4L08 +l4QUHA8YAP9aQsYuDod8zY8yJ/dhPRXpYZVhgH60a0b8mPpSROE9o6MRN5/ScoyO/VPUb8MQ+zif +AxuLB5nX3D2M8FzDVlNtJZ91K+19VG2nIjThzCa8WXELM4nLwwSFF51qBLkxVqZKIQyaiaDrF42o +yPHoCWVB5pKklZNfURS5n586ofOvhcs0DZdOGf7ZUmI8/l7SDlCoUE8Kk96SNVdW0ew7ay7qI+TA +meL2Pzlc/YF6GtSdI8KX94uCQr9ECWpn5QjPTH3Qm+2K0iazzWoGTafMqGjjOu3Ky1TPZmN/Vnj8 +8FtTwqg+vyb1xojt63r4tWmd9ROv4/gR/nWN4KLblXh87cJw5SSYZJHTHLAEPN0F+cFmXNpl8OCa +3snhOtrIVSILNi5wYokP3qa98D6SMnF1zr5TtNxmj+js6KNkaoOGG79PLEbizrxswjCOuIByK6i/ +9qvSjhafkvvwhHY/0T0I17buh+Q+2WgwBN3f1Q5Suus0tFOnc+xn8OMMaJvvI3MxsS9lUG56qVWn +PvNTlAd+IyVNzTqRsfNEDrf0VHXjzS1X5Zfk9DCZJFAtfuwn5y42iSk1jpTUZ7oqnf3pJjq86Pxh +I7LZtmnxFczBLyEyHIAw9ouWqKSZGD+BqCehJ3cvVcQmw1qvPpvo9PUDT2TaMSQKC4zYS6x7sviS +yTlfsE/MC+RVVs94ZedVB5Rblqx5QN77FFc7EAlX0nDDNNcdJykDFCKV4rNoTcsqQtk4zoDoX3is +Vk8BarjsgnWZ0rThF3bnNmykLlqF6iaxESXPWWpIbt3qjt9F2xZkRzNAkD08FNrnjW69tm9J15ST +YPee3umD2bbzwXJQrTrVlkGeHJWllBKAzqYykwLbfCxzcRzrzwFL+E0USlSGchqtscxOBn5K/Di5 +p7DFf1N/tKhoXfUo5xjyiWvwi0yTDCxlj5mAEKdwfsVtQN5Fqqg8zgZHY5jT7CCR3ciN24rcJM3i +6FAnF85LjwFi4Z/m57K2HblFViprTBuLZG44xGx7JS2BqghnUJZmarJXEAO4wR2G7t4iUf3UUGDF +UQ9p8OmkDDyicp2T9MBSlFxc7KrYPw5s5MHT6BOWywO3bV5YjzZoCxVoZU1iS/sVtlLXbPmveERQ +1h0Z9QemepG5mKEzhnjoWvciKL+M0grOaBwPkBJh/Ij2hCRkpWb1WJzSZl5jmsbrnZWRL+MbWWie +MRfUfoikvnp5rfILzDGDRw34KR6qDSNsBW2wGBCW9E5HldtgFBuoQiWM0m89YuUpYtzCU1/7bghm +yZuChNiIcR92kvnTgq3lNLfPugtuF945pNkY/55/ktAX2zsrlGNKw9kTZLCo8FNAu8+LJLh7Rgu1 +dDaNA+TIRSBH5zsjnlJZgnfuGlreVpeSLE0RNXlfd/Z4b9Niw8n2A7qEId3X3BIjr4xS/OMa+Yme +ypGU3nFep7Kh5ICd3YVDqfqc3LcYaPRW5U9oMzLzBDwaR+YXXMBwqgwOlY9tccSjVZactFAt04rG +wss6y+iHzQb2+oqvVKQ5DngE1LlQLpuKV0WfEAJlRS9RMqyZwm1rYhr3N3xVDLg4ar1Uqm+aX8H8 +NekqyQ3QA28UmESr7WHfCKu8mdQzlUAJJjQ+J3cK9S40ZDx+PXSxWdanRNpblfd0CBmom/NkkM/I +SCh/UqDuZgBEQcKVlE+a1jR8VB+iXmpij/Jvkg1TBvo2DF5FF5Uectm4o2UYDJQOVPOWTvGln3AF +CMKfA+b9C/6PKXQ4MSrKYulf2U1H5llfIAbNBFWOuwUvsn7STOfOGjY/R2uNEr5yyV9fSBz6djlz +gWDwr/17aSRdEPn0tBTJPy/dG0lUCCEwOIq6a2V9LR7uRDxAfml6+WNdEygwBQYuF+Udq/xfrxYg +5KDBvsq2hykyn+qgGUV7u/0HAkbbWmL1unuUeG5JJlEhNTocvpBzlwWA9DSwWPNM7NQQPjlvTeey +1K/yGyuoCQEuTWKvqwfHOxDzDTeThmZUm4q5ynQio0/0EpeoQaQOv69cux35IeAOxq1+tBjHvvSJ +hLCzceEpKIceqWLTaSwnBai/P12zPFWVFcj7waLb96UhQowqxvfGIDJRjt//wv3ZXTKbNUP3N0FE +UV+ap8eM32fnvj6BptUZnHyNrk2w+FGbJMhJuRHp9DDISYEPaPXKp4B6vrfXjft7xEhF8FQpefg7 +AMYoGsy2s8W1QOt053ytP9DUSs5kI4PRYXwfwo+BpsziEqvNkMLRVVJKy8TDEsq0B9Lx46XJuUjk +rumFh2tfKCujYZpqwXWGM4eGJ5CK9Rg7MkA+wsbRpSYcLfmEwBB95RL09mt2J4vR8fUM5FyRJ69f +2SBoqAHWQpaFGYTRIBJcATBvG+ecZCqyujfSrKspvu15pi4wZV+Qcpjoo0vhpa70ky4HhADh/f94 +b/o8+TknSVeqcfyOdGnYM0vWZS8jSCuDevmSTKIvEu9jFLXOaJCPe7JGsbALVcKEg1G2F5KBsahd +COrz8nBqKkLeJahCCrDuqJHZrOYUFBafxwiNUTywP4e3aaFEWvMWrf7kmgfmjE2RMVMz8jvxXUfn +lHNY7r+X4/JWKjJfHHLXoy1nNUYz9GjIWRwg0nchVOr4TPT1p6f+viaNISshVw1GjIrPCRPaKf5c +jsgvKIK4MpurJn21eomiZ1wqDfkO+mmaBw6p9NxCkWQ4pzJPvNNSrff/oHh3wz9d+EB0VhsV85+V +uxCTCjDoQ29l638eCq169LnQGfZ4dYG8y/mVTvcbEp708RIwYTcB/MMBiYK1P6/mBqN4y6MuOPj0 +sHYFxCNj3qDBxcD6wRt+taIATGIt/q+IarZ5Abm6qewfIkY3dJ+Ob8cJOWskgWovYNkDwKH1LK3W +PRDmNM4ZJVHQ1Qp4sLfqp1+N/A/CDX7n5r6QGo12Z1ROk3jPWfNtGnkcBLfK0+US+8fWCTy4OWmY +o3nOJZmiXo2TIcU2vqj+TdLlA1MA8FBvbWHuqf6Nfbyx7mIbjrsdmfdQJ+obMtzlbQgEzdUDA7b2 +nUFV7EJYySbY/40tXg1gaSUPELMt31WMHAWLY25kw1Gga6nkchpibLjyd+irABPqoRqhHMGXxiX+ +WpYw9D0yNihWywOPWjXyKCLxgwsTN2UOkg9HMbrN+mTePYFN2uNQIgKI94bL6DKANI67PmnTFO7p +e576A73LnO8ULz7Bz2/kDf46hXF74wRmAfTk7vZM1RRDoW21EwZlhY1YbwB2bM5P0NTa8NJHPeS6 +qG1GJ0G1YFn8kg8qcwHnNbICloxC7cbxC99Q5I6Z2GqfLIjAsm7lab7XHbDPGTf5jSA+yY9KX5G8 +nUVOhS2SrLyUSGYyXFC1oGb6DnEQVAbN9kTjp1q5rc1AvrHlIJAaAYaekwqLXaVXmxfHESgVdUM8 +h4OIewk7rZt02MpUnxR879BUuRpMpcJn3LnBldbXAklEHvSBkk6NSt9rnQkjvPbJluHcGp1GIlJW +LdBH3T8LmAmxEj21euyvM881Wv1TvXblKn3bHuVUG7hcd4+POBcHiLnxW90iC2ta+66160i2RQcx +NnobkieMhItdllvRtv3abd/gg71KU7hNyUsBsNv3lzRTyxtQ8l7Z/GZKK1QSk7LVtgvWVVtZvFJs +sDZ77/Vm2qwesHBbMLpII1qFlb3zRJtdYjpuOUzCw2V8p7iOzxdL7Tvf8htpth5F+k4HfCPSBMa8 +HvmZZIiTuIU7HLE0ERy+HGXbgxxbWUvO2x1OttHyIerhGf4rLCaNT4IJFzNhVaxOt0sFaukjs4Cy +jqRBAPcnIcZrMoGo8qeulMxwgaY8iOrAc+NcnH18xpgu5CTvYMOtRhHz8jlx6kYKTCphWJowZwC0 +E7xr1hzj9hYpfkRkBSDpc249GHfpW70/tTXe1FPgLvEUHsePU9vbieqPxM6JNtiw0Var6iqfSSEw +3QY2xatJ0THveW54q+g8MZYVQ0YIz/ekRa9DQNGuwqzE8Op4Ab5ziDhURVgftZVDDsX9ml9tqj+5 +ABFba31vGO0jNM4YdcKLZN47YFGtEllnuJFm3pPjcOgIqK+Q1ysGxkAHBb4EAZlRPI0kZR8W281y +VJMXBzYiPVTmVgB3B5/dL/PKf7AC/83eTodHWSKyfhDQPo6hVCFoeOYRcGY4A6prB0bY/6hrJzTF +RbG6KTk0CBaPkJU7JBY5Da3e96HH7cIHVkNJdNt5cmeiWMZJrOAeEocYz9szf6A1aTQ6qTD/1lG3 +wbNnlsmC2P7zx/0j/rfQ/xh0DJr8R5RSxlX/j01ydV0+UFkvNOkPsyivek7IyTj0N2v3S40YY66O +Brv0pXQP107KaF3SoE6iRFxzwjCsvu+ZvlMh2Wn1tBbyqKRiGAxbZLyh8A8TLbP3fkffMcEXgHd6 +WtDMtZ1but1Cx/gA349XKvvkDv0eqboGDpeU7O53fOkzvnwDFxRbK+DqQrUr22i5QcAa05PNJRUb +Oo+Diy7sQ+jyLYRg/A/Dz6cJqfvFNmtoWAacX8q0UCUnVzr+DSbXJUrpaP0j9GJP8RfkSl6z5wtM +9jMIDuQrZXGqL5vv7ONB7X8iMFpfYuqTetZjxph8VwYTyl1M0RHgqE6TiWeApjUJv29cTReRnEHQ +uMU17xGMfg6ispAYx7Fdo2+YA4e4MRM9nMWSAgB9x/T7X/ka6lLS58MdKkoLIMv3wcttvXbjtYcF +ygRQ9DqGkpEJl5nH0cJVLzj9eSPQk/8pUjKk7VyCt+YC4KH1W5V1uWCa2W2yMwb5zz7rnNpTUEmf +xadQD+XtVbB3Bi2Sf9yxdnm5onDmyNjz1pfnUj9eI6QvCVRawv3ai9fiGI6caUMRpRRPn7Qb5Wrw +/pIdLeZXWYETox7QpBqqjUg10DSCHSgm0AJpEpLcJGnw3V6+qDP188TL2zUDnKrKUe8lUhW5UAP4 +LAtQ3+QGqO/z57pGWQKsLppJHyEXsqCUtC5XlAgQsYs+t3ERyl0XVlh4jGsi4tHtVpaOO94/Ysor +TaiGUp05/avQW0aXdwvMfvkLuuOaY+q/2Xps/ZH1MQAjpPwUnzg4/NDnq9Vspzd4YUfqgpjkUnzs +Tzq24VOpYxGjmnG5YW+9iV+4dvCYQ5TN3zaN270Lt8oZtawLSrjGz7ytrsmNdpird1QyMAkqUIvA +xIgckZ3KnMZ5AWZHGuwb30suirSqatQdQ+JbnON+gl7QwBLdHgODF5UnicBbUpvg7yuBy0dlp4Pq +g4gxglQtWwPjM59hLUAHOWBGxosSmMmOFHzf7RxDsLmN7a2HpNQqJXYH8NAY0q4Q+y0ZhHvJlxPM +zp2MQUIWI/A3vF7e+688ej8FcN0excMlq8zsV5IQL6IkLuN/mjQBsQbV/74rZhNqU76UjfWGylfL +ilfrlM7aivzmeeilkuuA2Wvkjrm7V3UGXDjsdWjmJP3SJOavAsGVqubqNHgNsARBgaUp/B6i9sjw +g+6hlbeu2xuIGJja6mjsFoaqCX7WAy1Kou04thHhCHzZW/T7Nsrcvqwj7yf1dEqSRIsGC1U3mWJR +HX2O90oy9kzKnpTjwbtfYDjbG+qK3J2QdKjLPxdO1g2SQuyu/4zknKRAjOugjKiH4q8iCi5qLd1F +grvjoI0D6Sbu5fXTLRZgyHNLOUSr8wbSOCANlvZNbaWIW1/o9d91XOJP/fjHK9AN+o21QBfaocqW +FpP3yVLSF2ROEQN46eye7kN+DaHaZwqQx/Y/+FwdqIkNXe0eIkm/rBYCwPE4jLqEGYDBw/t07Edm +SH3R8c2u+Q1GFGY7Ctdd0+BfvNfWiRpbo7deYG7+jU1gOW5rjN5nIq0gXf3emQNq7nExFZ8DznAl +RvU7/GbzRqQwPyIjZIc0BVSTXt8t6F7utyubGcwOhMEfv51sEckWJntKCZN+DkP77Z8dmeLPUw/8 +LB8EO+TTtcuTN5teuGsgcP6sIy1a2HVUeOD2UfbLMHIP6AH2MBRJfOxnZlNCT3QiTbGufUZABKEj +qkikbRb+HpePuAWN3cGk0X6aRQZbaNPYunzW+c3NCIDYi4IFNbmMZSg+rDSiae5oV7lL0OsM+0WO +J5YuuYrq+fmZ4o/bsxDWLNNwdtWiVe5g5BEpbkN8r2H2ECmvtZ73G+XrM2F85iTbcnH+hRsfRUqd +I99muyjefNELVm1l5dHVIXRI0H89PGtbYQCWKM2qQK318SGQjoaLkpbZEBQ9JLXvojk9KzCoxWL6 +ixEXxAWq13T8YhTgF2sak/okTGPJNInCmteokJ/rni65feL0ZrHuTYwt0vz5WuJNWTtvbdKvzwMY +721vVr1gEp/5suS6+aCmzwM2ONDlu+xtDyA1q747wa7T26Tv9vB0TKTYBW/0wv80mcbsDY3hDc0A +UKWjYEiKo2bfyXMnFMqBQiFnoWc/bEgL/t1heNJXRA4VSjOQcXTCOWl+rT7oWi4v5YvdjrMYaaNw +hI8BcVlQKnHa/ywjAYkG+swBkyvrwfhDzJXIBtxtTXuHY3SoP8WS8gqK50zXjFAHWNvzXTnDUh55 +BluuPZTEDVpKG8zRL+2I7OcrYSKAz9rWw/w17kwdX3rXXuq+LjN7mLec1SOcXgtuXWwgKvKBxk81 +C2DomXAaiIJu9TX9GC80SwgcRXAr8VQKLvo/EuAHuD26ztsJ1hh7S+vQoOAD1iycTdoitSGy4zE6 +nTXV206YptpuJ8yWQImZ/vLr5nhESLp1RV+Mi9DnddpljLF/FwnxKQIGjA7lphzpp8xxeAySosFy +IPcm4vhgfgUnqsAOtyXqdBv7xfjGJ2ef1JwRfD5bm2nD7AmRztS67mof7y0W1zV3AQUwQD2tnLOl +YyTdEgWJ4/NkJlwDCAllNXJ2c5CeaMLgLF6WBS09zLIsTGZTPMjGFpuu/mXbN9i7e3aXEV5beEZB +2npG6HcKNDfyAQLJdb0C/4cpnUkYXezWDwBrNPpLmhFOzl4eCoG65atluN9d9kh1J0jbjr+8xH1C +ZtkKNea9EKnFhTBA3Ycvn1oFOn4NoI7TunqVJnZaPCznSReGoJTUssZaWtWTrUI70wIU+dHeZfdf +S7RMRZxz84RR1NLrGqUlbwNt+S9RD9Ak0y9KtypYUU4S4LMGusqvg4cRcDfp8lzWv5RIxCrDwjLx +0SY1HxxnJCVoOlfPepr/uQgYUzP9LunOtm4lLUmAOfjswtahqGFwrD/HfXNk/Y1wsKJ3HfDCEfdA +8AVnhHldMz9H91lQZlbt/EXtWJDTOxv0gWjfJfLgeeaKjskaN/RuG8mPiNg0oKAl3OO/a6PVX5F/ +t4BhM0daLSbUopZ2FdB4X/lN0YQHfu04qusNzKPRUkFzbDxYwEOMKaUiRiBYClHPr5NpZSr2uPKx +ocNUFBFPQ49gR6Z0rT+cFrs8jlc8imlQFhz3gNmWilWNyaKifGOkdd3tUPe9Y5xGt5MaCPFvl+bJ +/U3FHlBZ7Z/wYqKoTw/zxvPxdeVU77D8d7BBhMrQuZYsF0IVTRiXG0Td8JVXjm7o9pBYrJmSGur/ +aA4UJfLtksKHSoSajtdQZzl/DiGNQUCqXbR1+Qte4lzvXkISY6/E398uyguqA1rjASF+zO4JUG/L +pGEAxyMMekVgTfqccSdI1lbUUlmM8v7+9wU3x51oqp5EhY+nRMEbXZlf3h7jlWYv3SuDSi3EXTwt +x1CkBr9aY8Izz3b8eBL3rpsQEK8dE+ECCgodn18cehJ4Bqut2JibGCEUyGkc9HtnE7s+VN7UaEQk +fDV0J+kA6YgdMnZCrfhAnEWXEPus/h0QIV+wumWjGfiVqoMXlKigmklNFoCX2mb1S0crEgjaoz0T +AvHGUxnrJiEcqjgCsHmu1Dw2l6ouGlCjz8LLoSsQiLsVBW5YMuqLl47yfakC6Ciz2ONxwjyhP++r +mS5tEtrbit6yQ/siThz2aEv7ia7UrLdezlu3EtccIvEpUsASiAZUeMp5suK/8bmGV6TwkaKLcUqw +Vd+tZ0g+PX2dtHHHNLSjYUQd3+hWjuX2qftOp28NQN9sxnK0Kb+uarmBryZ7YPcczrxLBUkZeVXe +tzX2BPVEyH/4iyTkXgak0EErTUtmYeasNmZbkVssBpuZJHS4Mq+rmATW0YGFlJUQYO8O4tZE7QRG +5wI2wK1/24PpX3cNNkqXeHnhA/HTe8/Qw63bXJLSU3nvBc5259LKn3PhIwZSyDTfuNtcJREixxX4 +0H9fL8uXjyxv6yTxFdP7TuZCVXvW1VMuZsVPXcpVwYx/c3nYpjCtOlbVDnz1zRhIF6pNPAwHCblW +EGOLOeVhbpbg5Rsgl+IZZ5r/dg6+CwuCIRL6Y9M1tMjfvu5o34b7Tbq8rdAlygj8dCnQBSuzeViQ +UkUeazk7M39ZsGecx1ftaEZ53TZ8rTWFtaGU8tLKN26rrpsRovtRC62M6fAxfxUaDUV76NSWOsLX +b53kykadnaj6h2cT81Krtz9RYWLIm1pMy6lWMoQhTxDGQ7WXuLXKRJEc5QweQ/cFJgL85ZyAFNbx +zaHVgTMtOU6hADBpqEw88FsOTF9eZkDctNeufLbv8JLAFsMsE8794bC8Gz+GCzdLh7GhCn8+pGyK +h7yAH659V8NhDH0QGgGxpajQLbHHW++//W2pMksvSjWySiSwpuxhPozUtU7BnYFtSSqedMiieCDv +ISEOt6J7/+UqIa41EX6Uw7mFCSrcvMpm0JRjj5hoHRPTKXT2EbQYssT2ycpsSrAiP5T/XOnwfDKo +IHin1dsGqPPF+ywqLdMy2KqdLJ92VGnTrfDUBGnKeAGMFtFlohtFEWaYIGFs4/6CMXUanf5ychbP +hLc/5asXCUfe8rp+UrMI0LG144Layp5gDhvmm+BgG7H4WCyB3bGHmC+HCcCrYfKKwfJABSSS5TCa +4URNM/6ACwWiJXW/sTZHRs43eiUZNUZdXS1fl9SwyIGIO6JX8Fh1hg/pQQGJzAfEaGaL/X+sEh// +l/+zHi7U0bDQytnE6cS8NLp5dbeYPemDEUetXL+nQLJE7UflAmtQvkfRgIYzqj3RrQ2M+f6RwOk+ +SS1sICz7otmm4x/7Jx2AA+wGEODQUJ9hXiZU6vJECd8+fSs3G7tuta+OjPVtWYt5Zlo1FHFtnSSF +RP9Kp3f9Ej+AlpW3KxUZfGMIdsHM8Hg1ZXEG5RUX+c9Ec1NuCEJBNJTOWh5v9h/ZJqBQ0RaDQ8si +dpq3tSdKptjdfzTyiuWNx36f+I8R9j9iQE4PKzvIdLrGDnwaFyn0/SeGeeNMykVZ2FQv0kWO9nap ++trDt5azZzUYoEjb/+fR/86TcDZQkrFnyB2EAk115ewCkLdi2Vrhmcnm23uV13vzENtU3GfJsdt+ +cP/+okqu/HRfWOy1pTvbZAuUkp78l4Yc0N2fJCsV3Q4/zXrzwFArGwq6QzzJHGXeDRD3fj5dDQV9 +tIZF4AvxguGauD4R4f/YjCDhk0YujZ4o9Km04mx4ADRIv/ORS3PjO45zdO34H0r9QMlPcBQjnezE +gevWOGedoLAaRh3Tos+IeFwxVbuv+i5BaGs2HNZjSi5Tw9wT9Hm7ojgndMsmvnmVcKTd8Da66vdG +ySHqJmq33nzsggAt6nFVMYp425FpNpuNAxuToVH5kd/8qxuLKbAoeCG+RbMPQttYw7V3dZDW4bPR +RU7ex6kHbhBH8mA5kaavgnqT/n70wkY45cpT4ynRhAqD4Geuv9X3UaCZaZr70FFGQ5/GUtLD6ENG +0cm1V4IJY2AoJGsNm1hF5Xi7yvyOWOq1JS/gJB9G5JgetztcVf1f6MS7KTWEOm1DjO67ZAFOh41g +tRNaohKbSxGHM8CO15j+54fwSzu+/XMDl8wFKCls85vKy/t27dA6HJRuWcgZrAyKkDBLLGm3qcKG +3irodXTER1kb7voUcqEvBkxIBZFbHRtFNwaJXczzfQn3g4DoRWGryD/OeSorOIntal5L3jTtUSH2 +z2RZEZccjy2njI4oAW0TCsG9xvLmGHoN1R5VkjnoScJ2tf4j+U/rIhrYHhmMrn4sl2L0ZwU0zKnt +qUPD3wC7+jETKTu/dEEFj+tlxXFb0DpKvgXkXLAWfteCsHPFxPf6R+/H7Fvogvkcf2HQxY+zgKZ4 +okgNSHadWWkF+xhs3TnYjxxD4LUBNMYZC7xuzivEzKQxd1X8ZDGQDIoh6Q37o2EAaLD0wKfxjGtY +xvhGF8zl6A+w6SdJMaVbUuyHF0f/WER3WrgsI76cYUmF6xb7l4p7tF9GQwCdHFcL3ZBT6ZJ8c/5x +CfoXxanSF2V+nB1kiq7ZLPhmGEN/6CwCFBu5x8Zamnji8dp0cPUfs0Z51mP2cnKpy1aVEaERSMjT +vAjLYnan2eOJJGnZYt5UvSlbNplNsF4Y1BPbofVXTCKcb4TQyWllRsaG6HmxnUT5jmjFZj6DjymZ +slU07ua0ZOvx+a3HxSrTm+ovomHXBjNDegKwEusU/5hwhqQoQqyJFz5Xc1cAZo2f+H30ItII1IqH ++4spOMzm8YJHQIZCHW+dltYF5KmUloaDb5Ev7Pv+zTvazVUBTdk4m6OKDh5yoPLhtrzZ8Az8JKzk +2IMeiVakBUq40g38DwfRmmrOkXm6rrQSfYCCOfBVstPNeGs6eYPbpme77McYGTIA2gENjX2Idbco +/gicKAOVJ4L7+f3LSCzCXHMklf+vC+twJ0R6zkjkAUrG105Z4c5t5sgZGIJ2fANN8faHjMW1GU7+ +WVMGsNK4+9SFBI2G7Jiv81e6atUjLZDO2sz8GGby842Db2nupBMRyOn2EC8H62jZei+H5DPu4yX6 +fs01k6KXnAKWiQp7y1y3OmpVCdXoEGwTql3z3E0P1IP69j9/+F3gbaMBo7NDflPEMnuOkaYAvdB8 +0wF3bPbRpsHxP1xmEPZf3Og+5aR7gSI/MAkmoi5zAgiVYsypUEds2k4fcCdV6OOPcCIZCRX8Bde9 +OnUO6jjTMSCkA4PkmhKayqWYeCXm25c0lkQHwl7jPof/sopXVYVd217Ka1KiOhZxpH/ifTrNxYF9 +73KY/YBBp9cIcFfy3bsY8EPf13r4BT6aQuIfzeO4e/5zmAoRoTBGfieG+nOOdZaN7An9LveIbHuP +Szk4W5snWwG3GcOJVUVP2G/BSIxzZWw8IgMhPgVzecwKYd+vJm/6qcLHfEEnPk+ALxTeT4xyeEE3 +DSMkvIzVlfxUhaMdFmBfY57ZQxy9Kp9q9bE29LpPE1NEreCbBxtFw1Ocz9pPN6DZdYKo4jaGGXz6 +c7EP8E/2Oa46nJG16SwW7m2MKKbLCibZ4t0PFKYUE8yNG/U4HgUF7yuZdORMsLeMQkBCgC3ITaew +qLt5/xK8p+J1yLVJlZ3lnBgxsdBX5cpaDzaXDMbfbe2esmu8iASnsgqe7HqWuXlxNPQMeo/wHdVw +UVCRg77aU3e9HkRjMn+/OjuBXUt5VUI5ZQUHYQ89mSwdWPTipRzl+GksE+JzOjAdU14DB7HcObeS +31rAcZYFdxhST1zYERhnUklWHM6rwDB9X0ESnyxo99Kh4PkTtEQ+dANM0VV+0ZEYq1yhg6T4wN8X +ToUdZkjcWWT3hovzSBQlPbVgFeS+6XCVUY5ZVmZlC//XfTfuZAY6SDUaxO0HNJnyvO8sBIUsPpuO +W5u0LQ9bbup2urPS+RNa97HLCBCZyGPTQ/8VZLLOv11QHzcgrv4zorJfHvxBy/URQe4u+eUtZgZ2 +CmRUNpx+ibDm4vNSFZnIzkrZ1/x0jBrAf0PkO7S/XGd8SLpYL7jS1hoUZKaiWhf2jtZpVx+Qf8TC +Wc0v06BxH625U8W+Sr1vr5dOynw8CFMD9vYl7fTOAFBihvI3PNfce2VhUk6HLYh1kRcIMySDJSgu +rnvVS70ashpOn23wctafgwsTHjG+JSEQ0h51nVyMRgYOtmAGASSxCumcJscFYV9h1b2FGrGFQ4Xo +/zPVuQNG9w6Zovvp55flWVVQJSJFzzxKZDog83vjHYq8rWK2fDdbs/E+9GH75+Nh8wBwDh8nwg+U +QMzkZ7Tn7DM0CvyrzXH/8ljJI2fte7aLBIVRwRYfRrxVCrWpBTH7USYTm0natw+VgltMZ1rzaaS7 +YDNbGtJChZJ+OsyHJNmOst3WQKqiLC4fNahJ+FSsKTH5FLLLooyEOao6Oae6rn4LxdPw3xZ9+3CM +uArTMlZdxUBFML1RFqT6k/lHxDRo6q5wNveB0pJXgpgyqFBoCqf4IvncXKJ2e1aIxz151/36eS/z +6dz6pQIIfbQqsJ+ksbviNXJbZgdLanqylJoH0zz+kD6FEtVjZJuaeh9oIg+78bXDqntgw0fVy2Da +KI6zbsRPr9gA7PWxzpAs1m+aF7b4hvSDa53iWpCJ/XCvw7+JCukAn5LK9dXQFsD8kDI7WNnhMLtS +oo4qFzDhE81g5dq3H7lMLL+FXiZhyJI3TIrbsmrfnkJ7BBQzKbEoQSQ1qjITIhbNEbwTawoQFLkf +eA2+tNm1m7awz2VyjiXjPOrO3QVRP/QiDbhO4s68oIQl9kiWkzhOR/aFKx/GvoP9rj1+tPaPYgdA +zX2TJAed41Q9g2JW5C/YSye0VFtRxWeWok8OmcCrTn3rT7lsCuLMSHa9EBSBkYkaPl1r+1cTLb/C +U+p6Ggjo/mZGe6MB2iwKBd2QWLPe899p7v/Ophh3SyUrsW1yAits0cmZWV/RE9XEu549Ylk1URzC +T2S7ob39vpc1xzSOaweyYcefL5FWsyjODcjpuT3uV+L74yL0QO/Lrb1PRKilnzWXgbeVukBfojuU +u1Ld8oyd7FXq625fPtbGOcjmQXaSMTWs+1bRUufWreSFz+h3twjiyqiL0+vUxuJAuO5j0fd89ldh +6Vc2iBqNuXfyfMeDu2rAyyaSirgNdJK05ZROK7mgg93tE3JK5eOQz4XV8BJkUwEO+1jzn0cVcaDk +/jo5r3onwoJfiVtAhzdGlIrMXG0zDHgKBG4SviQ0mtYfA5pfHNVymy5aS3gnSr6zyP3fRj5ZlVXH +fIGh4ENBkZehBmGBlpa27XlmgX/crgVtHBUdklQ7AnF64cqgRyZ9uZrr3P+9LgSzLuDGoRSsYoxO +EPnIbBLX7B89wPZTDoJpg/TKEcIdXwRCOexd7hRI5sytVBMDmbZl1rL31VVtHZZusD3PQT48+opp +nhsmZZc4rKBySvmG4RabjKxp4cRl673QQMJSZcrM+TkUjA/Ze4qbJt2SHPMW2vFYV8U0fbX8A8cJ ++JRnby7hD17+iP+8Gk/HexWuT1Q2keIA/HRJ+C0H3GIBcvRaS44GKrCcaIthnZYiYLiiX1lw0jDP +aPMqoDw0fV2qChA2lSqT9J+JhmL1rThVvM1RsrrgqKjmIPn0wtMbO57JKkmmaoA0+pWANu8MF49G +w4HA1CBkOcbv0DXzk3/Lns+774+sqOvY7so9Z0yOcFfTrzQdzxnNFlnIsICMkEZhuq8RfKUhydK7 +B9OwxlEhZjRJltUXJMQBIy7ZRfbuO5MVNeVjlwpM3SpxxibSrM6zxUtOAwor1pROe9hMdwd/IpFv +CGqKK9CjTNI3e9ocYSBj9nBIEaeg+RUPpH+j/UglensxFHQg45wOpZ7BuP6Bm9B1xFiy0/LkP4gP +GtKL9KjU5zz9KMDwuKvrHWxg99aMikkqU6kC3qpnTZFkFr9I5fNu6r8pms81uS50RLCKfDjtsQjk +O6WYCh+hhg9p8yb2mwrx/Ep7P9euLVFOGoQWb7niNpNfXJvBUkqCMauEEh3kg1slrLE4K64TvlFm +WCtALt1nrIkYQaFtMp2QXOLkhmboPsTg6XOPIRxWdMsgCblir8t64HMbM4z98TYC1ECaEzGBTxh8 +TM0boie39owKJ4PXu6HKTEpu8le5afMoTrJF7S+kSpdo5uWg+3Zax23NsdgytmBSFjPcqW435pf1 +hGMMalJaWB5YaQWpSrVbSLgxjmcEwqBy1Rea0BF3JiAFPmOPRz+08tY0dQPF0JWaQQvVh9vFSEmc +dONIw8muP6LSSgEoOA6SJym3AbtgzqVEZvkeqN5fvY+eJIdSIzxK4D/GCp/CcaB+ZDJvfMzAW9We +k7sTB6V5wETQ9YWiJyxN0puD8W2barjX1+CnN+CagiLlVEd6qgGOLRKWN1vCVFwgVT+pixc5YwEN +yeIyhtGLmjehtkaCDZIlZ91pV3w0w3k+EqEFwNQYAb+tZAbZeoq95aCToRdtLEBBjOqRdOO7WHbd +SMt4KOjgFPUOr1ZV06WyFZsevZ2FrFbZwUGjn0vBT7dqlThv8gMdDABOT9P4qyOyC541+I6BTfsR +HksY9x4FKFZrxSbQPF3W2JWjGW1mHA75zNaKNsblLHFLBnZEZS15FI0zCNtFT67mxSQ6SCP8FCnf +wjlx5wxhfQud+za+D86F4QICwSLoCIRaJfN5QZzmghKVhHQJICM2QzdT50N+qkSm6/uk1KJZQWgz ++yd4xAEJWncaAN+IR3gUoh91inlkVRY2LUD4zq4rHjnFDfm/qgnOxmdwP/KpIuiNNic5Dh5efD09 +Vg8j5gEIllpQvdqS5KizmXM1ly9+Z6XuT4gCR1WnLrm9yv06zQ7VxL2FUiX9wfYoPPz+Av5+I0sL +ZCyVhytNURl6uRBCDXiyhKVsn5pZFmnwIo9xNBK4yNIAKJ0BydFnds7D2qOnocHv0iqhS4O+ljPi +b16yT/53JDM6Tm/boIuCs3SPqEOhgj4cNXx/loeCBTwbBByF2F1ydocvWaxyLgm0ryfhPboCGvBt +/+S3QFAexNbVU4UeRwYpHzzP+1ostKroTQK883XtELfz0XKNrLaBIoYKr7lZ2ZkurnECitHEsC1c +V5gUlbZ/ydbbBHrvh0jA2/y06fkhl2X42rQRSxv1GLoPVP6lhvb24jWlQL6jkQ7qDjeuZTp1Ya/s +a6LVgup/GtrldQpG9DegXHNkDiwDnvZReh1HLfIT1nzqAhqqPtIO1+/A5Zuv4b9sNkAXfytYqQsE +LoOJaj8zNL9jYEzOjvq6+DkzJDyeywej3YTB4wltBu+VZ+MNTrgUh0TRdFLr+60ITtUxP4JOWDmX +5DqvVbNUy/7F2VHXQtbljpwZ1/XZRzz8oIGM0JIZLcjDOahaIj0DKlAyVPFGoTpPzvddZ6NPt1w2 +nVH3hmBjXtA54wEE/xuNizyUC/tri3RLEqvaBtI4ZGZ/LhRcnJVH84rWidYXPmxDioFPCqoPdwMk +dv/+ppkLUiMrxzannEQ6TKCCSH1UHVrrBNfbvT4UsrJNSzgBcXwqIFPn1pDlqRt8qiFq98Fgorqg +3IlgHHlgFTj9djvzMPQoH25PMhfF+c6OweNLdejjjcvMMI137TXoM9A2sGSjjMyhI4C7bRAq2Ptj +2GJ0Aqtuw8ehiqqchO3iGyBzJbsQORxB+rRZZeMF3O7IWfyWEMXpnrFlJuygM9cAc67ybwhlwg0N +rrvKDUlQschTEwQo6JY0W4X+mKWfIl3Ch29zi0ybNmdq+VRn7wTCB5neJFR4KwgF5Vx7nLWotEft +LIlC16Tf3K4pSQ8APQqENKIEjYLf9CCX6KZTkBBot1NjZVM26NXAeqlIq7Vxmr9kk5phKtuCq12F +l2zcBsP9p8wElxGA8kr7kaDe/yuXSptnn90n+9iqW5Ow/bXwXrkn5nkfkZ0cY32RrwYUqmG0Axkk +ofa2ZE4ArAB0x7F/oYX6JaZX7knnrcR0EzfGyzj+ORKCohbLocHNSNsxTXf0LlSU6DoYahdzgtxY +6iqI2Nwkw5SRIK8+IPbvVByjcjiRwELqTBq+93n8n1leJtYU48NaNiMEky9L3yHJ329ntNX21VJ4 +MQN43Q7J9WXFa/+pj6EjJYG+VQb/np1VZdLklU+25R8iQNl2WyLyDIWEod8nHv1+UlDUKB3ZQWCV +7h8c0QScmk8WU1vL7YCXmpD30tE2t9ouCuhzpboXaoRo6WthtCKu1oYGyVy0QW8kt2KqRQuoGlqT +ct8aZW5B+kYcyeFwDEKB6icBK5bJXo80Zz85/Lq6ND3IXZtCrBzCk3/IHfJ6bjajBoRU+hVec42Y +I0eIqWD4ZfT62lht2erzP7v+AmxIIxtV3Qwx9MDU88JmwBAn71XUuK75b9OX6GtmFpLRPLqsoGgK +epUDbbMYv1QmdYEQTTKClK5XpHmOJv/R7M9YNQHnMMUGFL5dA9x2k3ACV3VkFf050OxNK1pZxawv +ix8jEPacFDI209LxCfmH6htXNhu6Q5HFUKAtFBE9UiyRZ6v+IzXo5IrIVd5UrRuXe12EYLkF0UZv +ShhrTP+0ZLCq1zC5hiy5exdYM4QN6oYFU6JUa57L4kJJkQ0kvw+KKN2Xfb8g/li091zta4rM7F9U +xrJVOQ6ekEA2Jh8JDjOD8IJTXBB+HzbZtZWJaglysUCQ7OdftNXAggpQW8Pp/9mx7xhlernnO94K +1+Kkh5faGic/yOY13VFhyhCpb/pGmfeyOILrXKJWMu27fTk5ClBvt1KTijeF99BxK0n2vU9WGYpK +2dj4+i3hYLoNFEmjmxlvPFcVc9ZYvw5AjlI5Zhad+VnvUfv3Prn+Kkrq2sV5inIR5PcXiwl9AamJ +qLXuKVGkVS0874l7+2m48Dgz6IXhQFTyJOQhZgri4T3yH7deUic7RtHRdrf4365nUZOsP2NEztR9 +Cq1ULypsO3nvWY4Ea8+22zF8Cc8Qqjx/VJ3rwQ0OS0TRvX8KPwoP7MT18Pe8zajJ2OP0d2dj0tpk ++pXkopB4V/sy3wu8uCIC51uHCOv+lYbWx8gk1mRpJ+09uqsmBbba2UVfljIp2QAwfOQb3alFRQjo +jTMHZ1BlBpyCWHtMDRYLiNIK+XwGTLDlK9RZzAFRkdYHooPA+EXqrvR7p5k21V+El8lpe7rhyh0q +NPkQrYFxeKN9R8s6WLrmip0002ClQLlrrqSUJpkRsdl+9N2ySq1XYnQOW3hYYZGvM1Bfb5PA5ZZq +fbMbIpez0viZirodF59ThCHAsLBlGGTIFS7Kpbg5D9PBDz86kmEmZ7QHYRllvPC0RXrKb57KB4QI +3hyN8w2rhqJ2TLnhUb5KupaZ4XGrO5668TZcb0WvYHdyrxycnJiZOZ7qtSKmK/VAoBuIDURtwf4n +u0TiPvL+mWiWxtkW5ji0r53CJYYnKiHNV6tgHPkpRgmXnd/XPJifrJxfqHaDWC+DORP6zVy3B4j4 +VjUXt1EUAo7ZBr+gB9xKg9wJct2cbahFZY1qrNufVdM0LnNJ91bskMC0gUt31Vuy1/Asa0P9C1jT +LuKl/JiGYM7+PZN+0vY0AsGorwGRJsCEWmZ3x+/79SCVEv00GQS2BCCXWpUCHYUQBcd1i5caC5F0 ++M5HC/2jEg0c0Q8QlPwLM1gPjVysK3prLjzYAI0ODadkKjsTIwmnGdmNhmlpdIqHmXb6Zu2I01WE +guBAuzYd7SZv8q0cS+YkbCaoO+nfI/+hFzOtKxecZMEQ85j40hO/ZvfEMN2PrPFT6rgAhKtRBPTU +Rgrp3oMRTntgncBxYustrqol2Zx1AHo/G3yuNGgfL+6bP96TplYxmr6OUt1RdvOD9aN5Puf+uVDM +2c1e2OK/zy36/IHAwZ1i7kTmQrM05dK1WR1xoeAdNLcutyosmidXgnCqFHRu8whnBCOW6eiHoPDq +jeleQ9sM/eW9g+KVWuZhBmhHTeHXtslq80tVIFZLFWKF6GsnHhXfu3MXv8FBTFD/qXMj3O1fgu4L +k92pgCcm5ZsLTl/fStcAnPbX3tnXHuCiNWoarbteTzrlVHIrdY3fj64RMksknuJuqkLSmJ3uZqok +RkkVzMXexVswdusE/aHe7FQQkMfJjs/GqZ7+KY0h5H5MxDmJorjtHPcoSc3ZufTe8hF3XxBI9yY6 +8EsaIyXL0aTwXJGTifiiWw/2vGWA1hzZOU7VelafBEczclf6bvtWDQFUvPbKH5ONUmv0Y3wmKOYz +zpZ5Y6wHoxek9DDQGY8GCvHgvQJ8Iu20mm7mdI0No0yxUm3SljB223sGaMhdbpUCTjmIf+qCyJLb +TsfuU2SYHHNy99ImeJGlordZH3ArGMmjNR/q0vVAp136ID8JJ0L77IRC9E6k272WuygV7sd/gYnm +sYvRb1eMeLqY8UKe0+ZiuZswslbnr+/jEThyqlws4JVXuKc+QcScm9bm0NYy8U2tuzrU5IoCOcWg +k8YZUVUVKIpjnq5/2P3XXot/gE4aw0QBjqjlG4bRlt7Yr60OBlpk7a2I55eINMpHdCvTsRBA0HP7 +0MYY8KAjPKPHrCch8o1J/VwidUEGZ8/LdZOvvdEmFAt5BsL0J0dbFZBm+g6drrConwaoY6IE7Ukw +PdPi+rELbf5G2MvCFqLPHUOE8W4HC2cZNywWPaDKt4GkfkdqML+sRDFlOk7gv6BiB33s040xRnwD +xG1Wj6Ke9eNZQHCThcrufyul+2rk3lWy0BRbviUi8m+Q7TIz6AnOs44yA7oOjSQTPxgahW4JL9kb +Ry/viwCdADh8PrslFXAcRB8Ah9Wd5nCJNEWOjqBsgcdun0MnuaZQkUzO/4zzJ4gYfVyM81Vp9Pbt +Ynbu/vp9FETfJMS4Z3RHLT/QThe/CeIPInfCmHZFPTt1xd2zveUDYbib9MaPZbv2DUDV1Z3b4JQf +Q7Cnrd8XK1/0Wa+ZuGQgBwSDR5+OS6os38UAQBdNkHNtlr913QYKyJgaite6e10AoMsxg9O0n6V+ +wx5Ng4+u6WVuNrehfWLbm6nmOh2V/l0nemm1BdKvDSEqOO3bN4mgQp4pBfJaxRuKArI9/y/E9fVs +JZicB1e4P3AJx5Id9bX7CjQL2CFc3jw8pNDSxv93AdP6tWXBWmJGO2syegbQe8rqlpWzVJlnituO +Eu6mQxf+V3+skLVEhCpntahNvd128UP9EKPS0oRa1RGlz7kkm/tqYCTKVsz+s+sxHs91b9d7x8TJ +jWHKKSA1kQPES8T5ElhSHHWQySJLE6E7lD2r4otPBMQCpntDdskseC8hGIFpYCBLWUfnVjpSZ82g +76GAEs1C6VU2W4rOSGo7E8S8Z8pcghEzdslnJ/W46LhDUoi3+U8YGUVz/3pfF09oClREOfxf/Zjm +6PF1woQURsS3/3debyTjNQlFawHoQx4mgSn8HNx15sR14gYhoLmXdl4ge77pv4d034RItlaWHibg +zbutOf5ecCzJ7J1n+dGW+E2lJel+oMyxQi10tenR+oSTEwla0TgtSPsoRdSFG22oRuX9hCj9frV4 +N3G9Uvuk2wUimGa1cifJlB5lgiQNFpDFgRsZOmUrsANASvhrim6YFHGq354uW0eqghKXT8D7IIhL +WAoDG2Fo0yEUdm1aqkZNu6bC+Tl42DSV3SDyEAj1uw7yv6i/JxRPdKNhsH577Ei2uj1Gxl1DKGLz +/CJce8IW+jthKrLZ6vZp6J/iitu73XjEPRKw1PFJxe1g+8/sDiMV8Sc0Z+RLuhBgjRMonVZgjkey +TRpvkpkyJkXj8wRGVsRZwm6ldQ7mvO+WLy4+QLS5k4XzNTO7BYY/IujzFwPFzUHnBsO/aAWpwjFa +eBkk1i+N5MBAtqwFHZe5bJG78XuCxZ0nIJuH0CEYyo5dRG2kI3cTs0WSFWeVnDsCjcRT42Z+mG2f +RAjlxYickq0Zgph3xI/KQ0eiEhVqAQ5CVkFNC8X0L7+RW+XiFfmiG8CFXg9Yifv9lCnSPtiVu4Oj +C6lTPl+B/fTotxu9qR4n2FV2JvfxqxIumywLzks0ySIvcOgNXumBUpKfieNoRO3OvP4hT/IZa/84 +TzR+QgJiRNkSQXfY5hsIcw2QLWkJ+VwyiyMED6Sg6ZgUHgb2PqMWM1M2/mOqqnBRTVDMqECUI2rq +fZydafefdPacKBqVeFDHwaF96++4/FQyqPBRCCrGkDW4pADPNK9HmKuKSUo4HAFkk/qUDIVgXJL+ +vjR+CjTif2cl1GIbzVKzfWRV9klhVBdVU3Her8vUoWKW9rSstJ9KaNxRE0Ndp9sO0grZ8zT2osfm +VpoFJEc+kwlfjv/lzw+xma+OdeAAZoBAZikUAKXemCVMaZcUgT/zNYm6gIrk5FR6OyF4OgaNgS46 +mOPRl3X3nqR+FBl5F2W3jlv5aen+XERL+wlEu/yhSGY1Xa/z2uSafMHS5gKK07nIoe6TuR+ZxDBO +KqWuDwh5V896nFErNpF+lHxQEaGMEIcc5gIhG6daM4UrobvMILPbD8MWMtH0xBqcgKhsPSiafrAG +8SB7LoM+mvteLIlyXYrM3tzlpvqLHp9StPOgG76yZCl9ygBVrX76tLGVSACjIbllN0go5xlUE1kq +YR4TZ1qFpS1zoKoM/Z0R9qF0XMkvPPgyOT23rKmTo1QEgpavhw5gn2m0ZSd15bMTvOC3YrFgk+91 +sOqs4G6V0oqgoGvlEpl/1WV+20Eq6/D/9TdC0sKYDuXhRH0EmeJjK8fSQQ2hI8weLmWSbFLhR/Bw +xyhYXBPb2aZf2m+b2yoJyYadzV6IeeYpJ+69/TTxLe/pOpWPuTYaj859OcRA+5DJjmkEc/8gVfz4 +7mS6Lq7e94virxet0bJvJD/LH0mkodpZLzvfCFsriRxGCf7XjofyKYO0cdzR9q+0bTBe2qIMxb3I +Vo9akvFn6lPlxDzf306OeDHSDfEA9na0DVTwuIK97tIRtHH86e+VHdVhBr3VEl2WMmsAkllW2K79 +x4FVHHywoHfgDYBbLMfBuVCr20OI5Au7zpLUUra5nL+gUJy0n588UPWqOLEExnZrN239Bzhigfnv +P/piQuyRV1ZdA+dbP+k5Q9mlnGj8pm/OVyyZEf6b1SGGFW3FevsbxW8ccywxVtVSJEQMqW3FrReI +OYmQHGrdU7zKtVMGU5N865l8qEkC2SZD0w/GTUN9s5kzORlksKTK8fY6gpLrdwwhnMg2AFo+FX8h +RzTpkXtTGpzY716XZYgAGgF5jbP/4MkpW3To7UFMquQufjCSbes4FeZ9GMzMb5oVKhQS3dU4e+yl +dbQm1mO0KAxy7SGQhlDQ6jhh1HSEzhglBPR6gZbsLPzSMW1Kzjkiq1APhsFuKvExHBwJXRX3lgrD +Hlq54jCr4i7GYu97Ozaz8IKd+RKb7aJBExjxfgego+EotDvOUX0gxVF3A8KgJpGcgE3YWORli0pY +3j6feu78rewXQWfFNgwyTOUwSHaKnNowr2HYcg12+JN3uGcYU1UnRRsWasMUQnx26mC2Bo09eobS +eOMPYjbFoH+KGWYdFQDVIXVi20NQuY2yOnieI39gCYd3xDiLLgcCrAjsJ36L26ElH4Fv6AsQA93S +OpB99vdHXp5sWCraCGBkNTYrcmlqPsBbxtzEtk1jVy/KBu6xX2IA/IZyKwkkfkFKjVS0eB/fsOti +FdMCR8uCifzHb0yYN4LcVoOw4gaJmFHgnHTr8NPqqxFdjejOUbaAVTOW5dd2eSOG4nO+75h/t9O2 +PUKUB2g/5VLkjmE17pacb21T0+KoCRrQwoM8oQ15CJKYq2qcH0/A5HAa5yyT6GjnH5J75ktoU7UV +3kye1MXtP1i10zL/IErbk2weBBJJrnznpUa6Gq0pgA8AyEL1VVTuhwL3z5AbbsF8+PwWfGTZmST7 +F8iNwzapCKuq8UeMDIpMgft0M/ZLZo2mVH5jsH9vqAPn37kroeCB/tr50U7yyi6PU6iY/NJKskCU +Yww+L3MEG4NvYrrV43c9yVZFSAUyu7b36k6cvvb6tBmEXplIe5X6E3+bHjqJGqpVs4Vre+lZ3l0H +UKECy2kMsMmlQqHf48g8M/cHBSKec+KfyNR5z2mgTrvTdPr8ga4ko1+NG8jgN4OjDW0CfDLdTHDT +pL6RkCWiCvvfYHQIqMBQ36Gh+pePHaazG3oTl1NO7ZnEb8R5OS0cD2OOzMbTzCry5BEyfVvr/QeS +JIqv9lFx6Hj91XjxbNURVQpFjfnPRVBAhsG3qfxkSrq3rF+FPxJqtoLguhVPGJZZtBxozCq3Kl9D +t0My3G80ThpSKpMPdzcud2OhiKdVYgBhBfvzp4XugJd1AH1yU2I1qWQ4D8VRdu4YXwML+6Ur7Mm7 +oUufKN6RihPl/kDQR1UMXC4Q5fmB+6WypqXOfqAZVXQmTYeCuG1HxPxo6gQoqZBLVmMQCGgvK3cZ +FBXC184aF4yRshkjqRHF5ldLRoLIWFxhoYTxGNBnct3TGRqae0QXJIUhoSlQP5bEejtVGOkb/7fd +Bdx7Je+GmWscfZp7xyF7jGhw16MWZXsly7WHEG3L9zhwCXOj68SLdVqWGm91aUixwJ6roi/Jt2oH +PRtp0VACh59LBUH3w9K1XEpHC8VGfLWhUi6jAlZMqOrQ+z5jG0zPzPwY2kGTnxTPl9mTieyLHoPj +QCPTDTAFpEF0dWwNmSBY0YHyHlb2feXmBka+Q38uXJx2NDO4nI4mzgMJvXzpOmgBVpKMH5Gks+/G +iHGw2ZBFB8sa9QTXjksQMF6s6045a7vR2L3AP3tw+vi7mqQ8hCikT5gqV38zYf0OM6WF2rdBv6Kq +zgDmpBitgNk1bPa6Wjf+nkWt+0rlKvE0Iua6kZYo0J/lXeBUz6X8i9W3uSBDALUs2m6mvZYxvbwj +3rZMHYS1Aebpnjle8UOv2sA0MK+AqMXzaXHV6gi2kXJokZUuz9uyckB8xUacEfwx9PJ4+vEuO3FZ +l0OmMXrDxufF52i8k93S0fnCbzZs9Knltlb7yvZS2tkz1uEdeC54JEcXb3dSuzGvDVtR8f2tcxiQ +soIBtBFMkjCgNdA0SgbqLJhYU42UBcvuh28jGzEmmG1X20+hRlZXFRWCnXfAbvqWeT0Y1l8GX/v5 +Ei2yyBFvM8S/j8AH9KJvwYSTOSZs8rIfqw/X+bqx8dhmBQN1F+D1m7FXhq39PNh5vK8CG9OaSVfH +uEzsrstGDdnKWDsCjoaSJzuk5RMlZQ+j4DK2u/bcBDKZ6LV/ks4Jmy9xBnFe979DDOce8ES/Dhut +lIe5Y13pxr94mcFHvJdvyUkk812mdzenOTAWPf5MhnTFCZPnCZKZ4UmiGdwRSUxcNFeGy/PpKNTM +sket79eV6Mt0OzLH6JrPGuFYWb2frmJi+73JYcnEgNYy2dBcCYj0laEs2tz7V3KB+blg300JzlcA +q7CpkasBOiJ1jdsdW7QIsSo5AYZy/l3sELNEZdUNCUIaeE7xS5K63v0R/T+oVFmYom8IkT4eY9nC +k9OT/Y+dittujwKMh3Xn8r60RX1fS5SdUheFxaFgU7rCIER9xChY/Mkk0D5SkGj/zNTOc1eQl36I +7InUp7gAKNMmFwJpmoLG7Uh/sftHCK27Nbog2UHIJzekPh578PMfHXN1H7TUczllxErJ63eUSCAB +20TQ68MSFkrexbs9MxF4N2M0NW0j3pkYqIuwGP4rL7yc2k4F+e9gXw5Lo5Yhmjjx3Ksubwsyg1BT +KxfRBJBVR7TgWqQAsLXKYCKcBfz6gGr9lqPdm92u3wr8Vnb193wIDOI+3rh/FTQP4FmUtmHna2aB +tgiMFN+YTog5nTOm68PZwVZ7dcWGCF4+PfYw8UwbIOopPOKshDzDbCk+6xQvRD9TRgPzSIIZOPdr +Od+wrNV6vmoWmd27z8lmFtPKA/hyhoH9cX8+zudoWewIJ2UsgMTtDR0F8ff7UafyYQpIrH4PfDTc +2jdC+Mj4YGG5cMKPLXrV9mpDgIl3R2IvXLFJ+Oae9iuQlyeFvOY9UgNfz8BmzmZ6aVrYUjspkDuH +gfWuuI1SFgezv83fjhRwxUCUpGVHR9Dlv5VY7YrYRg6P8K5P15WZNsXsQfRJBzWEsfvgncxTbmdk +iyUK6i/KShlLMFVd89xJLZMjB8D+vCkipxrljgbEh8pFXXc8wW2tBcsOCvFLwcWgkkT4xOom+OrT +DZB7pi/jqsX6uvhZggi3Lr/O2uoD2jgwVu4xpQKNOiJz2YjIWue0JxFkI6rU94G0M14k/XxsHjKC +VebDjJn8U5pZAd/ZiKWFkI3QXavJzuQl6GRxk2hNcTdmzOgfOzZPTBbqBDuJcE0h+cxys9G1prZM +F+i89nD4mXFVPO0U0rs5oSeAq9S3AhAdy0F8jKiFWOX5QGAWWc25y1krCQ2ZZdgJi74O09i3aGpf +s+vSrXa1EU6hLdXblbesEZn5rVm72V7V4c3gScdMP8Depk6xr4M2uDEySkawvkAiaqCOB1jC9nH3 +scDboG/+cpOJXrtwvqeI6QpcAs91JcrVoVwwcHrNdUtdi3Trkj8rwlZOBzqPqdrLGng3QywNZgys +ODGUmQleUk+IYspnrCGap1+ltuGOZ1SGIJkGnBEofd1Gb7ek8pMnYu4Oclgo2TmaT+bbI6NeeJNG +PEhrNASdHW0y7X4Vh20CCYQswTWzlRTGzp1HR0NIvRmEnA4Ukhgc7P3mU4knzEaMpq9elv5OwF1H +DCBWZMOuywO4GCcbY6eL2PxphU3KN26+HZG6W6hZ9ET1SbgWvSRCTEr0bzVDdg/fumewJOAgMh2D +SfSGRcLLKyeT/JEDWu55b+r1CMCk2LpiD6EvGuw2CkapdvpfMy6vKKho/ILCONl3QFmZqOWjEJN9 +WG2H3vdVDPboCmDfzKaWQSW2y43KQ4Hz+8GPLvi1xXdy/uQileTE0T+POCURsjia9QCqii+Quw// +6COQhFh8rz9GutejQeR5GlzanVDOBG9cUuCxpd/Sbx0RwzgJqHQnVx1KJSc3Do7XsJcVqMh3DbZB +HUTP3+lh7ti22NzGYaStwa1wEH8u3XVRlfqEM7G5lap8w9yeXo6Mu6/k9teurGyzr3vzTQHK1SfX +SsTR2IK8xEtzjPsmd0CyJWrY8DqbxnzNZBy3cWW+QWt+YpS8muL3IwYkXkYjpMBe4k7cD8jw0Z/v +2bsZkEIVO76s1R/pKZmPQNi/X4H9pHRJLgjehJBLFpXHq6zAoLPs9ELdrRGPTIYJLpi2lwzmBBig +obckWZo8HzmhpTaD1thj18zyWDyjH643iFVKtg1PHt3PhzPNd6HBdSdRSDFr/KdWjn7h6WJOILs/ +WsfwAFbqzGLkHKkYLDPXLbhq4F0+9f0YX6BmpFqCILa88H41MAbeVsiDUlWY5h85Rds6Q8yVl5aB +P+qZ+V6O3pNUax33jU7xxqaVV6uJ0li7xtleOO0Q7A3A0kbRocTz2xxW1OBDcyWCKcu4yq28vhNn +XZQmzm0R+M9OLACJpta+h8iHvXsHrf8cDSSC/u2sN9+Tz929PqcJ500z8PiMMdc/0V3zoLcFqUdE +zNkWhz/05/iXRfElMADyXHTKvrViXy8+hcMmtFvhCH8M6DpW2uccx/nMRZvvEKV45HnQmZvfkalA +WG9c3EPkawfvzBvqThid8ORUtyrNG8Aj1EO5eSIZgeOdMW/T5+RGQ+auksU0w9p3eQkVJN8AtP8p +r7ESPYETJW+jpyCrKKkO1uJ1acF6Se3TrYd3RtlIPRtgp04us0Tipc3czNFo/s8qkrlpx+spsQvj +AhzSraxrCBXAVa8tgzL9doKzRq0AgjvmL4bb0DigpomGVC0FlLaf2hClQlhEvisig9STDhw7VzGZ +kcGe/40btZRi+SDSlF7N1KTptrbbdK1vxUNHQTwMFE/v1LMKKULZPREo+LHlyrKRMp7KnCwlINlS +Yezag9miahPR1eLTROtoAddimWumDED0RekJHZBq7WHgF5/m0RS33sablh/bQdlCSV1+/3zhdFQQ +DBkrZ1KFslZ9ewuvISUAY3JbNnaWhBUhHdeUc8gKWqS1o3WgWTKM4AKUpTc1aqxQn4bY70XAe8ON +20Kjq0Xm/dOwhD9Fmg07Lg8pTj4/Mmh0lGMqlBEMjpYulq4H4Fqv2wMZctNCRLb2diZLUoUljQxm +UEGsxZAbr1KWoLEg1nqFvWhmHG/kh0yK2u9Abuh5HULvtTYAvK8bxZ9hLDjRShPv2kYDLb2Q3rn3 +jM8IE8jw1vr447X1axFEkCxawwmBY68UUHJmDtFRWTFVYPkFhR2D7GFw1ubE+zq+MPzxEo4rmgn/ +8VUCd+tXJSwn44+bxeHmh3ARcfUjTofhHYuA45U+MH5ewmcc9hbdPkRw1pB+LRItz0g8IHSEaOlt +CNVafLdGxNZUElRtOsNDq8nLxjT9WEHOwTVwyHzF0748Z+/B3MEM8FCI7PwCkJCgxCtEbyqXWxPE +F0SmHeryiZqy9HVIOWc5AQabeptEgwR6BH2RV8XNcEVFUWQKy14SbjmJJYq8GarQ4bowrrG+Fc/P +iRSIPTt16tsG+KvKzyM+JMX/PN+1I0gof3YS17ImGLBZP9dXn64SAy9Qi3m+JuT7BscCtwM5FREg +D9TiGo7xOU3OB4krz8Zgwo5NgRycvZdWzGRKuu6IH+6VJslFLZMbzs3pSQBPCItYYSsJA5HI6ehi +ttrZ7Set/aVoJy5D3O5QtseO9vQv2ufdhGtlzzzx6q38J771sTC6h9zzUP+Tr+l+TGZS+dootTIQ +3ZS0xgJR/zUQ7m2Dfqyb9UhokAGvb0e1SA/TZQpVSdh/FVGiMy3yzOyUlJEleCoT/zXbceXhnGam +3o4MjfOim9HckL7G/EYnZ6nV5TRkV15SfJvmdzwStu79Aez5UTR3kgYROp3NdNAfzEbK4frUfgae +fDa2YCJ2DEcNZKsdTwNPD7faHHo53QzZgRGs4zt7Z8Foq+Flof263H5NVUZo6RmGu6hIi7nQ00uZ +KlIMQ7PZQz+KJdZj6U5K5TeAqQN7EwBM13Q4KB23vL6dUf2gUbeyitbyGYy6LGBo29zGUec07wAv +NBiUT7FYaagMeHULlxvwNOnq16xNRaF56pdASaqW3IuNsAw7vW+pjNDSQYQImkKOC3oQ6sFnAdeu +Gw9gkDYlCcLtf3DT/DgKlKLT3AQsykXICI7i5+El5e3+FlfpwF5qv7MgKqEZAUGENRe16NXdbOMZ +HWkcyVKINarBkTFdJQ6ErIvI4jvPRolYB/kPhTwxVKepUwwaEZeiPQqznIaFlOY7as8F8D9MtkHd +eo9hw/MvLE5u8pBVpVno844Fl3eMaFRkjuRZHnS7S5NPUJNwPmV6axfPvKpLUuM5G/SGpuhc4fu4 +AfHdeJKZjdwkNx3Bh5GhmOVyYjeMpzDHS865yZ2A7oOgC8dtq71iPgPj2ZikwC/b31Yc/MexaZcu +dJJhefuMkGOdRigTU531LNxNvztDRkcj/rKSFde4srRdTrnQvc2nGTPtrnd6hbt82m1e0C+wOxBp +QZ8G+Xxg33+Atq7XVxTcLNsw7QfUjOBwdszPHso66O4CTDFDin+9y7h7U5bn5fXHEU0ZFuRByCdO +vQ8tKHVPUNYnQ07CbuFRK6ZqVE844qlMJz7sLnDM7WflHwEhwtNeprUAVhye4GIPqVOvsrgGGREN +9YY6q4Hr/kaUcYkZTckcHaXboRV6G4LvlQGu5zpHXILaPyOLzPsKz1InJy1+OhtTootiiASXQJ+d +X/6UFjRXm1JD7thwOg1Bcx/D2W8sx+BY8/TxTIRq2+WNOkdxusbPDJjaiYOZKBm/ts8PrNpnE/4m +7j59XB5QWGJ451C+PmxTyFez9RfbRJDPSYqmjRSMLsvvf2Crr+l2RPBXGzdTwQELbUyEshZEVt89 +JDS93rEXJfooIn5SNzzShDj2Dyx7bp6SD2A/Q9ky4rSxJ7Ds/rKvEjhq2ZYaoLB8s3SAWGrqlort +Xx6KK9PT5IGU4Z0vxeKD3nAgWaczqQ6uFKKvc0YjrPWJ+tKLAapdxuzd6Yd7kGMYMSu9t4jTTnoY +Ss5G43jery1h3nyMySHYMhY+43v/ZzqOkhgjodes/MT+zjpBl/mjxMtiBs2WA/2ARxQoGw+Moj2G +7rjJJlaWsRG2xxAS1Qe+rfRpYunk5OYHKeUZjTt7hpQlNeB/5O+a1DKxgg1YN8ed/XJ6ogA6Mhog +xkY+1udvi4G3D7VaP536Xs/ULGu9Ti4e9hrsqqZl7tn4Rxjq9hyj1TWjYv0E22eBq91cAhAaMcOv +ITWz23mUKfGXWMZ6fe1TzxL8xRjqagSVxtym8XMwwVypEo08u2mu/UEjaBqIqXFcarHcnMfnmtQX +Atl5A0BCWIvL5uOlbQE+9CvdCIDlk51Y0VJKbqYScQ9eEPmOadv3CehgWkBeUgduHaaWQpPw4WVg +QEnM9zP5amfHugZEaiF4gwtTGecRmo4skg9QvgsMdgCS5E4EwTYJQyFQXtmUAFP2AA7Qw97+0UMz +cnICMaHaBprSt/YyjHyVQRzrRlozz1lquA2B+c6efNNCYyFSItcUyOQoVGbAkYhNbuFfKeDsBzZW +fNE6IxCr5T5P0OZIU8BNt88fyl+WsIVc+obu7MPpJj2bPMRPk1aqp8Rm0p2Qj0VYtQPMgNjFk3VL +0rWIsYnaogAXtdkUOv5+4CMpdKdYpbXd3xxC117Z0Q27HFnXR2/EATfBDfF6bG+yU9C1SFc/to6j +gfeK1pwHbpIouErgjBnoutlEkF1mPNuzhjN1u3pHqRYG2vUexPi7f6h5716P/DnKcp/+M0HL4mv4 +66r313ff+WAsGTp7/EkwQNDaSIDasBZwB52FYqKpuQIk2ZZgnvxW0GiQzHasCW2jZr5cmD/h0QdN +iQvwzgMw45XI3Py6M8DmqKq7QtUcOso73Kz3Gp6HUuXLOgU2YzYfgQuecqfvhasXlgSin6oNhOQV +sg1f0fXtwN07n2btlh2I2Wls96Wjc9NovpNbvBwGMwBuGK2wHsnnWqk2p/QouL7zgqu9FyUl3Yot +b7sSxu7DTo1oPoPQNZLhSBl98/BLde6T3ELZTJeCtxC99aBSv6fTjQQ2pb2DAtbKlLpADH12zXYn +sK/OpPfO/FKyBbdfGLtvgHsK+2JJa9qUC4jqQPt9bTbEzSzQseMz39F5SGyjSHK6DhXxBk0gyzok +S+qaG+R3RKLk/iGkYSSE3EUGKpt1TXd3O7ZX3q3HvZSShjzhOCTPzud2SU6fcg1dnZeOWpG3CoYL +vDsEq7rkuqowZWojpQy9OtiwqR3kaw1KZp09ExBukkhWkU4A6zaY7hlk2BAN6SwOadDaMBBjOOG2 +rMRz2Kg696dZKxmRc6eur5wQ1EDDc+hobeDLalXUkQqakS0HTvvGArY1VE76YeT4PpQCZUsMl7wI +hzBMaPBA23SB8pv2yyKWTTMAyBOBZhD6Ejqng3eFvdPLszSO8LF+2j8aS7OuW9ftBPc5YATyECf9 +wQhpHrSg7HpmtM8P9WlX8Js4VBuDQAFWrCGrXCvFqoSWCuMBkiU1tGIYC+pIgbXzD1mPlH38xluq +6fencoAZNcfjwzmH9DqgeZeHaTn3SoT3oqDiRXMBJJdP+EoQ8et6Z9o/7S+L3uitII2mG9DisN3A +Yg6yqB/URgjQmrzEndoOdOQJ1gxoNESUT2M56hEN1XPO6UWxX5oCT8sPFVqxzbJUBRFIFSqmtVhG +Z1FjKh3mTnkPuJ0iEwHrfEnSyTHMO6+PKYRE93B1BQ/KPtjxHSX68bDIRDQD1JUMGU6NRCrFoqij +8jTUPHVOEekQhXAx4GIK8xMr3BHWWc+ygoMeWMpWbflKFdAKxUw8esGRtBmxVe3MCp3Pigk9rf02 +rNxf9d84bdKQpBlfZdFkEg0SMORgj/pvNyR4BGUtdaHte5gJq8iu1IC4cWzjcmYWw4cdrsMBgIKG +k4xF/hv7U3CYUiLFsbR0BKczQcjsMQ08KuKDtXAKPyVf/+waanCIXtFy3SZaNjUyyje1m8jwUfJJ +HEKE608Qj88cfYI5nuZgmob7DBlW0g2u90oXMwxdqB0G7Tz98gXma5dXxU1LG5gjKF0zU5va0w8l +GcfQDtDgAtWdqXTB4pOBdG1/IZ9plVE0RGVdq2/WaV/6nF8BtelbzAuCQglEALnVHIeVoQ0G8jGm +iBoEpleYruMKFPz2K4MzR3rr4MUl/wT+tqTucsft8HQtOr2eMqCJqZj/OsOmQ4HzqazhzMOeaKZw +rLUkO9WDG5y/tNRCqKvq0JK8wby2GuApx6FwgmD1gFPhL/lhOQX+aR7hXckaXoAd8S456h1NOpqT +S40CDeK5lSxxFWRvLwshm5mPvhEgF9crlvgnx3cyaWXVsfaCJ6dAcRhfS8RmXDiieYw1RBmiB2q/ +m/gBij0kcbP55SelKW7g19MS/uao2+A3o6sNg1/u1NSKgLAo8FuasgMwrM2Rgihekht3ZHXg9lHN +6s8biZCxI+XTM1awnsVvUXxc8YByce8nkftLAFPOmgt1A7rJZ/uyaH6wntoMFrJS4uCzAWHTbT2p +Ss0nDiaw15U3pbxt2p2tP6STqzjGern4Bp4mjCHMldhH8dhsjFOb0aL+iei9cRqMi0GYoeNRYiyb +/Z+YWfJy9bo4M2s9gXE8ykagXXkJUvudFIqoHRrQZh5e3mG8/SGqF8rNWrUOzTpBKQVONb2jCOx5 +ius7MyPLgzN1ujgVDZ4LeDqHXJIvkJjnLrvvHh1bJJqXFbKKvZgwfXJPzvSmbAkVYjry9iZq1sMr +cJaRj6gUniUt+zVxSR8paD1Vsbmz/qPbxXwfFp9UeaMSzHA6q5bfw2/QtwSUpGQxVxmpCOowqUmp +E+5GLEAMY5icB0A3NA3ATpj8oMWxqyWWoZXdNW1MNF2gRZB2o6c1/AWoMxtP9b8inKwppOFVmqcf +vPbgknzC8ov0EVJQWvLF80itymC/0xrE3oKspbbkMtntiTokOsmuD8nYStbKg/RwTMiOIAPUDlrm +hvTxmpD8HO72QNfCmk+e0Xc7rFAqhQathxxf0kBo/I8U9HQpsLScCrPc1gPdofHh0OsZ4JZvasvW +NF9yj287NYvMVb5pLVH+/JAHUrjSe8bgkBQxM7kyLkT7MMw9rbyV5ZUBEAvHwhNqtJvghg5gY2jc +lNbZNP7Yvd6Fp/laU/T5w8NZB5DrB6mFk9FumZeGMP6Ffefv9cw6UMQITL8tlDyHGgiXvh/V8QGb +B83esdaBITzzX6/CXeLhSn2awvMIaJCwpUUCi5+TJZ/fwWK3uG8VfjBzWSrrKIP8QD2b2hdTvrzH +NMfvbin5ewV8hM2i80nMfcKa99JNV6kPFRPhugG44Ck2bA2tyf1Bxeg++CnF/vYC/BdKVFjEX1tG +XIWAxpvrQffaG/qsYwy1Wm9ayDSbCvkqDs3Fl4p9YdCa5LXiHLkdQ6llolgT3Uu9+HiHzUwkKYu9 +zsY7PShIDis7baJNBbhYsfNyrGOwRK4m9dnsM1uDmKiZrDX0DT5+hy5avSaVMIPknwBT+4O3CsgS +gk4tYmeuNl0F4BnlN3Nfye+r3wYY/HZvPJcMbsidu5iU6z4EDFmBEDIdSdvHMFCVDeyIZDpK57hY +a/53z1cALh7nCKAj8kYk01ChlfPSw6+J44/oWKvlkLaLIE8FYO4FrN85SF+MYSKMhrUaFL8dVIyg +XyZQQTJ9fbiTUdphOHHP8XQCf+TZNdseqPf7TXUPMo8WvPMtNnj3FgfGZhxOR0k3gFmHUzMAiknE +dWYxKr5oRwf8JpQlgAkmMhr4rDjytv/8tgOhIiH40Uq1dIR6JAhXXSKFAs5YUdtbfrjOWZGu2aTV +/8C3wpCikMcfl0X/IeWt2gh4TttLFsgwM1zbXYXVsQIK7L2EOpewGSvR5Aioed5UFZvCN0mHxm4t +tG1hf/kEIl26mBlyMcIlyvEQiuBefrKgXYlrf+y8kYImoNZwT3l+HXQ8gqiFtGml5tAwazMvoSLT +mddVZhEkkX0o+AbnBGEoJAPHY0Tzu/nNRna2a2b7UXEgr6yaktVC6y1Z7ZNzE/a6IlYIEMHNrINE +fhl/Ay/3qYbebuoVQ7ttEj07KjfsQlRFNOYPHpERt/XXnUvxz56WIhru1a3ahVHIUJNxAAMXIRDS +AnX7nOl5lxpNJcNBsEzWdBsBy6XYiMsCawVoyBszmI49hyqFGGMXGf4wpB9bOKOogwMyh+pntwFq +AeMsY4pQt7dOqmJvICQ+x3VTBg5Y6GRomFLffw3AlUUH86GGKNkiZObYCsM7JxA3V/F0drTQk48n +0d82d5t54VCPEc1cvz5jTKNcDubF3Twxa+pVdgMxOwQRxpBG+lwLVbitbJwCd3/h6GmanLP/c0mb +adpy111UXoWNOOeJz/wcX2o6PqZakaD/cbcsej2AFITppgUF99VXqc3T7ZjwsSfw+CUvfSFzjNG/ +I5E0hEGAqn0Ut/jYbkHsLIR1okEce6lb0c973FCdk/00S9FCKiqFRZojR2Uj0h/PdjG5KnQQ+RBN +XhvdpFqC+G5raNMg34Bmwx1WlO//xRlL3yNfW+wEBXuNpk1wFy9AsSw4ok3Fr1gjgVyXp6zRMQTJ +9A/7xpQWXwac4kdnOPvf3NXyqLhBAjfH4Anf2XaWi0wu2q4yBg++Ih6iBhgEXbZFxyWHSxlVAq8v +/gtAW+x8CAWaeXegFuVYelo2OWyv3Uxcw8jwDGOKDiU1YcWkEfWYSwvarNXBa5gqBB5UL8rRbVKU +RcED29eVpKWU0XUOQigfPUTVFgBGsQvvq0MrpN1+zIZVmV6hB92MKg+2lxYVu/BqcJiZXpRdrprB +DvjHcCtF6/L5rilnBmHDTpJEuxoWWRPJ5zTjP5I+ujvAogKpJH5DAVnRBxZ6kQU1eDOvr2Ry2UGN +uADvkx5YXo6p15XCC9T/joNEeBk1v/g8a/VEq70ngMzF5nDR+ynM2SGDF1I3tmJBA+YaYl69CeJ4 +SQbW9s4s5cNQoRbHmqT2U1dF3E1PfKdsGL36MkD9G3QF0sJWszBQQ0pyqrEqrBNkUkfoY/xqUCCW +QSMb4MDQ7VDk372BahjA5iJqCH04zf9poDfLlSXCBBWgmWiSmO4intTcbb5yswNp0ornwSDnM+Pi +woeiRI+BwmLgCHSLBj3VcJ2qsYdUsg4oQ9Y7tYtEtJeNolBuOsrrBuyoKpFOdWO0G9+Ur2R+hK/P +3kFpzN/V691IQUKN6P2mUEytYMSOwVUf30zHJMiiX/tTrxoMO80hnQ5BfaJEotPnCGPGjSZ8AMB+ +6nId2fq7hXHJSYxBgx3vnnPRxRACp1RpQATKsnU+1uqPgBqAnvL1CqlGsGvaGlvxLcSkDKFqCoKP +dNhCsaBp57Oqz4PShbvwSfRyip71I880YxRVyScnMGXQAXh3xAYHWrSux82r/OC5YkqrMnOuoXms +O3HSzkTH0vE4zW9Z/YdDKCRKnRDX0huNyKnxC3fy3mexURSfmRHgxHI8ibXk+c1Ie6SVOzvO8WbJ +3KWffWLEmO4KlIkqJkSod/kQv5stWqOtXqhnuz913vOFPcKQT7gWb4XpEYyIW94nyS8kZQI5pklr +HNfdTpj/0zCz0yF9RFxfljO9ronam64hWSWLm79mF08c4pMNGz95GjRBnA90QTEy9kP3BG2XjIR2 +wM68M/kZQMcU0wj9heRjb/jjLUBfdaop9VYZt/+OtI4+/a5sTpYa36jtM7rhs9eKEoh/UE9V3il8 +84OUc7Wp7nHzDu0ZA1HpzoRUE7EDzV7ek1umh+6QoLm+xxcs5vNngCi6ncytXxLjeBfbUMtIjL22 +AAxj7nPMPtXwv/uPt5snzXMoigWd70vG1b7wP/WEmSZ9n3G+ILHIRikTS5iqbihLprqCUHI7ebBn +bV8uL2Kk1P1X3+ufGVtqR89mBFguNTh9fWfYJsQm7m/YAV/WoMBtIDMgbMO0s7yeUNO6fl+ROc4k +eE1MekAqvj4LGmCvspAtrI5/eeSTky4VAg8ANxfslWu4sMgIJOhDtpL1cBhL2x6tRhbucC65pQc0 +MzeIeI7UG5K2qh3pDu+Ech7RyrNztJ7VY36nmway2quXdX/snaqhlB0EKWC7qYbaDaaYEBb+hQXz +rWTCXpbOr9p6Hkklc37EC80NVslUBC+HL8mctfvc3UeApKo5DZkGx8PDtJHKe0SEIGH/god53Zu9 +Yzb6MG2Sc1DN+BNtumLeb4tsWQHd3o4Ia2tNWV5+5wp7F7RBwR8lQmYYGxMuwEJJRDLe+91Ro11e +rQ3rFDknsp0KJP0kywLejj9XAzy3ySr6Ld7lP3CxquFUUYE4cYqEy+hWWYPk4TSCRA+9KXTVdZPq +BCdakWbsYzlA9+Bz/B+a3sUMFENeP4+3eLUlH54H7yLMgnT2bjGd/Q1DuFG3AxH4E2yblSoTvkMw +zDqHAYuI3Ihbg5/X3H17Rlb09tnqziTV1t+W9B0FxzJ3o/RW9TZFQV/NFXmwvB+YVt2vjCJTQtgE +nOZp5TpsWZ/FDhIwqxSUPsNUpOLEXRH4HCMXWEnZrd9O002bpNElnXcJ7ITlZ1C5y5zYHKZAjjLb +CD8hHbWD+pmyBG3X5v/83LKf319vfk6w1bGKMs4RtqayFrXyxnIuudTcRMVAkx3Zvj4c8HWx6GBD +F0v5J+tP9hXUVqG4YXvnCfys7FnJ9cKCkV8O5Z+20ZC5BAUmlMO7chEFgpG3JMXJaSeKRMUH4gUs +1ZIOm4mlWpa3ppkicDKYHS/K+FQpjwKyEOSdgyDUWExm3W0FFrigMVW2xvtK96usVVAZ8zwbdneB +NwBZ5KFzo91RvE7oC24TQ+PTsL4/xjcafpcLFAEest9ZjyJ8vjw1lzCp05whw5CUSHX7zQMpsItN +b86m4D0Qw+ScxfbFwqPxszmpqblYIqtF0u8R4SbuMXaIGHIiwFAlMzo1pjpwOv2vg4/XnTE17L5X +IOWR1lu2KEywFnZOG3nTJaZEV18EAMw3vWmCKP7RlwOejqNS7Ufg9g1iUrgaaj8e4hFAxt4z+sj4 +p1vNaPsc+/wrG8K6Kzj2S8YCRuMvZiy9TdRf5GIUqbrRdG+JVv/ocQfJVw0N0rVZ/MYsdHNmHuRt +9CDwIaAxVh2SP9KidV0OAKvbFRK8Gz3GbC3v1KnkwQStI+yjmOsm6A+2lEmCyxOPjj01bgoLxKkT +Azg2MTGBsiNMxReiXJUY9wEVuvOD7KRPgif0qFgBMU+W0i0cObF28IEoJ4dBUIEAwp7r81g2pn6u +gH+Ck/lSqCYS+TqGaklQ8wRcFmUftbD+nj76CFFFEYATXm4RXiQ7N9CG4e9NJ5gxdN6wd3TrzYJ0 +arRD38jY3ckO4inZ6wLiXL6O+zFF+Y2bvr/1zioZgx7U6Ftrnjxj7XTofPTcwQqZp2PWq5IRl3yy +alxojOKuHfw8qDb9dKeW+W/T2LQyqLKTmwMx3IJ/UjXH4H8Sn30Q0DrSyJWaLXgQB5rwq/DnmUQ7 +K3Rx1Udaopnw8syM3sdinJ0/FhqktQ6Y9t29EDi2/6oufqO77j5aHBXOhPehap6klUutFTU0Dj3g +PWEiGWhqstKmJcVy87b6XUoDqx9dmucvfSLTJs/CAFWSdH8ld+TpZA7JeyMZqlrF0IyK72FUYrUM +yA+QvsHAlz2s4BT2/ealpzUdvXDs4Pl8EwbYJmm6S337v8eDFWplNN21PRm8UFFFipDr+01OvzKw +AtL2Zuhb9c3GCH1scQgznYXVAKaStCMXKErNvXUQtMpXEy/zEVAsrdZrKcjLkYoOiKHb5w3wO2OT +34JXcZHGh8Ac+FKRK9aYJ/R5+R0KaDL9iKCdQ4nkgdatvepEbZZFP7WjCGvOCm2jIjHfcKFU/5ms +25CGNmT/nZnFDLMbb2uByNGCFeV9emPIan02X+dPs1mKjwtD0IQkx033r5BZMf1AqTkma86rmiTe +RNz7hk2A/2dRK+RZ8VjfZiksJjyiYuzVEPnn3gpEDqECm/MEx+s/tbGdx87UW4Q7Xyaqoh0n+jQl +6JcJ8f6z/F9pAZEQJKA7e51GlEQo8zgdSGYgjirGSm+2WcdcPu8Xf8es/R4ANv6IPPfARzrdsjYw +aR8Ui1ak1dF1KsROzpHUDd98YJYIOYu2tqcVla8/cwkSbf4Dr58CO5l6eiOvenfpkGZyvC5i9Y+O +lxPrR7C6yX2u6dLn6UgHo/0uZ3sLrfj6cmhkG68gAKYat/p7Yhrkb1YulKpyUJecb7pPaDg7+H3k +3M0VjbzMnL+9shdKpq9FjtjswWHo2Hmz8feUAUGhxqF3xTrdO1rDBpy6IRyyf6zjzuUphal/hPbG +8UdP67YyZQ4l0LbCqOBqYHQk8D1HP/ShyPh0VeiI/UipE36mjMdDNrpE1kZCsxfMcuuXCTvE0Kx8 +bgDV8HhEZu+bPg6BGTT6G/SiQjfhFFD5yFOOr+RyZlHg07dxB6HAO0DlvbRT0ev/ut1fw4P1SOAr +MUQX0t5kFuQZ3oQlF/OzOU8/hQXbs6LJW9UCAtZHeueg8zVDLiBlRLMxBdrP/0wWlOq5FnrcvG+m +hVw6iwn2bHQkMBFzpjxRA2o/WWQPHvWz7LqhRG3J7qmD33xWnDwH7cE1ER4GYwpmUdtbvHsDkJsH +QSCFzy4GAVfaCFeoIuTyvpr1bWF0EMNRrPku0MrNcovIMZj+i0/GMVycBk60SWfLwr+fm4Y7/EGp +jEbWHxLOEqTjXRONJsr9+kE3qQZKGdMHDFyuUUwwuP89C4VvNLnxQnFSA0Tag8RIw/QE3g3E5r1P +pXBzy2nXMoHE6QTwrNPIfH9MhLlqax9mNqFO6sy2quEsHRsoeWzczSvg0sjmtlQCD7ycpa4ET4/Y +bLgaR8geIBUWmSZPZaD0xUV2hu0/JzxJ6+qKgM8kLxRN7OBB6q4zD5eVL5s80lomeDsnQL4d546u +W+nF05Jv/lTkIPe6++oCeQ8idnGfviCmXk4BO0RJwzwYCYV/0hDtm5l5BfWXX+rAPR1s0btsg6H+ +j5ZigjhL4Js6mSZEt89mzCa83pdy+3GSOdo2ZQJbpdol9q1QcM9RMY1pvW9Z7bZGiT6br/LWybQC +iLwnNfV5xZt4O1SpG8xQ114/T7X3vPPYOpVAS1B539zJap89HAE+ry5NSaMX+GcJH24pBBojU15n +8I221Q05/kwcHnYspn05KPZYlF9fBd/Z+pUQiQLOpaRt6fq+7tKQn/6cCBSzJpRSxGzCw1vpgXKl +gbqIjciKT6ghFzHrb6O8HYi2OYcF6ctDYLoz1VqfOjNK4RtzMqb5QwwrSK0wYs+3x4VHupbh4TM6 +kWz0gUVigD3MAo9K9L/NVLhzXtVD4Upqo9V1LuhSboAi/Aa4B2NBIu3SuH+uNoTeN9G/KaJ2D/GH +nCRBpQQtryAp9ORSLdXVAjaKA3eDPUFLI2+9XNnwy5uhPPIjpj8800ekgKZQYiygoqlAi/AtKcTR +B0c6MJIGtajIUoATH8NjLqmr183CnwvKmWkI8kIZRCQLA+LAPoSLSD9R7VeAcvxK8i3BVJAHHj9y +sg3mj1CP6rwiUhCAh9GufWg1z43FIc1uH039oTipGH/L2Fn6Kg/XcSG6GpSX0PEGEm41qjjUaiD4 +cZt/KhF50gcp6a9IC9JfHb+iyZ9qjCI4nd0VuVOEJHATlRFMXtvKMc0hx6/2FKrH20UjY56HTSys +dMD3T2fcekFqiPf9cOuCkWlD8Gv3nE154HYpLRsxlgiVbl1MMEDeQqB5eui/ntO/2N4bRFzVObXU +Ju9nvEy6yXD6vSnOVqH3XcShjGu2FceKOMdD9bgw/4Coj0NYE1+k0J5aNMrjaQrdqKgYTDXLLjmc +2O6JYLeV58Ph/DAOtUlLLHTO4hNH1kEB9F55C68IUU7xo4V3u8FvmjQEMy+bV+hBGejM2/irZmAS +mmiFz/XHRcXM+ni5G4hsb/IycYEysY5w3G/tC7HXxM4KPYQ2klX+ZtkSKFRndpzh5T9DvzsOL26X +98jCMbDB/f5wt3kPSBIDjL+2FAvUsbBfXzfjItozpLJX5uOv9K96lV5f0GY3SmVvmuWHHp8m+05G +W95hOlVhYy6ubdjrc3MWcDfUYwC7ehtoWKjfhF3kG7aw9IWybTkvBHpO4dDncRoc+YvzIjQwC/// +/iXVkr+NhOs6TYoh8lBrwZz2BEhX2sDqUHdwCHLzS3u8I9Smx79WhbYaOV21fl95P+RF7xAaLbYF +jt1V3UUOMk0hx+YtMp6u0+jkxeoNGSS1gfKm5O3qUxIrJsFD5JZhw2moW6TJ3kajJpJtlUNZXH3q +0YwWt/2BHmkJTdx+o0H/mikVch/ysxr49W3NL2739HGr40mQWqaxa6BXvJd4YKwlU0+QFwfRbWvp +hn+YOo1xZjkk6l6gBNLzb66EPuoIG6YmMKlOWnUqtaczI3C8LtA3boeqiGgakg4laUCgpomPUZov +jlnp0E/fffdikwg9gS/YsJO9tfNB37PsirhHWtU/ZZb1gnSxYwwZFv3J6bHn5WHhlCQWGtHiuqNY +F6ABa+4QP0sf1uHD5W+u+dlMNMIir96/8+o3rt3tUx1TSwGs75Gcvvoh9VoHlsFt9/VtvR5V+kth +MqHOs2Mjp5AohgMzLF8PXRXv7NzAjrPu8yTC++TrlhOhkibdUhhX5OmVEIxs7hdO4KK+9xHwvmhV +1gayLejCwVYRU4z8SfYmwQ1OvuDA+1OisSBRJsMWmk8kA6w3lOUFUeheEuEDj9GCJ8Nk3IJLSsk7 +D5sZR67l1sPinYylJsqjLs2KqiZO+QX+qpyfmE+lS+8cpgqxaXJ/qAIQztcBwahl8pZZRZZXfyDW +iXkOATowjgA/l7nSMSSZQcHa5bXMfY/KtekFI8uFIrjWS/FDsezJQoeuDpRp/rFsqajdlk0NJN5w +mBswHV7EUSXIJp2GL1JpfftSqqEKA+zveehWaq3DwrmKOGHo5qYGwyA5qBnQyUtOQa9cE+swtaHt +zYMmXWR2us7VXIcn0QfFoegPg5NxqJ8huqhCUZHo4fG8IEmEsRCEqV3YPLIp13nGisDYeIPa515G +rsJ67Pyxgn/xH5rsIHYD2KX2SP5J4FNF9NICLdTXLTyoKdseLZOTCsoARiBDR476L5VRwHoivKc5 +i7V24VvThoSeSu9Y0GoqzYYVSY3oJ9SRezvHmBeFZKtzC14LCePT1jeR1NwCmHNRihsDtVhRdgHj +vIoMhABD6BgLYXSqNLQcuCDszjjLWbBEpOCyHzx742stXEBk2zww8TCl/6N/oq/a2TpbhOvoRATy +fZ+GwIEl9vRbsVDZC3bN24xXrN4o8E9VjwAZtPP5L8OPE10GQ9e04rwFTnvjELd9VYSs5cd0WiE5 +EhgMt9rad2QWipCCB7sqapx9fXpptCE1ZQ61f8WKAo92lq+j3G2CXJh7pWu4hPUNAHW74A0LCDFt +P7L+S+6+aRurN7hmU0oDbBkkukE2op0N1iZAfTic14GIYAeYEqaNkbl5zmOqwJEsNAr8ShRpVrAa +eSFA44utcqSUiAbBTwmc1sUGiDK8jUQnzuQEk2pf2EdnfFyRiM8JzO7ohRBYPE/QdE3vGIAw6H4Z +DDqrvsNHZMTin/dbaPrStMuz33zMsKwJxWUPZunuMUI1bH0GZm5rFvGOsJuY3zyza0iLWgoccdRE +cjGTof9p24f1XoZj14g5FNJ0et1qVgZuS70AGueY17hnzvCIjS1fe04NFokzc0Pm47QIaNPjaXWB +0LLFZqRw2yX5urDlAfOTMv88aFQ8DtXub4JqACYdmGdoaHTf/cZIgCIxmGt9U0TmuTEFVjKWpWNw +l2ozn0oyrpK1PK2SrMV5vKla9TLJqFgwdqVnmDMB4KTmNCUNOSGx1G5ffjX49qsBPllflmr+jImp +UgtPUPJOl5frJ6Wr4sCb738+tsEplOSkr2nmKDQdQJgbBvdNuYHe+CjBw52my8O5EBB92hyIDw3E +FJHyI7V0lRnHNBo8ofzrPLtHqRkpg0AVR4MJ8v9l1ZfJJIbWKG5KnWG1Gr5zAaBp5VuKB5eaJfRf +NbyfBhsIjuS40AzjOKZfZ1S1CCsbwzcUApEwc9izz+jzIO1Vupp840IXPBFpxp8/4YtNtWNj9VqE +A7cAZeV2eDO5W37xn+E3yqpcUfi3LCettcJP0fNeHpUt8bZhE6uVsmEwAIwxJ5ENy84X2KKrHeJm +dWR1zdNMM+exC5sW3OiNMWE4TC2tDTiPmzt4m+0soSichVxHFuOUVSVlR2GlqS21iy1BXEF4bO1B +qR9IS49j3LJxEfr7MdszJJj1h8cVaoKqnlXK2Tvi/QVgc38nsnIIM5YEvmv9P5i9Ne3gx1UVXZcv +uQBBryjkcSSVU32+OWXN8k2wAxqcggQmUnHIZx5JM19AsR5qUnZ4FTEK1oYEjZrMmO9zIaAwi4mk +69DF273Fz5X2KkeeBSEG+MD+XkDH2oooF71cCfMKMqZr/CAxGTqwOniAA5MrTdEzcfoKE9fkmeAp +BpM5asXOU8v39GKnnwjI+ymty7KXAmznUPAN83nwqzc5qlbcmwz07MZr/QFpNmHmLjX/t6kmNC52 +PMnnkUthJQ/cunIP3hNvtGDYVa78sJt6nmbhzd9X5xsY8TpnTUiMx4tBQ+7W8QuiPQci/QOYWbgi +VhI7eiF23HVz3Jodx7X3erQgYtxz/wwjBj+N+cZmf2yBpIiX03DzISGVdraZBuCsQ1NE7Rdv/6Zd +WjBrdi9aMDG/71vl0Q+00K/PHC+N6fZ208KX0vvfh3eo9UT52gzEDZ0xu4tWiaDe+2JnvWssIIz0 +3Tny4QkUecU+zKElOu4ApDlkDmiABsFIwDtLdHzqC8/moNhVit8U0M3N7W8Cj2wRfOEZw4cWHhnn +vXzkHPJzhBnV4S1eJfgR9kkTgoOlDqE6L0otFIXVGNd4zuFkWVZ9oHzCW8FXzPVZSp5YwksMa8Rl +UFEWBuYAbtjzCPm4S62O6SDaVZWLZo4S1w93sV3o+4aYee0Ld+EbL50CQ+FINTsbADGY/LINkjuf +tsUURcemeXzEHurE5dHsXBwFDNO+0/wfJBQFDRzsuyGQ9J0fQ8QdhYbgkAMStzfT3x05mziihWIU +4c88N7yIt5h7adSqMAwrQlkXA4J4Q7x9ihWGc+T3L/fX6tX/aGTRkkauF44YNaxOrzltNgd0MZK/ +RrKEv8c9BQEcpuU5GWOOgDn5cewOwM8yp/bZTtmXvo1kXaARn81sb9rsObTrvEynNAxjr3OJf5UJ +Wo/esR1WX0PeSm21YAH8h+3gB3U45VugxL/lP6peMmVTG43HUhZLmCPXfqTvz8fT7GTM4NFEBx2S +8wpX9HHT/IIQ4MgUKkLUiIrMkXMgnvNRacDUrVtYrcpCJ8u6R/cl1uUfrgN1iDm0c0VexwsuaI/E +WzKgGEuT5q07yzfSApCx1eS2Z0md8MX6Eije2e/cD8PxBD7SslCdbiPU4RaT3eBLoISA0noc42Yp +ZT13JNbo+9Obg0PVYzsfJ9yHPuO7wj3W6zxye0Xs2G/7TcA9rEfkjZRONdOlYJP+/f2JFKQQzhZy +j1DJ3aOaZfFzKi/c7OzdxVHVPLNQgfn8luRMVEYYbuar/reJo6CQhxmfjmuT+YqljNUVhBJ8e7If +j3AvVJpGZNbu7cNiSH70nMzqfQpTJe4lcRnVP3BxJwGSnbuG4ulCL9QUrac0VomcuPVGzeL/2ySm +YQzasqNNRaucy3kZp+wrc0WQbw6RFT4oxevFTTEIu9R49GX17VbNhnpAX0btoJRaAtZgAa3f3Aem +vHeWO9zS1rNENo+1iOEN31xdBkWUzjwDahT+hvOw8vOmqahvTBpH7gsZ8pwS9j7MhlYmDjPxqmIv +MM3ns1UKD8qSbhYBUkSS0a3S69IhEzoQka8AVl+Ol+C2D5sjUlY7+rioH6jj6wqWMJBQcx45Vmfs +1+t2aRkR30EaHArxrFibYWz+su/VmGdlf/etiBwFghv7Cecqs6WFljUxv6gJ0GbJccG0jbhLU6lZ +d89o7qRx9kw9LhKdLZQ4Xqf4zpaJdBbKnUCOJVaWTXtqETRmjC/JNSoR0Vfk6JnUR5l6yUMotfIQ +16K3/P7biBk1cDKK2EQgfce57v+eRw3cngB3vqSQASgyOfApctulJ8tqNDMGOEGVxpAU4t+6ZFnb +zsxNdgzzE2P7LRb/7ljb1MB2TU4hyTaHKoAjWyzGs2pLaZZYe9CNdl4B/XIRV/jPnTQRXeOjjB6F +M2hS03JRYmsjh0w5LXuDJeozlHalnl7Llaa4ybfzFVReJB9mQwY08NBn/QJW/p5kS2z8tAhf6hYt +wlInAgXsOSOsz3M9/7yRSEjokmZF6r/qDZMG9pT8SWZuCL0mneYfeBx7oCH4HWYcR7Qgm7rxHbg5 +9Gd6QdEugRtTda1oW4owqRy8YeoLrIvQaVakvq1ewAn/q2vak7UGGeJDUx4FsZb9deTNGgf8hNBd +Iy31l5HUPmvC/ZVgCG6qvMV83lCznQsDu5YCT4tnRkRKrIgVWGl5umlzGHxXJrXZymIJpw5il/vk +33obo1KdGUI/DSMFxfs7Th2Vd5qB5Np5ZwUD4ng11irdGYGIG9bK3R2jokQEvf7qKO/7TbSOY/3t +m16KK5RwG8h0DC3tlubAoTlNbhCZtGHuRacnF2Xmfc5z8oAI2/YX+kBvPFpqCAhIGI1EfCoBp8gU +xD8k5aIvXSlsRBj61KMyLhd6kYcrGa9z4REbLHNdIZRZ5yOIL0WXffaIAM3JuWAiy3wp1zfhvmhE +xNy3z4z+PaS+h68DZU3eqRw49qhpKKVhJpsntliGGfdADjviVZqD+mHwVue2AHNAhi1OxrtvwwPm +yAhEE/C3cQSL1lbqLOXOApCBtnyIRVF7Dy8F/MwcXwfk1ItLXdmlsOYTZkFSg0UTuJOKXd3VrRoH +T15EQrZkvgv2+S171WuxA/9ObvIMtqGQ1SsNW7nMPcpvYpEu2rKtKiMk+cODkhbZYawqMPxlOJMD +0iPfdHhP4msHsilMteKiWxZSHtXSMCP/MbiHe8gzT+IlrE4yNzYz3/zb7HUS8h8h+wdkeVinKKlQ +zRwSGrxZaQDIoWvR4Nph6lH9U8wQ/3fs9ZK11iZZhImEPwJ405tiXBw9X/VlRRaPshTPWc7jEjil +5+qNV7gM9Ult4+cJcUDQSXeqIdJDjM1opP5pK+Wt/E3xa/SJtgIITmXO+4XXtuoO5eIzgQ6rqvX6 +gahl5ZEmrkW9lUSFjpMvuibq71bf4np5YMYuI0K/P18bdfLxrGDDxQrtHJ/z7Tky0Ik6Ynx6HN3h +ubiN0I7ZhKl7kHvwF+s5pij9TmvAEyN2r0dEJ/rizmOLfPe7C4RTip9i2zkp4YWoUgveedW3/YcT +N3il6shWzCpgP9FzT5XbTFLXEC+STaUI/zmGjyENuM885rZPF9hJ2C4Ydi/cIrweBekcupxELulc +aOUhR1rMCJKRisccPVRnNQCq5EBTzl2WGt5p6+maZnm6qhwrKlF6x9Wa5cZB1IyGX5yeVuS4H4vA +jL7Lougobqxjcb8wtpLFUdhf9uH5Hae3zzV6f1iFPr3NH8+oDspFytymCSZCLaMh6BQ8WzbwhxsG +dUDL1JvgbJaQbT68KcOpiUBapg0Q9UGVVuz5iB7df63EOdSmEyQ4X/Z6V/fBR2axKVZTNBvbCjCx +lM88t1onxcfUw7phS5P4kVG2TlRxGNzShcLfdfAGN9G2aEWkjjvP7ON26wB6hn309iXee52mFtl1 +BUSM5vCSJ5oo3WswNWl2aEWGZrp+YZlQxUaUDezbVdmkWDemhh2Is3j6m6gK6iplmGR4w2vKSCg8 +lnnNK+OgihKqLbiCAFHAjIymdnfD/Atd4WwezhgSZPAy1L40w0FdHbiUIPSG2S6vj7v9oXGWXHUY +Rj1YbCfaojpUmfvPgeImATCI1T4c+3eYjzqzezFE7GRwNwu5a6m65LxygSSt8+YeQYjdcndeCyi4 +3oTbxBkH+GTydPvUDdCV94BMLgcWLFMPON1U3iNAffPLWYEkbeWljFw8VJVQcxo1Uu62pYabJJMZ +fLbuZJNmgEt91t8WTDOcPpNi3fF/v41Bld5ay5+mjA65x+41WzFftpIz/8Gzsb5WHTUqyhIaLhHa +XT65PLfv2qFyHZTv572+POrr1QgOWLUAxR+FX9oh7nMt69i8wslSLk60KXCu/Gc2SN2q6k7Kfgw3 +vEICPpEZQoGfpbrz9D/f5T4nsxPnlzyhNNw8D43p6R+k3xa+HwxFgwkxf8/khjjaQLN8B4JqwtdB +Cl4XRQ848J3sBVopEq1E4eSXU2nGtLP4+AmoyKcknEEMnpglMRck3gyVmvszW1spfWGiv/XKTWLF +rKAVpy4rY0hSOqV6mvmbTKSI/T3uauVH9vSuyxzScNjSRPzywOkRcKBTHIhrbl3F5nkwWQNw9cKj +MqMFQvV4AbHrQLHonxFVux7ST1LPeFHgbhtFl4nrxCDhn6t8rSnxE0uc7uj6i+CQXoRiO7demfIP +pddIr9xWle7A6/Tj5aPatr7afeA2FNV/NncqimXGXikPGa/FE9gL90gf4lJC2OWUWUp6xgxECaAi +t//PO/WGXX2gCDLwiiqG+O1Q5+gWPr/51y1+21IyCtgASROB0mM6MBX34/OFsrTn8PNS9oHuzzrp +4EPfmvYe/yvgY+6Zl/nADalCTNKw8xAR35LmWPKT4hT4J0aWnak6L14rKJ0J24UrWeRMmkkkpeZ5 +7Q6ACuit5i+7naCPHTotF9Dr2LnfLp4nin8qFcsR3HkK7QR23qND1vyNpZtDjf5psxsfg5p1q1RU +waoDgzBoDgVlQov5nC7qkStGJvWQGba5wq0dsHLV2x+GhmbNk+RiPGgqNQ3snoi8XNQe8o0rIFg3 +Py7MVi342r06/3x25mM0MxyDU0AchUY+3eiPDG57Y9+W6feHyQvDwg7P7Saozoiu94PlxE71APQN +GvmfbbkxR3+IBKx/Sf1LcFK1lmmM10g43h8SGbo7tivATYyYJatgVIGDxqa83FYeKxs5qsmNnaPT +STRQWSIvAUHb89G1PzdCgDX7o7pTsMJpta7AewOtr9tr0Zw5hZm+Ap0fjZx+nbiUGiwecI1kTMLE +iTXQ30/X/GljS25kZT2HjLD2sGXiAo941dn3Nicl4klBaMYiCJgEBv6C5GQnubXNQjZ8wgzfAe/u +ifWJH8SSkAtBYhYkUc3XlNv5MsPHy+QQRJAL3CSFhtv0fH5XCC17ypC5qLorKrRDtIpf0LohSVA2 +B1iJxRLePiZXQqFigHINVYM2ELiz19ch1xOQUsN/oM5DIsSoq+yEmyaIjcp7VB71YnKhA8NsgQpx +0wHdqlOYGcrVkdMmYwtX4NyTb9iFzVtJKAA1pjyFaKPXtzGvSmmUTCMvfBsHKE3f39TRpFJlWFUA +Vm+F3bZ44urmq0PymLpu/vpHqUw+RHlFoQBwBl3w1OjQeOGd5bXz4X7LsqKdfEydcMzbIvEEaZ0D +YKqzCPInOz7z39kz3qVo0ttlyWav38dRmLqG8FCrSpVUPZN7uWX6baAU3+gpE+ZOxI7DiDZzsU+h +UqHkBEvKr8g+jBYtzF3yWuEeVj59bhCOz28MjT8rrawgBSGH9qFC7dsvINQMH4mupLeuSedF3gUH +PgzkCWrpx7kPaGKtUrc6C1VbeZhGzDGYELS74bL03hPcwUo5APdyfJEtYfYtljpU51ufvyetk1/R +JmPgB+o+GbLongW6c+csBtlFFICAD6GjAse+GDk5Ir99BPDZEEdjw83YZmLOZQ9t/8lF0FylKPxR +6QuDbd2fvFojFBZacYqqdmO1ndh3RG+CyMoj2Niqp+hLhlh1QgeMgUESvCqz9gLjmmOjSTJJojNX +6Y0IEvIGun5D5YQsYhyCCFxFr/z3F3QG9KSimeWqjSxawSyKyIccd0Fgx4amEDXmi8Qc6FIIDUI5 +CNQRa4vzgNPEP2/aC8vN4nz07rhVWU0pveMqyPIbuyuvPlIgs2QXuDknzhb0uguVZZyLCzd7EHqS +3d8x6V+SGSsp0TpuHD/kCR0feRJ28AQaYM1Xlrm+8phW0LZIf6oP5cN+QW97sYHQ14ISN+gbgTKE +BFSl8SJhbKM17tNYhihwvGmEuf0AJGwHQToNx7p8/pBSGmNFrU9dMUzdwlDVrgPdgTE39l8ug6pt +QIThmSIKqaJTdHhdjk35Onq/KJJoc9vTC5LHusMwJXuG/uKE58tGZ4Q/Uz1rYF8898xpe1xUzhAm +E0k+2etpxmTijLMU8K41QmUIAefI5WfAdNgcaToXdRgfSJ00wKKxyfIPSRIbnBYxjI11UjwCW/ZH +CrP/akTc8i+cp1oXK5TwT+AL95y6mSkA92ujqc/REAIVCoJ1K8rHGCFyoZvJXiT45fe1pN+rWQSt +cwz9csn+CetDLrRee0FJfNaM7sl+BtVMy52Q88XCMk4cIsviwMVqMe2KNzPDS8XM1JqzdGiFdFtQ +NJiVlTkSGuz1C4uJlJYi7UiZbbtwwMGQPfv8l12X0zl3A2PMApUKoXbyOLABx3+GzDQzgJmywjs9 +bGeO+5ZYuZoQSax/Yl0BEwNGt5IEwFq6fcsi/s1KPx2aTdZrXsNsbb/fZJEUScTSsX/JP07I3Pbd +jiuKAPpzbUWtljfEu4FlJ+hIJmkA9yObEgGRP7pUCgLwNc18pelujgR9swsQgmxYrlihXIJh/16a +4rb2I6050Lo41TlI5qBOgkxhYH6QHdfNbMZ1ZKI9FSOYNOqD5EB6xOr9uImRDCofdW7ToO6Pkhmd +/aUI2UUlDmDvMRKdOGoDjDoyohNaenSYjGvh2NzbRauVjW9Rz+Ade4eKG/WJUYwFP4sMWOrA/Uua +ThYN1vPahFq/+e1I60P0yjSMSXaJOHsd8qkv08vlIkeY8K0COizOeyVmic0QVm5qgzq1jLryEENx +PP2M2ru9uq5di0OPriO/+kGvbPgz8XqueKCChXNBucVdV5kjU9oKE1uncpjYBfrdD3MV0SHB/U/H +yQxFdv/hdHBXFVreBA+fP3AIusAiWv1QUyFmx2iI0bs0zWQ1Vy9BYnE8FhWJ8JbK74ygD0J8T8fE +P0LDThf4qm3vsKFFKbOKgPxQah22lLAzjpDAy08l5VSGko83Ri16zkviaHMu0bpboHro6kcmRQ68 +13cVV5IVOya93/lkG+PtTcDzMExlym2/CB3LKU3R027+JxHqYIY4J7DBIxZm2Sh5WbKgbBYll7v7 +Hm8haZEhWj9GV8uyWRTX9jvfQFOLWP1wAiIUkXCg7NI1BF3WVF7/yKVy1awC3uvAvMuLPM8tAYD8 +RhAQUZVLwCvbcOKQAK/v6WbQqmZ26hWzxdG6GxeCZU5jjuDGsL3gOtCLP5T/BX6/0KYalHQ6argV +XZvuArDtDXJehQ/fIhIjaKQHwkJaEgSiNTb521EU1O/QLHWuLXrlvSOoWHnbEMjC39/tt2DT2iXC +l4rRKb2lCEhM2Fn0Pq9rdtQQitnrD40m06Jf4h+CwYslj9T8LLAtfd8N5+qjB7NZkBN+IWnnxRnS +UrIfyBquZ2MBVvUDIIDBQlYm8YjU3+3x48/YGLd92ycf42/M0ARSwHO7AdrHQWSzsns1/jpfc8Xt +F/QCxr+V50YH65WtLukmZ7DvBxccYG2LKC53m6nkvsHWMjLCngfQhUjw8HETJacddLWzE1V19MUH +A21MhUUBUk8N8xLVKOhHt7oG4SLNxo2J52VcAcDYGIYnkmjpyZZ/AHgLOC7qcHFcAWliksXvhLTb +C6xLxxJYDYklZTLIP2w8V5HRc9vzUZ/ESDYRh18DyDsziSAWgSN97Xoq7Ru2tFOmMNkd4VXMYYxY +83lo3Dg6PfZGbmFLPzMf8/BW3I+S6hRzZKU4s0us8GUm2fhfFpXaSmhtr5H5+IPh1WLlTTZUpMBB +yFrIqdv0+CZY1xlNnqdDsEOG5TB52/BOzgCSgf2FKdBFSszMY3i9QcMei3zsnwC5ATS62DlkTyQD +dh5ugr6qeZvJBBXignKIHxX1D/Nv/hJYMi0DaDcFayDDlT0tFy4bVFLcpyWtfxBhRbjI18v1LtdE +coshjALf0qxr5OcKCIx48AWJTpwRKzhtERVSkESS7iJkQfYxq3MT6RMylqWg5L7jdIaZNh6aohmf +E+8BenvZjZfatdv+fpmWGwZ9bhx6uLUX/7Kf8YlqknbTyR20RxceAEpx0jfnLWsvDqpAtsycV1U+ +fQJcHiblu1r5TudxjXntcIPnGnDqv4w1Y10og92Wz6pAz9zisS5eX3SkRf8M/8ejkDtob3bil/Us +cYp0HCQejUKSCpoavLubEGFn0MFzaG6OWppG22D4SEVmliDfnvz55Otv4534HQ6PDnGo3u4tnPDO +6Oe7fodvqV+H+JZR1TPWCIkptDhYhy8+thZv58jvg8MHST6almBdND7/BsUorevZltIzrMIhBD53 +ylKxudYxUQwz8dQhzSCrRzCQJt2sH+ZfnQqLNZ1YbMDc9Y87MW5874S66eKJFm1KzrtFCvN4HDL4 +ddGl9FICdUlNiJYrHZL2jNzZvglpeOcItnluOut4rx/cDWiICZzeLHZPGn8M/wQ71flG13qFt4zf +ADTNKdQmBORXavLShR2WyB0XAzAhrVzBhZumvlgkudyBR5Snu8B+70qbvOhLs+jJS3GZvtGBc4UI +jt06tEXNCQ0XZcU/M9wW9u65wi9VwxFeuhGyInIpnY2VoNc0Cmho1Br9T0m5QFj/j4PRX2FdVbqs +9u2YddSMoDCHrVHK/sS9Pj93QZzl2CJglJBxT9BZ5dAxBQ7JtTnEtsTmKgQH56F8W/kzxmn/xR7j +uNKKch9h/Vr4JNThMYeS7rzFzKQmMyVwWGE+f62Vr28HE57TtbTMAET4cLk26gwdH9gvWi6FCmWe +gc5QpsFBMOAocFpxZrtzWM3Qe0wKG4lys73yAztd72tU8tZctSi5jrzetEyGpddMljrcmHK6EaQD +z+Fi3TPRFhAU8jhOrLHGj2dSA0OVGnOS+X58AZWIjC5gySJmkA2JgdGAx3OWtuUxh86wnuhQXMA8 +E78lOFjyjaLbwQn1L5PV4DaKuxTIPwXc7SJblT5WRCFW2XMkIuvoG0IK0qYR/oWHDNQGgL+U3I9M +Ig6sD7sXG1/dMWOCF95IsNanl0zK5JGptprr8V9stZPfuGh/YehzAV1cRbt+e+lXQBVTZ5dl1EeB +WLmXPu93ObktU9tpM8OOoWqWs8ItdWLplA6/p07AxPh1iEj9EA5dnQKb3vUPmoAWjYBBKHzIpB5o +eCVYzOIohLoMOj6VpmYVy4kFpBxE6OuDnWyq8blgdyCiiAdeHNSnY6ChfRG/A5++2eXmkcFdPs9c +p0T7xZeSkC+S6mMFV8U/u/3WdrQezDzppYrwYn06mN7W1GPU78aTbsUSQKFYFMLpFfi5GllXbY9X +9vvNarU5r0rmfnbVX4oazlBqgqiTlSYS/SbjekV2BKOPeZ28i3KxyX/B3hpLvpCYKzyHbEZgGzMk +tsjtnnNXo9D7jwQ9mTrooiDZVtQyjsCWIF0gGJtlh5YkouB75RDBUL2FNd+EzDvd1H7j7cAh7Eko +P7HNJDViukgLLKoNqtaKkqH70iHtIgrlPoFU6qlWYevricDLpgJBponlxsf+PxB6BxzYmrjpCRm2 +vgFmRjvwnAJOrVHENFOOEzVBCEOqulUTUMuYQv5vUhdxoIuZ1Nc6DWrxJGhrkdjBaIfKITNV+vKq +aUYLWOZ2Z+UNKVebBIoF77oSHurqc8TLfsqcDbDLxpUoaWngChM4+9yAwol2R37D9vdw+bUFXMrX +o0r7a1BtJ/YqXqv/sKCTxG9NWRfgW5yhea2Wz4yhX3Ja1Oex6GWpEV30cm3FHNAKZJffRFx4cwpq +3KMHx+YuyAdLhubESA2zvmiqLQUeFMU5mO2cPgKB5OEr9+HqQOWuVyzawkV3TrTUC4P8qs7A884G +FNTe1K8b3e4I5EI5P7G3zr/RhuEqaRAwiyLJJGZLco344I64h0yuYT1uz2DFMYgAR2PmhgfjiwFo +1uL2SPZBYIuv/+E9d5UQCjTTPBWS1NrKzVyjx2BcGv7PGgQbqIGWDk7mM1gcn9fynUFujup4BB5F +2uU77WA0c4usnQULBfXdsXJfXzDp+67v4p/dkEr87lPCGCYhO5SfjRkUMI23nnfMcqVFB/9g/tqz +v2h9et2k160k022nLwysvrY1Kn57rB3OVQeBcielsdQ9DWybnmIRn/IU787n7CSfAu1rSeP6MtDh +b4bBegbfaCxaK+3jxVQ5kDdCZwz2CyTSMLaoMpLDHqHojjtIHK5olyzOxQqdTndbVZPU5Fw4Z+K7 +ZK7XGIRSyL/uq7bqcq89iLdgL61fozLif8MH6fW2V05qxwxI2mCPLqDb0iKPmDIruJQBTCLhK0tw +w4KjQ0YmZ91pof0DPQjXS2ND7/+lYOi2ff/WUkAmJxhLyoKrm860Se/J0IfeQFr8Gq2xdiRJjyc1 +rKs6em7pSonvdS+8YrbkNjH4LK6QRvaupN905d3AzIvyhA5+Xq6uIdcx0mUlmjO1NFU73hpaKi9w +pCW6R/ZJNsaodI8HlbYutssYWygutIF2M7ddL31yntweKglpQhMxM96s9zEqJuk0kctgAwToRVj4 +/+uOp+1N2mpuYLZNbYBX3rsON4iKB0MJKYjTyet52Tdnt1fB20v+uv6rR6uW0mbiNwuL/Vc/FRPp +2wvFkvRCpe4lSa/WpqBG86Ffob+lO0tcgF7DmJiVUOQ1IYkfO8nZmECnlWuHK6inP4768Z1qd3Sl +N7w0KimcIRu8HpVDx7Gh2/ZdZoav6WKa74n8Z1XeBOc7MEr5Pa1MAD2Tg2Gztj2yuoYFwzZTjFN6 +ENyqoJVk4RWppReyE8QjLbsdp44MiqtQpEgzuTla/gQvns0Lp/HDaHeliONLsAQuO8dKrJsO7keM +ULiPTKxuq7XVwbIXL/sijy4cTOQl439rVzlLC2XtUfSIPiJ5nM6RLDHGDwWeStf/9+slyWvwSykn +qKT5ucuj9wB/wrM8sN75ex8HQu2uDeuTyjKA3m2bxHp/+f9bA9L2KqLhdMq0WPXQbvvK24kqApuI +E8AvVQHKHDh/SQW1/MYlgqhEwdxZ0K7TEo6Sd/YyDD8qw0O2w403Wf+I7U3SmALQXnoJx7h17O5i +5WRfo/FHEOL4b730Cd8nd6f/Z6+ILP9lLn/vSAKM9a1EcCLb2XcOoHjpg63GNCutNJ7kvuJ4QmKU +dPU/BHBkFeIemoa78DJ9YenFBxostsLSuECGGeKK5TMUfvn6qqy+v3hWHYjmgafYwVYFRg3W7DOJ +QfuHkoBbn4KDA4bx5A1VV1IEwix3Mu+G2y8lTmf+iz0w1sWt4LK5PLDZVK2FqHTeWlzYAGErvfM9 +Jr8OI8wnrlIzH2Zh0Mk6WuOQx1TTGSm/vTf7GGdBqddYbEFuOn5lAa7C1teCcv5L3/AYDfNmTzyj +F/kUigDemX+mn0CUbt8xcPh5n7LsHtNSuSQoDDOm9FylhdtVF+Ee4SaQkGEglcUE+Rpp3bHPnTer +l89XL+jfqSMxYd7HKJWeVJQpkfQUXNRbhiaM4LoyJJorrt3Yvc7495m2NgtN74RjMWY5i+fnYOnV +iGmPehltA32UzWZsjyoNq6KAEN6vEgo8sssekPezm/ROEfq9zCGQ8fH5BJFym8AlsxxjFoCbka5M +fTvoEo9XcyLO9hNofxsvwrRKVpDEQ0Lq/z97wJTjh45k4uWPn7mczJZKJFCbpGnUea80LFczjNXz +g27i8BuBxFGGVnt4lJ/dwzM+pvdWrMyRKFWRSX2sFbYpYCf47uL0kCqC0gotc7C/BsZlpxsj4cv1 +dHsTT7TsmPzEuyNB6aqmu/xhRZas/I6+TdBc0H1JhiyqzrW/NEncEcym/JzlZl21Ich3Mu6UnZ5V +vtTFOqldbmrT0cx4doJ+9u5GcqNCwW0+k6Nt/daF6C6jZuV0anSGukGWWOXy6XCnP9oae24fyjHB +pF9FeGgg2eqeLGRHmdvJQCvxgKFe06W9wqpG002g6X9nc34vtre5Sy6AEFlyiS1QGK3EKcgD2HuY +oJxyfuSkJeKrH4IBGxqGdfYyvSBspqdEOIGMK1sLHIy53mZm0jOy6V/TviSObA994/MTf8sUMP3E ++C9YhmyZAhK3FVphbm8BsaEoMxQK6JfJ2Bh8glKpmcjFMqqQFVLyOE/I3egARqJWLCYJCOn8PGEb +hBnep9hOPnoMSxvurw56MZ3QbtY8aGUWDXoNoKiEQT1jI2wF0w01wj+u8EynhuGFREAxfpr1QnmG +SQwWzKkp3EzsirIfyqNUpW/tgkQOj9OnYnpKud/oPSxqJPosm/05JSBqOpjd/F5t/yZDa2D/pGPR +X+SY9CzfEMYcb/HKXnFJpy3sPbcU09AbWiK5bUbP1ZUm6jL4hTaRHpb83C5dzFDytwlTlt8U2qSb +jI6DylHWyw/KhsCqdHu26Vr13aCwEvkxAGv4zKrcqUKQXiwQSVpBTA/6mkDBegnwm7cZ8J9OGR3R +nKG4lFz4QKCBIu9Csbj7txSyF14GqZiyJbiXZU8tkvZvr5A3SEj4qg1ZOMpxY5Cb+w1r2XgAVSnL +eZhTRUCWbdkSdIS/nE94UdGHUh84ZK337b++Y0CoF4J+WGHi1X1FOhj+MxAVHjO45hFo4k6lTGNk +DVJ9AW4ezViW7/O7z84Gdb8gaeemmY78LGQPuiS6B2NSyoDKHVUuIn/B0Q2NLQ9PWtBtR4sRSqau +XzXz9xxbdssg74DqZEUU6/1I2gFE9YdhItIxiyTntwUs4g12eyMjmtsp10voTzu6a56LXI4Zgok3 +oEgQEk1LZGD87JKl3UJT5JuRMdiv8Vs3zl0HkjeF+X5F0wlKgpniVOA3CfQMAqc+ouuRZR6347n0 +QP3gDZFCD8AWC3H8r/yD+YUMp20A85FztnMgVEGtM9bnBVZ+STUQPiRqjgfvlxR76yOkivIVUbF5 +tB6mDMW2/ErWruouCT0IUnLhe+9+AFFUpt4n6j43mii0dj8ogRY7dPbRiTQSpBk5INoLpnVGHdvN +HN/PXGwQ5LdDEw2cYTQEtXIS2s8N78aPvHh/WPzniDDHgxVcBFlIgwn/zWaI66w4wQ+/DrDUX5/t +e/P2NK+qirvpFxruCHamF2klppLZK9T1Hx7MIc8v/JcyE5zZhynKlU9xau8ZDdyNgfVRRxVUjRGX +1glXX8NPzEZSsBta4EUekUE/+TlxtIMv4AvQfVWQNHrw2GgB65EZyBXk4m/A4dgB9q7gdUZ84644 +IKHME0sg6l36IoQEjChZZWmZ3CoB1sXaxe9L7ROlK+H/eACuSkSLDrVMls3dGRDXHNykDvff+OC7 +mjOUyDollaOwN2CX1Gm6ulWrB2D/bzhfAEPVpwcjYzZMdfemb/ZxCS2K7QFlZP829FvA0/jxk2S2 +Zx5ECUywg9BHRSLZXACFACOmrveMRlYEdJS0uHBKhhTqbyNJjIsByF7NF0DCWdwR9PNyxRy4JwRJ +xUHDG8RibofGvLBONmpVm2gnOMGm04jYoFfFYA9p/H5jO412AM5EqpwWE84JzHG8H7q2JnKTpX2S +htCvjEY07yIJEXitMb/O8EnsZEKGyywKtC0JgLO86firj3rxnDHXgHRx3PkWQj4zGrGHI3Xu2M5O +XJR5BeN/dS+7QKDXEGXov1PQo3iSPWRXaX8vHH9/ytsfRVmR2rQyoxS/NO5uKit2J3FZxo6j+uz+ +hsswb+hW2+kXz7r380bAfa6rdj9CmVFzuk0ZGkI+ez9F0bnUTIC7oA7ErFMdEEnWZVc5m/UynHuW +CQc/7O4DIry48w60zNULcnAfxTvkIvIcwjSsBTGVDrKOqOLFBvG4mK7J4/PB7N+1sDNP4Eq4kq+l +YTgZnuAabq4LBdi+DnlyaYEgEad7UZuCrXIH8Hf44B4T98Z5xjKMkEqlOkywWrjLyZQmpGqTQbTu +zsTQYOYxgRFwbH04aqWqZaXW5WOAZNZCb1FbUvuchAfk8sK+2yeNRPVz8FG/x7BBTYt4NjgUklTX +5Mv4E2kfrKl9ay3iXzIVzkjSGScShEffuCim5dLyaoSG6tLg8XMyvsRTk6aydESKj4G15OjSVkZY +54YDgLqGQlAXBBLmK4Y2tebkWVi3OUluWFYE6jMfCFYtXwdO63Do9oKPUMzf7nG+VfejCEzimLCx +qLKBfV0HISIJLOLkmsOOWxeAGwO8ZkpI4CVEIc6lVD/w+rZe25OYqNoIXYsupPF/1W4x1ZWKcFtW ++YyoQLxwVYnvq0M4GJ2Ll4NCP2zqUX27Pb1sQ8cLEQq2dqp3EnVJpYpR5+aYAe2kwzkAio1ZTZlj +dm1c2R/AZweOjh0VmGp8/IlCAwLnsVQ5SBodQZfEhIlEzCrHR2JN1XBY0tKUyGhw/bm13nK+gMQu +1sXc2cvqFmhMGgFDurVAzqKOeD2wbtcXakoERc1AqB/yZ7yAfIG0ZpUrCnjggf/Va05x8Hmg+ykI +LC4LBARwrAhDvxwNbW9EYe60VO9LPUCiitJsF0FryJ5UQsCfGYLp02Co1T7fk5ncRcfpFLH7qwyz +p+VffGiD3ikQqxQrJ87tMLpTmzk6WpVZFE3TDRXSansSk1YjKMEguaE3eMcZ1cKqldMSd3VmM/Kb +XGMpmRonldScwRN1pKGN2DPJxmtu9KRRXb2zxbe3qKAUPZvMzXBKfF4LpZlQlCcEAA7NZDJwlu4E +LFFJqV6vjn7e4CY1hN4iosiyqjaT2fFWdrMx9GWAVAAqRay+bHfqhgSifRraQrrBbk5aIXbGCjZF +LQ3pOfQ3UYgKQnIQRiXpaoLGfj6Q3l78NV9zt8kX+wccGtmccRli6ywjkaxgRls0qII8SWoIKTOh +sw/X641Y2iyCg3BZ/CWLt3qWlTcsA1h0Yb5b5VtWIrskYw4x7tuKxZj/Oc+pDNbknZ7t+nSG6KFM +eWngjRLG9QMXIjkPOkji3gTmKivYYXn31w0xXBkCn7tWLndmxexW+HpDzHu9F5N0QOE6TXNGPpVj +jnSjG7UQjPZPJrBjVOeuK+4XqsGGUajN+4AcMXbwenSCtHh+pzaU7fnRZB3WIqg0a9MDq3JLqez7 +TlmFBLvA2GtkJqdtGQn4gpxg6yduL3X6nIQzclmAl6YMCUm8Zpv167dell7upCcWQX91flsCxOvo +MbOjeEB+g4RgPMy+YW7/201BjKU0hbOWDbFxVnjrlB2z4SMFv88UBg/LIZk5i9nb8f1V3JQyVsrM +lJh1YsAahXWRmgQ9J1ckrY9iB4wh5WbsKgsGFfHgRkBkK8EfX+crh5mOhJN7BG/SmNV5GvdwFdYX +tqzA6T52EBR4OvfNAODBrcVyRPElvyYg8VnX10pX9toSh9RvIU7rZZ2zh0qxO0uwJXnZD80fM9ed +GEOX9OLlu7eg+s7HWCWVj4hUqPvAkrIR3Mff3ifmRvZoDL3ve8E571b7tcdm/xbkrKzffbM4aYrD +qqouECgghtwgQxgZkQXtALIgVYLRZax+kp5sjFJ9rQrFol/bkZEo/bh+mYimyjfmfv4KC+Nl/Rx4 +hqHdno93fj26sElQJQaMbxAooQuHYmmTYWrLO8riZ+Uhrlr/Ze0IaMWnghDn/1nlYUs/MlVf6yGc +o7FX9YIIm3r+M4vl3/C+3HTvhg6bs+wMAd+R1kuPCvfqPWmVYtMn+feT+GKiAmxtGVKa03QUgHZQ +5uxSSUqpAsnBDKI6amVIu2cd+lj+fKWfe9vJmjw4aWjjOtviZAwU+KVmf1osF6Z8q3U32DRzDUxp +MlHMy8Bp51CbofQr30svQWiK/5qdKdZT7/Mgmc4T6bGhYx5Yr3o7msuZCoIqSLLygf6oNY6WS5hX +HTqwsWZ+rcQUDUg3ZSKXSQjj7ErRj7WfHKyl9xCoVOJurxedXllR2yPQV93DQlgJ3r8fKzg84a1I +u6TtT8kqv9QGYekS6sp2617Dr+cTIPSlUw1wtDP6hmPnPT/D3WmZMApaVnOdfOPtLPmtbyZYas7S +hYanAdGM439oW4qT86W4+yzmRhApaTgRfjiXH48YsiAcClkyQcG48jNdFR+SKD7iMffK8Hpymhgj +prtxb6cHzymTgqCo0gpAraXkf/NPHPa+aeHQVpxt8IIwTxt1LhimvVdcEaQvvYwzzaq/fF25Gvic +eoOehiWkKVQa+xDAn4aXmref6U8mLow1A4AGIXpEsjJtQLpXT21mO7O/oqTa17t8x6Wl1G1w8nxo +GyRMyU7xqTMokRa/bdYznoKhNqmllcvKldt/gn/+lK9Cb8W+PrsTEkbJ+qwCsCx0Fr3zJv9oARVR +QH7xTdwAuG7AoZtFbW6ZfXIBlmTUnbduLb6I8SjZ4BccjJwHTE5l6wkbChBQnxIBgxl66dXxgapn ++OGuEBbEvAbJX0zPLdfneLAi88OFQoYKM1wGCCANn0tNnBpRsFfui3duNZlzJXBGEfkF66breclV +7lPuKWKOFDwPepp7YHyvT3cmph+gf4dZOk8TAMdASY+MrpzXqndOiSBlfTF+118y2Raxu9AQP6xm +/NsxzqO9hA7uBDY1Ct0Y1in9f47TaiQthfaxR9VxE7INih/TNTcsdnXmhpf6Wg8QQALpvz1hsQAl +f4IwbuEZSx9qlWybFtqNKx6J2QuATL4Q+v9n863mQQJZNE7L4NAO+fe1Dm5jvieKWIzzXcr6q6Wc +gxqYRGpLeZlXC6DeRFGhEmtwl0gy8K+uANJ8kZ37HOP3b4NdcLQRwISAr/17vhBUGKn1ws14jweB +JL5TE7wYcquO6YRDFMLgc4NenGigfPdfm8iWVqeZp24AbzdlShhpFyjFY4V9HLnCrEyBPsr22RoK +ibIDvMnmUiawJINsnq5f3S6D2P0TVmQ6emFcUzkNtpNsrqUoImMshjkiK3i7eGgzEW5dlMWJyViG +MQ8Ng0ZmgzD6WUygjxWSicq79FRrXCum7qxdH2g1Lb6bf4ll50BrPCp/5iAEj/bKgtP7+Eb+7W2s +otqVBIZVuxTk/siz/Gn7BFcOLkQX3lFji+8CoJV/6tsECmNwfA/cjA29fNY3nbKj2oGfxLR4f06S +AmLkvt8UT37SRFVb0afidKIl3JoiSIwV2m6/1PPDXkEvXdZI42OXMgYQAisoaG2jXLTwcsS3KU8k +t0P16v+hVGn740FMcXvH4YbonvRzcebMNNAfAWKbf3tNZ2ia3+qRHO0F/koNVTI20nKUQ/NDa0FY +76IdkJ1FWdxlvM0cuvqozSY3jBvv+3XwpX6QIL32TRTJj+gFxt7Iq/ANlyjX5PVR1uInblvP+TX6 +vx+MaZojr5EZ4cKMApaxdVkfaUkzECyURR8ctAh7zcGMBJhFATpHKEII9Aw+w7RXSPd5vlLfsNbZ +erHaftSz1TLFABUXYBJSi5jyr9OYjL+JiwK3GEZ6p9ohu6Xu4C0QjM6gc0jTwn6wdN2LOJ9Ksw6k +skpBVrdrg+09e+hqK6S6pTyiBWmHG/tIxqsSMfoxn3gt9yc9I9oFnQGGYx3U2c3oJz6wyTQomCJO +jOUCIV2mGBcT+bC8jjvakGmvi/96lf7lCKHwRVgnLRA95gpTZM82YIGx4l1X0rjpt26EscGsfHfY +COrdlbXAHPYSJwPWjN4osJzOtCA2eayApV9ojVlkgr6I7Hba2puxOLRP0uEp415aaEbsLfZf0501 +INuuUVXydggjlUzwgiNSfvrJ7TJ1Vik/gTsSo/M8C76/5Kmp5g7e4zhRBukEulHlkbGLRcwTo7P8 +2Xph0JzosHYqmmJeUx25dPAJInnRoTFTxTaKuEn3+g4G3qJvHqIdc72hi1XtURIZkXzx6MyFK7l4 +RrZTpvsJb3wsCxUqusdPyO28/X8FQZUfKotVnw0YDPSgSeeLm1c/jUutuMeUN/8RNGHSzXjBMfRs +XkyJGmT8FfnkIg10bA/h20hulsVImAKlmTzBevRG4GbkKJfWGJYaQbgUTOytfP+Co5tCRuvsauXb +6h/KQU7EsXZ6UTxuLdqVSJ52DeWim/eXhWSH1qJSDBSWYLMYAAEQmTP1p6fHRx+sDhgDrwOnQ14O +oft95CTAa/WqdKViZcXL2N+VcNjX9wfgfkWb+t6BhoCPv1PFqzm/FeQP9EkJK9DK5td5aIRTpanb +RKxrIlD0TjgrOH7Xu5oXQMZQmfmp4tt7LEKX/ZMoN8m/1zrOkrexyL5gIBhWByLIXPc9Lx9wnsMG +TTGf5Wlu2X2ecBTd5BkbdskNvn3VxM/NkMZ9y0WTjq4sJ30b0YIbbolGX0zxWPqTHE097aiBkJa5 +RevXM7vDdFUL0yomAUWFKSdhNxPChvqWPTX/9unXgoc7uNNa7BzK5sqk0MK1F7Var/fsgCVg4kP5 +tPtKf7Gc7pxoWOfVwkoYl+HMyVPaEbZ0ju15WCIo67vS/h/aZ3wD0++5s2HbohWkuH1NqtWqJ6u5 +QKiumdbk/PtuU1KpIro4o9QLKqvO/Vr8KfrEOndovoOmes3v/ChjJ20W5NdUrmLD67a3fkT/UqUD +wEZBMXVct6Kout8yDwa7d8bQgYhBZOhrNUNvNOihvCQWUKaMeEomgsjZgk6djG9avAx+KwV/ubW2 +oCnzj4RtTpK3yeqAsEzvh/jZn9bEo90yOG0N+3jasRmjAbxWgwpQnHez9sNh55RBnUsPOu/Ee4uZ +qznQTDfji38qwgshf6OAhg6pnauHYJrFUhjA6hMJFmMAmIKdXNHNaE6Ybvrtc7CywTocIiJojdV3 +KXM8m/o4o9fF/CcxDoQpy0JodKFZ0+ppyiqpLJEQTeAV3Lg4v9C7j04621kW/QYAnWunQnvsjCgY +euo8PIh684ffFzYMFPOJwF6mv2k8KyxMhGDWHNn+LazwS+Ktdx0Lkw8qTvVSrRWHJnfunS6NY2/S +Jy7F77zsEXzruLiLnVFBsvBfXNRFBx2mLKRIVWx0tJVKo+O/tEx5GYK1p/cU6w7SDnz4nhj/KPXU +95WbrJqorEgZe+6J2jminbdcCrr0tU8YbpvBKTzJF3s5pPU0dzUwLPqEFVKO9vFUl2OD4sDx9gUS +9wx/ZOBWIk8NGPuM80GYFrFArX+lBgtKxXPkchRmWq0PJqs8oQQk9fW3pkEMii6zFa/eVUa0MnHz +Iqt9aZxY7P1VyZYxBYHQKGxQb5+L4KEqHke+J6rbeRe63oq3uLBezoH5+ehhWq2knQGbeo3J+xlN +B2X4NVenLmCR5X9KaddSZQTKd8F7mvMFwanz3q14vJuTSLjevArB6nwZG+ARs0EhQEWsdjGwOIgA +3MT4szgs5C9VrQl4Ti+/CKNY0J783jrnNC3OE5X2WOzI1NJG2s/Dx0OOA99YfqQJGq3b6MVqKjUC +JEYJFi9WHC7H1pdxgrYJiRAjIlGtoiBfTlofqL8zYfz4B+CHuThYNhty9sqzYEjO8x9UDJzXtyTD +UKR8FsqrD44VV1hfoIcH/6T7AJog1VmM7Ubg89YME1KWG3VV42zrJCwSIaqVBR26INSdyjk5jJ6d +QjETw4yTy/0dSWq9YqIAN7iQS3Ux4sFCDOqqmvBN83cf/b7IhvJTHFyv0Q/zI7zY7QqrwQeF0yXw +3u1PDvwPZyZUtVIAdltv8ViW6d4Vee2OLd3WZXfbWAe60EBMzqT5eB/pQrNITpZgm7/wJ7nR5d/Q +s0rLLPpml9AWYFSYJJgyzQ5uZQ3/krY8jW6axljqW4XFd50zC+DPU7QjKT/FDGDVcLUp4aWYOlwt +HlUJPl2VLFT12SulVC+vY0280qcUMLHrYxvg2pazx5WhvDFu80n86QO2YmQkrhscrpuJRf5bEnQP +j+0HWF39yXkq7eNZEkF7YnXrnSNou7IRouEir6WutoQ2/QVLgyMvrkDMFuEbCN3lfFVK3C64M7LT +XjikkCuroGMIKlTE8uDohR7TZkf5YLlyTULQlszMZ67LPqqQ3qyVmbykT2tD3RttILdV8Jr6jYoP +bhBDjMeXDc5qbN3jxsbJw9L5bh2gpgNPTfySaTiIhJ6DgTKWAKObSYZEPc3JMONzj1mxvmsnW7Gt +FbiNqrv73qJJsTy7nNg4o8CHS+5UV8f0ndYBxJVwnjG5RN7TX1BSHibWyvuMf/WCXer7BiOQhaOf ++VVDbZU10MDI4oeKq+t8wEp1NVA4M6gwXNmy1VUyFWsQdJqwgjynld8w9s15Y5sMSzKa5ZDZrUp1 +jbLvTEKcajT3FT2N0s8me0fLmGQvqRUfYohTXLFDFCysk9+nYMlAaBpolv0Ofk2KzR1lhszh+A4v +gsGKbGLFdezUdKzKwR9GiC5bKaJofgrwmfudhxCkFObnaevJ1ECB/1cYd6YghkLKReYWdvIKNb3y +etTuA5zAd63WYldUeKMVcp0aHeKNhdK2yGotzQkQZQj77+knMQxOr54MFob6pdORDaeCuFN1Piq/ +CWk4u23n0T6s2AKqCph4nyW5uypg+5W1CT2f/tlSgiq3xCJKEiclNrtbpgDvdJ0K2RR5OhWny2++ +qLzP4dXQz7e3PoSGmiH0NU6ebFo8+7edngHqpZ6k5jNAHIDqR0XCfqayvMLxBA9qfmlQRs4V1Vlp +OUT30ZUkJfA8Wi/SfnK3san9gDyuBXnontxBFZnsu1qlKCmSR+H0oV3cLk7A/Xw1+7fLh3cA/2+S +jB+6cREXWlT+qN2jhcxfEcXIlIZ8suCjN/dg2n6ZxLfWooBIUknwhHiKxCEjaEpxa7BXls2YMhzv +e8WwpKQnliNRbYYff28VH17UT0ZzH6QC0fExthEKlFb3d7zkGOCwpIa+V8PEFFGFeptZu8BksG9G +jGn4Twfbdg2cMr5KQwRk9T5WtfsIDeOhaRtesYIh68vQGo7vJ4qpBA91yLHCdG1dt8zWSYBMvinr +KptA+jmwu6cTCiR1EVlrKfoOa4gq/ZMJkQwxyEtcbI/V9QiVmv4R5ZrXTlHyI3iLK1RW9Mvx0Ilk +MzA3mKfA0iLKcgFGwKX9/jo1Z5DM1loPoGLC1VNMqA+hpn/JTzxeZQKHs7wooAubD2znsM0AIPqb +PslP0ymakTNWUv54MioHP7x1JsWV5TFqjTclvSGTRmgTFkIkgzxhSu5PtjnfaopfnMc7Nv9NImbd +r5qU/ANNf0DcvGOr+XLqC9NM223W3wbqH/oieY0fjc8uyItSvuNkgWU5Bz+/BGpaDlTgsfJhb00t +zE06eYykD4wt72fGCkzpJCYgrp3SJ+aWOIGAsQVhB9y3TGOlyZyzcOoAkANUCyCBmeMwlRudkL1c ++OTz8fbaYURfKfAR6AUtSMFMpKxOP+kHRggpvedZ++CO+kU+uAtc1hUgEawnl1RHG+3JnE75AH1v +0G4e0VXpO9FeMAkMdErIGQc6a2E7hRF+Pe7IMK0/zs2iiBVgOTVEtdpcflzGrZde+WCkXADMLl3v +x6zVvb0OBTQ8PaP4Wgywy2fWwtNV297ldWk1JjXnaxTqpgvE+m91899Gw4Z7SnBCXAi5d/i3Rt8k +pIDZLMr9tEkqtMaYG2tx3mWazmuhVm0xl5a91DHFtMB8vFzWWSgFhdMEvodE8v7spJ+tCM6hwNk4 +75IEqMUmTnQ6XA1JImo8xmA1eKZRO7I0ED530o7pnG/eK7JY5sxI/iynRX3VQAsT176wvG8eIamf +Q0C6AnyuZLdEjkcvki7rlxonOKEd2jOfRvkXlkwXPY6ryZ7cWY3+yIVlWi/YdD5YpH7jWuErCpNM +Rf/p77zhTJ8TaiRkk3c1bbG+JJR8jY4rKFY4MMj8VKlP5HKmjn/A1NJaWFwpgpywtk8TsPLs0QTV +kCOfMKl4CIokltGjqy7FZXuRDENOh7LbMVXombKpkxfvXyw5TNw6TaPW8uQ89hep9pjiYbBwYgd6 +Gn35Qq+cdC0hMh+uY2qbJayFGJ9gR3sTeVRT1QJTSc0jqHIva6i8Ofl/VQgRTn8DvyHLatt7y/Le +VMiLNhrUcKQHpihSaas2+R6PN062WP5yIkA4HzoRg/4KRw2mrvB59cPamPMenG/mkJANw9T/py/y +LFQTB5yz3djmomQP4YGZDF1ZHMSnLaOtxF2S2InHskp4P82lzzVfEf7Y86mzpoWbjTyZrRSQoiWk +SYZGBRhrYh9Y8pIIcsojhzMYJkZJrJKORqj6f/fUljF/ae1KG5Fq4GTTS94j6xn2KPInxF8LdcZ5 +rCVmqJALOO0Fgk2quZcCFkecK7GdoM7V6l3fxFAc6t3rG5uWdVTokPxiKGHM5cK0wR5Zk2LVuoRD +zvffKvWWe4uGoPBRkJ5H+6QZ+UjouuN8TA8Tsbnikul3gBvh/wUqJg2TxBBxXBzAQnufYhRT/bPY +06fVhAhpyXZyg8LCMaj/GClxFdy/x4QIAujUcRbAUKmtdqcGbih7LqFWe5vuMQVGxGxkr+1Y+8+h +L6ydEmQynSasRNeUOMiGOvczca7AFqz32Ei/FUnuI8fMIss2OLcy/OoQA2qJsYSp2Vozncln1e5o +3wCz06Om1+kBwNirPOMcQhNYaA0KF5T6av6aj9NImLcs4gNpVOMmUobExoLjw8BOPUXQZlpo3Uf6 +40sMwZfZqc5zHBi6j/o0ZALP440aC8Rz9Uls4lq7a5O0RzMZtr6+sD8HGySAfrVONoCvTAegG5TS +c3c5r9Bh1EbymIXh1ffOTwOAaAdBN+ow3SNhXQmg1MpmPiC3H3otKEqLJLISD1AVfjzTkK5k/u2Y +CQLBpF0Fji6SRlK7nn3uHKb1PUB6Wy2ZFNFjIbOYwqe9XMvav2TIB/Lhqv73Z62ForhNP+OK+pJT +5et3jyWW0BlMVC4B/pwAaPp45kowTQgLZVb5WoLKiuaDBro9qem/9XJrIowGZGCmDmVwW7Z1VDuU +uCILbxuYYQ7cpAKpVoiTpNBBXgf3IpeYmFgNLQsZi+l5vUKnGFCknkeon+LqP5ZvVSAFSP8Hjsnc +98zUye8/JKccLz0IHhxD11VZdZ9PpFyiueMUcsDJjFAgoZLfNlqTroo3cshguS6HcHbC0H4oFkI6 +HTe/+99rQ8Pi3/mrQnNJUDHt0w51a5m6DjpAImdaqMQU/QcPuLeouXCVEUdnv8qiSfh+HqYjUQFJ +yoZzIkH/tmTJaI3lAmjaq5wBTprOoWMkcxYtv7luSPW51/OyzoEZHB0VSFqs4j5YuxX1QCKJpeqf +3AZPSlyVeV4ZqrPJQTdOd9BKWjhKsLN+7s44Xe6SNrOAs8eZKkRgpVmzpBLpafU1w4mW+tg2UowZ +zUaCyTzHdlBp0vI6ixVvdf3LFSbc/GljKuUTlFYrswQIW6rIqyuCwkvo2HBE1tHZhTq1yTtjUAyJ +J5GsQh2URDMtuP0VFk4bzO0l+u8JWo7h2ZDWBUI4Ao2DgRtXz/Qt6reL1IovvHU6KpheYM6Z45x8 +n0Bt8gRRfZTlqJkaDvpqROQd9QRM2KCEPnMncUC37vAF3VojAnwZ+bvOOruxPLTtlrKZs1YyUmLK +f51jQ/klkHlic1bXPE99dgvhjVkgWSZ18DliebOeGWwIP1QqOjiUUkeKfXp9GXZH9gHxXCFjn46B +rghGgTDkJxOn55xV8aJOTbC9N7joseJTwA+F2oH+MqqilCPzyHLHhAPra4z/Xmvo4O2PgnUYLQW9 +f7yIea8gK5pRAOstoGUCcZyf5WCjKqFWojvyq4phX2jkoGto0b45e83+4gHTam+gHetY+kpW6Fc5 +Wcj6SjJVAfFIuTyqUErYif79nbRx0s4AtLetQ4hhhZQi6wXOzzPDAMH48RWizksFJwJQhLNSBTtY +dLzZfMpqxBQl3oOTvy8zZ+NNOfFwz1qnQaCxPQasBfhY+3lcmAN/52B6rNrClPS2EePwD4iI2mC5 +8G+DKrm/EKxj9asFVtGqZAAORHo+2GmYd7bVmzJZfNP9gppcmibwpY9ww/qtGKc2G70Of/nrLwQn +iyn+m+qI8XsT0sOnv62yajbTZ5OzNF+FTlrTDDCdTM69Jy3xZBA0m4Xql63cLkX6sR4EpwoA95DL +8fGbpHBuqoAMduLARh1ZTalmc1woJGTcl/5Ouu9m6GadPAsUeUgqOoSetWFwWKq0bGyXaTBbM1B8 ++vvhnBMQ7aze4DrKKMgVXD0OjwXS7vpeIiUbkmvSLldbcQE2Yy513YU9GFdgy5pzAVEYiZgvZ9pf +KpygsDaaFZX6SgnDDrPtzlMYZYtmzwTrPren9M2nGW+ARlvBM5kW5RZWJjqVh8anutz5fRzRfPsD +fIsTjsbo/8dPz87Vw0vF+XTbUTKuGod2lz2zAvbr63UISEmInXhiqc0IGd+lDjdurf1gPm4heibh +gROGHAW1mMtpXBxwK/BaMYmxVsuQUMcxwPbJFOuAScTgKIRaCggGmfnlo2UEG6ZvECx1tRvjzYy+ +0go/fUWoGI1EVD4HFqgPZwk9Z8gob7T02FeIVDMSCc2tdr6C6a7ZzTr1ld0GGXI8eWsXVuqOgT7P +V4BjH7A0H82d4G344rji9I8jv3y/3Ax3Rr5ABMknlVUKqgu9okVHQ8hrz46YypFjaAldGol9al+U +GvooigFe9j1wQBWxp1kIPAsyS66qOJ6r/wqm7ZeD6utBh7JqvjVHCrTofQ1B4nyqUw+pNwXbmkrX +hsWD9HYHzp6fHJdUUK5ltROC7Mh+/Y//BSW3+/7mj7ioE0zhnOnFL4wnA4K45Ec0/ZBH5eEPEUsj +LW+HABiwmLVbONlEU/JGAx6fxp97bp+USTadacdvClFUi+RJGHwKU3lbNMCJdbadxuCwUYgCSmoJ +rQdQIHX82JwRp/1fk9TQOPlAGZ2PXvnOs2cKI6Ql94dztHzoT7arYS2O8+YkH6y0g9sZ+w28L2j6 +ag3iWyZdcia3HSwPqSHBgFShz3vWQDsjXeBhTAxD1S+Udy2u4vXh7I7LnZPAgD3MZcCqMgu8TnUl +Jyhlz1fOME90fCkVwaPFmDrTICLHC3MFS/F7wCGmn1RMv47bSenIIbAkIYe6MgCkl0SGpenYrqoc +FBCfRU87KABg4TqHjbISrgJGuWwC+DlDXAxUcAmZotb8ZSvCmQ1lQ5xyDJQY7bjbLqAdWpSdLcpV +nv3Oi+NuPxCEXedY4+Jp6yRp6h9MGLEDfXYogDEMf56tR5FfPAoj5aFLn7rFaLtm6GiQwRAB/zfX +dBJArB/IoJ/SBL9QGFZmhWx6sRFHUkL0Q0h+MJd0TugsN7VKTm+6HwiL11X/a1xd0cYdKmccL1zo +lmq2y0GJ+uf34eYIxNqmBKwk2fQlwrA2NXHSJiMUiqzJgHVDm4VGwl4kh9uaHkxU5f8gTthuMyzv +R0tGW51EVSVsQciF8KwJFvGFi7qYtALRBCq7aQD/EzHyTvUcZNGrlHg/8y37DIbIbxcFILTp+IKL +GX3d/4hIb8TYE5cV5jo8g7gFWP2mVQqsXUyoxmhTW923v4kaBOguJrr/AEY8f/9ZaPb6vO3YNdXv +FyrZQuek18lA9r0+QvP6snFe3skzEabIA4cDm6UUnfYZxJjpXFOVxl9ouvGcZe5mz+cEcuDBvHl1 +AXtiqAdZBI6syXITk9soXeW3PBAJZiVk+/Ln/9YQhxZKtfava4OIB9ZwxGHpDfdKfXt7Dtjjdzf1 +7XbMksFWcuRzTyeRDwDa/XBQc0/LnbSLxwZldnzIeeaky4FRw3a6DgNjgkzw1zNuPFPbwPNz6MT+ +ZlI3cRVKzmuPbBKlw4YeBfcgGmDfgeZqBJx2AvanHlH13kiB835XdgfPpBFpL7sEXV0umMmZyQQn +dZUUPCssUUh2y3uxj5ktq9RJjf3jbJXursWTU/1O8AtLFCiVd1rLrvRs8LuXvoZWp4YJETzYE4SK +f09lPHUsHGaMhdr/6puJB+fgvGpIBaDL6dwZOH4cyfHo/f2x4o58IR2udho+X6AN2Mwq6ov7t+K6 +Jabr5UQbDdm8CkjQNhI6HXICeQedyEY1GC5S8OA5Ow9tXzHTnNa5ERE4CKTLHflNKsm7o1kyMt7X +PNY0eGoiOaPg43xa1BfGyJROLoKkX7A/S0vMq/DFL8I8chtE2xc1dj5C8u4zAjH4jTAHwJ+lcZQ6 +A/XMbDUUO3ql5Em3Brbg2iC8ncajSpU+nTQOOttVOcx2U8NvPZtH6u83ZMOBYwo8dyMKFGxXt7mh ++42qfGOuQQabvelNH8Ky/Wz2FR3aMUVq60BRCGeHC4nAru9b1daF9IVpd/wE2/rw0hCZFkcpmjlg +j+aJyxmkxWG0W81hB4pePJluIWuYnKzigWNa3kA6Ptj+Gew+ySaGW314F7RcSdXbap1zG9HXkmDa +DoH9ARbx19C6LW+KS70Qj4rKWTJQxDaN//SZG3G+0Av2svsuBZ+6ufQC+IM7uqcU0s69GT7jXZS0 +zosp/47QuHAnpby3VTurenVElqFOpp3Gw4ZWUd5gbmgxXreyhplG96xTLpIExULYaYo1uaXQNeWJ +4MhkKFlqshrlltgrKnt2MHx9Ir17Ye2PGQLX0lkMNiTq6Y1AANAi0IGmRMR2WfgEa/LAJtyt4GNo +PrKLwuqV9BCo65vtiiGPXjR9ATMTDUGkluNkWEJqfr41eBoy56rChPuL9mbp4ircmWji9Kb8e8c9 +eecmwWrDoPEmDRk7RRB3Ka2fzP4jHXLxKBGvTVVo6Hwz92e7k16NNEDB0pfFLEc85lbsOwENfnTT +DStbVa7iNdLi/iiKqM/P6+g/uVFVE+b/EtkI2o0xAIc16ZqVKWrvUskEQqVpaUGGeo9YcDTKOJ7Q +xi3EoltOQehkBjZWNUcn787J9D4P6gvJ87cNMjyeasD/mIrKG9YK+W1lUCbK5BdlLi5uzqzLsd4R +2NEuAQ3+aFdg0OGw3TctfGzUy+Xc82PEh+R0zVm7KL1SQ0IGhQXwmQKkutsk3wjg8ArZq8cNZcbo +qdSU+JzRuhX9sb7mBCurzP3w75qHDWGbvv6brRzR7lhXPVZNkzi0bLsuYkZV4JLa9zizWHB5s5rq +HtYqVB2hpHxQ8bCrBX2wn/TyG2U9aDuJYrf7FjvoYzdPzOs+lY9DDujkpd+JefK7rS4epUTeh7Ng +y+j428f1Sltn0aalJqQdxACWcr5wOlwgIKEPsCocUuZwZXtYqoB9aowvSR04SiJkV0ylqVjS4z8M +Tw0mOsuNY5r/4RJqJbfi/GzBRXYS4DJUhBZAybJq1C5GP1eztbyS8UwO3tpYwxwRyuxz029ffVJx +Yx0ZadgCkq4YevYIOXnycoLpQu82YBCa3k3hQLR/EFapwcr5/pejhoiDeau/lygvBqmE8wbXnlIO +lqMY7Gl7BjTVlaQVXcMCnl7PDe2MIHgPnN6hJSUVjln6zalOAkjswbRe7s6Ky6rh8fNUo2cR0WGZ +5RDGXoIm980A9/Aae/cULew1Ii561peVWtpsd1rvS/Qbv+v7zckARsb6ClBY6okRAxZeYC5gbB/n ++cNdRh0qrjDJSbJhoJ6GMg5xp0DDjdb2Lf8c5z4DWR55g0cbR6tk+Q64G0BHVufIatl8n0Ed/lDo +UnK3HPaP8JYyOHW6lmlfQBjDCeTczsVfm1oxJIgzWPyzUNoSb+vLtkg5j0Eb7ra7pUNMR+4xq30O +RPnxn5BldTMrqC89nMD/ViWp9ZfRnOHgglkKDBtsejkJrV67CeUhbhqZ14FGBkYPmNTJFgCuH6JI +W1uZ/aLTAKC43ix5ZXFKl4Qk0KGQ9huE0Sgz8efzaM3vcG1Jx+fjVHrj07Kj7f/m617zT3fb+Fun +fq5ylsBhBmoo2IOvgH7J06asnReUEznQsAoFtJE5PglBd7jy+0v9W3kKSHDyiqnZEk4xySqM9Y+S +tnWuwE5TyABnj9Wm0P9QOXBiUHzAgETM3kHvoIR6Q1yLcZis6DoLJzRSKXhSD96bTFks6E+MC4GW +W3vKL7fGnBIFQwg2FEt0UGalAfnMRRefN+TXbYfKr/4yCJMpqBH0VnJilcanQ3nZX97++fBNKBnp +IZHv3GEJSvFTOv0fimL36diiXUJaURBEOEziTsjIqngt45ci2maRYkKsAb5uOU8dOXSMk379dVnx +9hP5xzMAAw69MbmnpnA6fKdG9WpQEkVqOxLlTzWo39PezH5oLH3Kt/NeuMiXBlEcvWj35L8bCMhp +7BNcyusTHalHbSLKQ+qq28ETu5HIOMya9l0os0o7Ao62xWe33iztBPHDQOo7UBF7TrPvnIRDmtrc +gGsmU29SANUEN8U0XiIYFW0+jQPuJsqd6Ur9GQL6PG5kx6WKuihyR7QdEu1UuL4JDFYKUmGIw3JK +TJIzldn6UoWoAfIQnNPyayDSa5f4CiqWgO2BajloDfSpyCw+A7HPLnlQQqI1hUdRrqCVPAHVdD3b +oPum+aZfMxgHiPv9Rq4D79syIvTklgqp4uZSN1UAIp+8uRuUcoGiykWLNVTpkTgangGfFZfspCh7 +p23b+i+WmfcsGnoNobpzk8FmlAS/CNn1fFgyY/nC3FzonfZ9gcZQs/NjpQ1bQ8UWbEL63XHGJmZX +DCkQFiiNtGhhf2f0PVPH+VOLyFmeOnMsuwJ5XDcWfetYr4N++BMKxmfcWxzR7/Ifch0ejcAbDIoi +1KCX9stqGqaJVP3it94BvreBIXGeXm8cQFqJXj1zqHV4gRA3Ox14hEwweKQ9V6AyHj2+5fOnl8ym +TiWriIbJvq7nLS8eXKJ0M/3tS1h/1uRoigcEG1CgSxJYJ0dyRSlTKCiF4EtteHtMGLEfVXJ4aEtw +ogDtYtYUYxyOjyrsJUqtV3dtuhMFF/1Gwc3s1W8c/QRAavBM/sOvjPulfVk5xV719BTXAFWb4xDN +GlFry17E/baAxfus3T1w+D1UGxr5sxIqRrgBCObEd3VK0uPsZ+o82iwXvQGNzBT4qSDwmpfGLZuh +VD51de1cCIjD7n9byUn1G3ugibjFAaViRyq+7nbodotSOkN1nZ2KtobcIKFbG6llgjn0xmkcODzV +WePq4kDH6juCuzGhaocOHZZuXkHJPxfRSv0lrOy4HLEERcGHcZsZEUMJIzLjwrEKtRlSKg9QoQG0 +9O4/22IBHZrpPz0P+oedmvdFkLnlxt2nmzOPZ8L9HIpJRcoPGrJ5hZz78VDpdodaiO4yHdrP71oO +7YOHDdo8ejcti4ar3kF8bUrXLoNR3rZxesmfmeAjkflr+yOQN1Yvaz1MYeRwwE6Gn3Xtj8e9WX4r +601vbxWPblVWsjt9wJi9H6GwZcqYRyJW9z+dAppbFGUSLwBDQIxxsXi4vJGdeAgiRST7uehBne9t +rzrPZTNyW6XPeKcWD4Sd/vErFaPteW2Tk4ywl/3ENRtogxgzfBYBOrmIZXIQo7fVI9LumYNjd5OX +3uBZ5b2fY7hEG/F574LVbnc0mKtIP2lEdz8cA6E0I6h/gJyN7yIPDHiDvsR91NTZk+Osg+WXHtua +MPMcZ3al7BLNrZFGjTEUWfq3jT6GeN3bqcNy9TDIfUhFeEuzQgS2p1wM6s36TxK3sCjA9aPUZp/a +zwaKW0uAaT7gUpZbpy5O3fZeCL71O6CsOTbFehhv2yzkr80TQQv1YLybkcEl5geRlBfMLLchiOIx +Xi/CN8YuRuoKuCV+YPjLv6AVhN2fvnEjl++jTiesvt1yBbZhIH33PmiOi/mt5A0V+mW1Et7sly02 +WHfWZhwGfm03Vr1jEssjVo0JyqlnZqr9JOC0/PuX7N0jY6w+aHDvNt6OVmEmeAeFmbIatxeoEkwF +vA31K0gRYmUvhZFLWv+8Evudi+WgXEpMaT8hgs+D0W52hWInDTvyIaLqmgmKEGJrd2shiUx9gCic +2O2hBfz4sfIMahZrjmktLztC4ihvCSo8yF7clQ6FSJiUhncHA4Fob4WUMStqDIEHoAYd9ctjMLIG +Ft33Fe+PvO8I85LU+vrFYLh1ubYo1sAnjsjyyCOFxCt4GsEnRuZvvrWkWlzVs27JKF7n2QmyuBax +CO9vpZcbxAmP/xjfDBtErIXIv7g+pK9pzPTsu2UFF8bZgMO90gWfT7Y+/Ky/ampJ1uxfMKc6IN/+ +9Fad/ZVQzgmybFZUDqcjjv1a0Y5CZwh8yFT4Hmy/YNM7+FYrro1gK7/PMKfb5bKdw+IxyYdAId06 +/6KgEE04sP1skXqbstoywRr0SHPj0NuOb0ZA0NnVVk4XFu636Q2GcvNp/tiIDrynNXpOHfS8nu0g +vI4FhcyxczLMzQgCNUWvvSHgEkCXZh3UjjmB8daRkh8Qz+hRukC2k79zs/hKF1vE4Fr63R/Dh4BS +Epwjz3/cfm27oTir+vFn3p14Cpcuh7MDLYQ5ggfKtPkFqs6TqvXBeQcIefNMPv3fRZwJC432v6p6 +urRMVlVYNeve3RgT0EhGB6LS0DUy2ADUVe9XWJWNrXMbyAUgTYAURCjBMIMb7B7iqLUCFF+mUmFp +izeBfElQg9JcBPg/5kWVlbGtw2V/8p4J+kbSdOR26xCRRhDwLTJ/A7L9Lu2UG2p3mLht38t5Zi+5 +DNgTEnFRqTkUqbYILVzISL4K1RUnNVdDWKF3Om5lOfG1lKgzmbuYiypvUTUgITE/dXabHkj/D0J3 +qUU72eTItGFqYrfbRP+ZG8DAeqInv3LdAKPV7Z/HL6loEhhK8DnLVCwa2603VclfTD4FLvIHjjnJ +TJqLCgFT7Zj/MzeuRqOTP9T11FhWmS+N8lKPR/T5TmrDEmlqMXxjgxzPG+nwHBYF3V3fm8j5h7l3 +U17Zeh/sXkVB/6v8lPgUrFF8SOAYuiK2skiCnBsw8qnDRI++AjcUUZUC2pqVS3katw+XCl2ygbbY +H5JXuYm0T2WNPnD3yRCyRkQTA2iBXxwyTCReXoVAmJKDffPGWz90+YiNjfKco9xvimB5DyGH5niG +lMEec2t8KaCn3MtRv/wFVxRA+n9g6YUOzl0QIy2PbAPh+4YAhKPoqij+anvYULIzh643JBTaB5LZ +kK6xrpMbV+WroU232TEuIlmmfeWxIkkU5Eoh5Lregm9FO8Um7zuAq5K2j8o022Tf7G4Aj6fSVFzu +Zvo+wOYsKxvu9XCRbEFqX1wWFqt9uU3XCwsQcImXDf3V8j88m5q7B+tmUqqJd+vrRRSO60lEqeNb +6ZgpUhLF9A9NmVgUIovLDt703+asm6jFAqutg45hP+yoGLrmsegkSt6COD506PuHm1NhLGyP88cA +74WrX1xyADsz2qzaWucEOJ4yYXPIcrbpt2YQYgXovJfk4V90U0kkyNkCvqhj2dAEgif755WAQTmY +0R+r+de9d9Cd3D5aSEGntbhRxITj9W9MWfo2l+yg7oSfEE7A1R6LigxJ+eys+V7WGQ7Lok0SH6Hw +hS2W+zaHfUdmI3I5jvNzcd4PP7pJnCogA4imEkWKHAPZumXTchH7rhgA9LaCnynemfx3/3OKHxJa +wsBT2YIW2+wsryMsefqJvIuUVmJVE2LUWT/Aa5H6hWE3LVjk/ppdrzDuPaGpgv0p3bKmiBZjAgcX +N17B8UMYSdP5oYFlxYG73+vSSEcU4wMTjF8I+TfbYwLf0/wCSN52U+YPLeZldgF5qIj/V3YEtLPh +R23O3LO01AKhHiOGTSn87cVhdsNyopKU4S3jyriZ2HZzsBu0V7GBGNbEA0TkFrqPl5PW78mT/KTy +C3XH8Xnpmp5ZxIG0LoiBlNfZFPip8ezlaEFHV+iT6h6dzET4Q1LnZdA9xY06LWUJHNxtjyM+XEEl +mn9dtuBfdZVZelqy9BwGven3LzA9X2o0lXboyBftFnnr84fKA+hJuzcJN7VcGFRiuSWnaazRtH4M +m2//qEQhrRjIfXrqTibnZq2Uo/QzhhlWB0ALTSUu1gremqIZRH4d+AdI9qPB7FgslBk6znW2ZbT7 +FHz8XkJsT1EDUeZoqiEb0zQefyU7E8tJG7wF75t5fwbiaud5uHE1cbSGh/qdVJl7o6HgUf8flCDT +5lsKVK/vFT7j5XOgtsZAR81xvkYT6MSujSBfbEvnVbcAGlMIIrkmFjvlqpcumM3p1t4kmP+og7Fz +SapeJi/52dbZoJfKXz279qAkoPIzIOYjnf87X4z2gxTHDGK+taHa3Lfod1JLOBX+wvG7YyDTg5GT +BjRYRiZvWGgnpNxc+c/5bOkRB2hBkHXqtXOnNLNnqK+Bu0EdgK4TCu2UQ7iUJDhH2IAMlgcY/S+7 +LBG3kMkbrqSkJN46d9gxNHEQglgcpNBGQAypYVouM/seAO99j6P/R6oHdTLqAZNlmjRLwNehFm66 +tRTjinbp4gAiuBrvcJ5As7VZ9nGq8cf4xJUfJZdcC78RLPdnjnXE/6WRVyJM3W9ErCMO8CzPK7Q9 +QPuJph1Gyhdyhgtc9TQyg7zFGuAEVfPHVgeEsHEUKb7Durb8RbxKX96+341j6+yeH/7lcyL4TIOz +AvTUHXzNJhUyMVik80Gk8Ugo0YhbEHh0EiK2OdrDhx/xmkrONEUewzdnmAbI4TXdQEEFPynoGAJJ +c3J9IyXoW8wX0EkUNzs7nVIjzoT9Lj1vEEgn9mj9wJUqNvi4VWpvdY2I1I5Cm3uoFFQTOTJ8EKOO +ZWXuzjlT6b8Ec1aKUjUQEdzgrj+DMTC2KXtEb2s51/u6qrbZWcu1ibzo/mYN3FUcGIAtdYjynspf +kctQoYHi1h8yN0HNtChjHwj8qUUI0TxIfDh0uvUdo2Ny1QVTmWUvj6ZcEf4or1x2pe7Fh0JCOWei +uS0PjfGYfaAFqWBzRLOrg1sg+IUKKHNBZHZ/NuiJEkvw23yeAuDn1BK/B1BKbkkYBGyeK4X95P3Q +8u5hJcY+NMJf6XlLyheRbEoBdjV2mtvDjUBriaoB4u3mFlEDzzxVe1OnARgDDKwEFueSuTIY5rT8 +x15JgWYGO60ZhFdegHNbMpEMHKrwfMhIX0rU++yTXFZLKuSDUMVIzppxz9fRaDoXJVxt/p1Az1TJ +LNFiq9KxJ6bso6Z9IBt65LHQrc7/dtqHyU6JtbZC2MbYfJe+B8uUtMDMsvIvN0Yx2zbt1pPPwuOa +vMNw+JP5F+GVFWp27uhHKMvhMn8c0W/UCkOLiZqRInXNjqECYMMZJU23Efkq/tq84gXBJzkXaj41 +3ZW+LlNZyv5cTHznw3szxyoFTL5TIbiUnEOX3/jMGNsTXmM0hVioy2i3HheJugqeJ3Cv3VGEUakg +6bDAJjAqx7NZnJRTSxxdJQIeAuDOieOd4Le0BxlKNr4GcDAQO1Njz1PLTYxHozI54Kuk5KRR4ihb +uuMGhFm+ySlt2wgefEK2bwqBct+MYGSq/+nEKlAxunbTzGru6aCNhmTRP5ZQOcp7pthFC19QItsB +SW9Y0Syx4h8ugA/VgmxEukvPZPQRxAzfMNe4lrn4Ky68maSrP6G4sPy0xj92D5ZQeAgwJxCXcj6M +kD0rZipi8Ya5dLSrrcMi3Wzaos5UdKHXEF/FSbtuemsgfDMYwj7IPdS25BXyh4Z+nF95grMsVoG+ +W7C4cG1+fP4muY8ZZmMaskrWHPKCU65oyUEIWRyIS5ST+TvDIfotoolmBtCwxzNlpl3HE803oauz +FeSac7Lw1syRheGC7rLI566tEiu5p3WCZ/WMI2k46Dr+6BpnpRUNbhF2M7u4BOuQOwakns3aApvY +6HHilr/SvbKteyz7hLpN9m6Bq2BJmHJ15y9ze6v84R5B8prkBhtptWivD9rnG05vqvr5W0Zg6Fnp +tbhqUDC010Nxl2wba24wdjeECjZtvA6FY93hA0x4mLv1c581yaOD/uQxbmEsF47nlOi9tkw+5f5P +3hfhEHhcwc+PoC+RQCjz6jyYGW6mQv4mxNzu0fbfwFbLC6AqlQQBaZPHyRVAfa8xaU88GV7M2XNQ +u8ER+TNoxRm7iQyauMmGzZiicE8drCbX7qD04VPJ5HprL9CgLWHnWuN+GiJTEMbC2l4Y4o4i2x24 +NLeSi9qkKlfBUlmkcp3MT9YoL3kQPhggrdxCx5ek4ywChe8Nc8H89qwK71WweGQ0N5Lz83pkogoj +vmgDGC6I2eMIlpbdReKVa/Fs5I6QNVGm/JpU9rqxFXzVIpMnsQoUSn5hiEUNDtmaRgwAteDl44in +xPHnXlNboptYwVCft+lJ6oCneYrEKyaKHVOotAAwmAznqVfIwXmOrNfBitg7oSxN3RhUxIx7YDr4 +5J6mVhWHz2TBhkZJhy1/HCFP1I5ipUAE3SY7aCNzhWCYI9Ss5mrzOXE9dncX9f9pMqQzr7oYMaDT +s8OjRaGTjbNSO6jPsYzRGD/pDr4Q0nsh/dDWkugJnqaAjvPK9PEtmWRkptpy6TZFe4MfliRXFQmE +3wqVBPnGmIx2rKjrXgsXUQWqqwICXTSoFzzjPVM3BIIrCHmoFRBU0UYqPWGOQUzSr0hTktCwD/Kj +oW29PXQ1UjIk1i4CqND/f6jLPPi7Ovvt90tEitruyLQzZWCtz5FdVauAvz+EmN9nFaP8Qz5izPoI +dSXORrF7MIGSniVy+/QRBWg+GUJUFXI25cMvEuai53kFhEE+pvIBeqbOulT2ny0yYg9XImBbNWX4 +5ineO9tGOHB0euFLG6pWaTdORSRQnj5hPE5KCnVYJ/oFPHTreHdjsQuZEtU1kTU423zAfOuQsEYb +NJkKzgYzbiea9lUujmQw6yFyUPaMU5l9ZN3eOpQvAXuJDW74ph7UwdMz1uhdx9RIPYGEej4vPVH1 +114AaPSRYpm8L3KHLPDJj2L/fHt1gKmygLkC+8QWuxWnNJvf7ySKAozbNiFs6RO6DkHNQAbgY9da +r+rMftsoZn83gzqBFq03uPmhSI/a3YKaLK8I7O0H4uiDlEY+KLkAGMFAszjyGqg+zq35tdjsl7Mx +swTTZaKPKK2I+Aaaw8amdTUscYaghtUFmk4RSi0vdo0+K014cTrfOUwgvIQnQYe9Ps1H/bEAASGo +SIsj9LEuCArbtCR5VXfKXLiJdp39oDzYkbOuduCRfstzYa0lFR0+gRv9pE8JZ2/3tdCylth2coNS +M4kWm29w9ugTuv3kHJ29ZfCWK+zOccOipbSc2PE+o3Q40N3bNchqYPpiyWBAAJvpYy9MlxTKmXiH +74+PSFfqIWYur0q/Oho9KOR0IZNu3T8KihnFF/BGNND85muYfFJ15/OALIuyxoMstDSj6WYZHiD1 +3QfZK/+HDn4InhtmacfTQcabVuHgPx2E4um4Y8+EHl0C5clQbBQuG3Gp3D8PEnZGLWUuxu3OhVzS +aKiaksyGUpCv1/hjBhq/UoGa4ERr18e+yTaugyOomvhNeDy9W0mzOHbIMrEJ2V+vs8wG3fLrWe8Y +96Fvmd/mUar02k4wHR03tWpM7JgOA8dfqEaCRYpUsLSutCnhmkfzHL016waPtJchWOUg20iNXJ/i +MaDd6955gAy573JbDw+IHisGONNxPOue6DzFvAZhYerVOf2vEzdpHaavY8YY2qSr6ixvwfq1MRqe +5XLap3wCoLiy2ZojnOHHgKuZ0WS6z5I+RKGqVBfTvw32vaNuknf5cZc9PWP3Uc0eEyLZeSzuWua6 +lpkPBpUHZDPizdCxezVGqjIpfgebpqk6iXgeoQBv7d1Q/99H4ky1Aw6//oSXueD6bdBFR+JH7wxc +TBjfW+d5cG9+MsvBkvoZ2km1yt7kbNVY5aS6ptZGiD4D8h9aswJAGPSgAF6SQggVnY9qrm9Ay1UY +RCc0LBcSpztqTZ0S2nIvyOdPMwwmUgxasdWQfDndXdEVgbvtDBckmLbR3QZ4HcDrTj+X1LdMo3kN +92hr5AevYsBF57dJ+LU3mt902Ls8QOkILLvzpVXADRymVZNsGSwQTTGVeVLEbFBUT19V60eG6N8A +uFRy2r+lbwyPjXlVqMW60sHFOZSSYVcOgdoLVqZv+Lcr65/KhQQEjVTUSaJ0Wb711MQ6uX+sFZVI +/imqOuCHb/bgN9dEiDrGFE5O55XjpeCpiv4zKUBtQtYcBpHG33ZnAeUSsnglQjjghBty1bzkzmBz +GiBTtM8Is67qEaeXBdFxYLzrnUkCY0EPhQWi0SfdE5tlqlRZKqV1+r3sJOx6n/IoGua0FkAzY99v +HpBMaL7QziCAZ7y04PPNnzyJW6BULjcXX0SkERkfc2qS9RkxHh4XMG2wsZnhWQtKDAsmXk0PwUAW +KetwEeWMv6bJWJDPA1CK6JZzbLXwJmGdSasEVK15IOonajL9tPoYSA8VXmEAO6u6tBTUD3kjRj/y +bZMMkxSpOwo+mloHx8WdAUqcUw8iT7gQrbcs32CzTGKoCWUbw/pqg9BnnP3prxgdGdAxzp/61Fdy +YHSF91Yj2WN2NmlSerr8WYbpC9OzhiYWmpvlRf/u2fbpKZ4sXUXRHrPDm25tHeccJAXWBbk2W9PA +p2JCgzdV2BWL4Cz3tx19WZ8F/nGdJYi0S7TPIk0nLVOSgW5EBpdEySMeNENsfbFDlK6qxM7qVDuc +vhxng1O6vqaU9RPKgAZmZWTwl50ZJy3naE0HO8uH8dvrwO68BeSRF08fp6XFddtZlFMxt0IfkE/8 +rvIQGgROPBXjlkOKXgJklKQ7riE8Mf7Uyr/bTiwCyZjT/PiPHE+Ycb4Zcf2gR/A4iuLs/qRb2HMH +9UAzWMMsjTptV42hy7Vy3lTGmYv2he4gU85iPmuNs+ud3dJPRnGZaagKzylAzR3vB7zDzwEolMEL +t2vM9THrYFIgvbPyBnR4nX0w3r3JSct4viKhkUWBpIUrEwqXKLUh1bCB8Nvw/s2XRj2SRVvQu7d+ +GNHLcev5g8Crp5utA+hXQIOz/Qg0xpTVOM4hD2tTKPJGwC/fzm7/45b0Ij+50KvCnkhVbuyquHBj +4dXKCLnn+5QPeOhb6lCw2JROfZrOKGZScS+2Nuxq2ypMdz52upr/YQACnqA1A4Wndz8yA5pKS5xt +3AoY1pG29b5Ippu5v7HBXel3HggEmLJouZP5LB9oggwSj3Tn5t2zPwUFNF9KSsyWrsFRD/cRnAON +cGaNTwfUu0jXFQv0aYLFbbYC19lbrOZ/vfUkDI6ksrn7sGWwYjaui57Ba4bxrbEyZPCMKFH8304I +DTSvAMDLIQtDON07tW3DB4/cYpcwNdKY1xtE5Hl9W9OH7jJU0A3H5D5nKrZc4AQppx9YqbcrGd4w +felS1iEjENub6Q6H589s0SXAZgSxwCzjE+VKj9oHXNSrIX0E/iHWotsVU9vS2HiVwaj/wkVTlKJw +qt1v2sL5qK2OV8BE07kDpWPhuHr9sk4OaP+4s1PhPaG6BpKuwf+3dzah83/KmJGxYKidUftW9M6P +/qbg4VlMxkvrm/Ct4g14unr0d3cqhdevvQ5zgfRRJ9jIQ6qLaHCcsACYdPjhqHGtxEkyieUWyF4R +TKJo1sQOPzAHfeEX7yUJqhnNd8Cpn2+yiXs8Qol2TSoLkxQHdSIFumVM92EmfiaVx5p15DUXmqbw +SB15iRn+cECKHvRE9p47tSTb+XW9+nkL4Ek88IcBklfxF/CLlJkmumPZtUciyVn+MdiDCl+Jr+06 +wbT97++5hnZ4ZDos3CoLoQyfSGSrQEd/JPbyTxYgTnsmi4Ssx7dGmDs2PDD9AiJHRuDigvjyNO9e +mYpe6eTb6S+i2+tM59rdLhmbRYR6t4LV/ny5SDH42Mvi545o2sYjSNNbjaG3jz+am0K1g3/eQBmO +xn2w3eWEn7pxNNBYdCD5LGItmSshfmcRZG1Kyk7V1j6umNrmz+ZirYtWvEvOyRltaIouJ8g3w2If +mOQdEpdn5SVYS27oj+4CGWs7KT74mXsMHvx+v+zl7UM6C4RCgk5ozBCODR0i13p9v0G5PZnpmBxP +yVtV7UjBqQt7nPlCfSLBNihVUT+hMJQ2ER0C0Ki7XhfcGNANnT+AA1a0Mz/hCmUG0+3T1/wM7evX +M6gcTGSkQQ/r9J0x9O+fvxMKRk7nQkJY0T5Ihkf6vrhBajkvqtmXGFtr89tkKEc/nlWqnKFq3WVH +TbLjS2FdrSeu40vbyxyqNNMxqIjSdfbBM0yVsD304aRJ8KLRuZkO+aJ1rBPWkArcpfqX0Yk0s7MW +bDwuEIsJ2+4csGTQD0f23vDZzanTmLJmd3XRqwdTBsb7rr1J/ICUrAheqPeV2lVUo33h3XheC5/s +0dJZjU+DcoFTF1COr/e4kCT7FheGUZ3jtTNoQzAm6qdMPCGI3TfJ+VgFWA6txOkriL1Fl+DZWaO+ +2D3YmBrGt04jhYBhO0xmwM9KQTrq0qtsoo5WD412toywnTdJf7I5yRTZc2pQ0lqh2rNnzv0F+bwP +HhBw285CzxktNijt8UODNLdP+nxjq+2nGxfjwXMRIF8fTDh28N7VG1x+fE7+s4dHADr8IYRfCowd +lXLJ/jugxDeuf2De00nnMw6gOAg5ir8b339ZUk0mOS1vqCm8WNewwa7KOGfZGapWrKNlbnbKI1gM +7T8gDKUDaVTiGtYps6NMoDMw50LCwwIV86hkPfxiNW9gQobjf+GRZqw2rwLw/Acbf30+9mL4x5ha +C7y8VCH4E9Qt0IZ9JY1qnNHSJqDV3NxzX1EQgpxqoOuTu0rO9cxFIFgfDQA2k9sdoEyU5msXi0JG +558Yu4416dC+9q/2iIlHvpNxBZ5CAoSPaz5aiaP4bg5p9HV/3wzcm4gDxWGo5OwzE2gYwGGCM7zX +RYfPgKF6T5u2QTkBjkbOnGGBAk3X0q7cHPDZkhyngoJLRWSsL3+W20gz7A2mCHV3/w2v9HQ63rZ4 +/60DjM7d/ceFgVe8FEpXH+LNKPS4e5z1doWIWtuf30mKq9i00f6clXW6XiruBSjEQu92apeGIj+x +MgfLe3AYipbDku8CT97QS6JpFDLDM054JOvy5Sel0J6Cb/91CNQebQLvtK7VXusFsq0758aE5IOJ +KA8QKleoWZY6YLW9AD06VlAu4ySdTZFr7OiXWYD/s4wj5K41y9Syv5nbsXqpg/8k7QIPu6cdMg5v +Kd8cqr3DwjlP4K63Aam1L274olIkD2Ka+pUW0N5YSSeF05di01OoFD972r4oBlZAvZxIXlEJP/ik +oxESW7YtVB14RNC7mBlW1196WQRqnaZQJYsORxqr/0Bh+5WwGsz2Qy8zq6f5RHnbv37pC6yLzIO1 +nhHlXkfmJKcusf4suiGEGZWvaS0ieWt3e15O6YAqFU1FqzxQc3sSRDscUrYTmUYuoz9/BD5W4QZ1 +g9dbUTiaY8VPlhtFrYw/9o4KDvWxfaOXADuV5cwnmv9niXc5bdQX4FKgQ753NvE5kZdu1lTRQul2 +rI5d5J4aobIHN9Gpo9pAYMyOzcnwxtsHLAzZ0Teao3qi15XWofPYUM+0XPzSEuT7gXBoPKKoWBpc +FE1qEh6DWDBQK/7pxuk3Gr7vUeoty9UZ5s7owk2LoQYTbCRUqF7N+DlFRJwbLTXMyqmQ8e0Zo6MH +EsihIXZ5UEkCNrEpCeP9v/Pa44lXG0b4E3d3moBOtdskxmSccnnL7D9YuYWARPv12c3AJ5RVIDmc +u8dymdYbNgh/u9hu6AV3ugPK04SNLGLhFkNNMYnE58vLnsUIYy6KiJAPXyUowN4irVkJGS62aAkk +S4ASlFggt1slC7W+c4Vba4yvjd3YDhF+9JlhUlWptg0PSFs+Oy00bkxAKfS60KXfp0rOnAe0qqo6 +xkBLHsI0we6gj6kxdXKDdgaYn2wdOjp0XPg+IbEovwcIzqU1En9y+omTG2tQUT4KU/tFCs4Rw6XH +uH/i9hkFCrHJBiUv7jHI+LMHKTCBjzKw/HWgBwe1LInbJdnS9mWwFG+teKzW/Sl5NhxI4kO1d1WP +ngLtLq3kRgGATrWYqRBzeBDvoSpu/x/qY9ofWOluX1Vs+kwdaSOpwCfymtyFFaPE40CTm48btLNz +KUH7tRec/vu+oJvjm/99lAa5HSxnNxDnAeHVuj8kMDcZjGcYIAFC4rlvUg4H/FC3todaE4YD44wB +3NbZfXm2sPoeSb8/JmXJ6Q1lwXpB6c/dYxB72NPZjPfSalAflqeR6TMco3bc1Q1bf9l/fPka9/+X +G/wzqCZkb3dY+8u/qk6JHWfwSTOURvVcRMkJj8IXaTpW844qE6DNd9zOvD1fN2xcW71K0446bcu5 +LdFyJ/0jjIDRUR4rZdmp7TlZtepYY4OKBZuqPw6oZbOVpT4HrC7RfbZslj/sr2wuCr9LagCKIR+1 +2KEdmIFZLm9cBJvr7ZZKOSIcHnhZ83w0xs36A5kMum17OyakeyW3fjMptOQEf31o4QiczrU5O+XV +dDhsaACiEaXtZf9vw/nCO3YSaixQQstH6OmLECDPdU1kY1hc+EKD3cdNonjqlUHCN07hVmYjPAU9 +ODqRD0bJq5NjKKPVynXOFFoo4Ec9eBb9xuVS5/tY+Fs0sqrIyJO8bSFFlLTM/WK3OCoeUrjYmaLn +ckBa5Dyb9/QUI+HIRPOgYIxyK0dkbcKmP5v5wrbBNoA29Jg8Z09NC/YSSYrODjgpIuDsGsCg0gh2 +0y6kYyzZoEH71VtJtNLi10uKUxXAWP92759kV6TEvdxCE68JK4fZio+/ppbw6QhvCZ7WPbWpEh8/ +VImFq9rnI0lIolcSyh/7zxJWMsSEa8uUBi79sEuD1FyDNeaxbUYZoZERTePrm12l5QSSqcPMTzGz +LgmoSDTdUj2WFCmUTi8PxgOVnrVK/MBz1+mrbutYzIJ8P+f9s+WFt224qmUiwAxfOKMRyihIT1Yr +dcaK4MfGKsVBiWpOgWzJJYIXAFJk3nNVaOrZeAdgTjWOJxfkPZqHAeeXlL0byBtTo5kA/9JDEeKZ +fDVTL5BRLiS+DY74hzLNVoSmAuGfRDs+9CTdIVtzQm5iSAvjCdqcL7Zw38WdQNub/XQjjj8/ZuMx +eZ29DeiKT7FUufiSyl8RpDVVrR479jjVVnDnhd1zHtWHbWkDe/yJ91jMdJsvc7uGNni6TlNwawSe +HaVCE9JucC+mZZq4IZPPxYDq6uyJCPBa+lE6BfovUTCxYxTKA1kqx86ayVpLtf4kLU2azEpqYlCb +cdA+/EP8k4sw62j8wGnjLGU4cg+nhV4ej/xSDhF6a3tINjE7kJ/6yXcZAhKTzkqpwOmIjU04gD5R +7EzkM7crIfYsigMlGKRmGsYrh9RFH4seT9LbyVw+riiN3bRAOcNYNmsTCLpDDZ+QBaEvvW/rwMME +oI4/DjjEfFn3zCKIU85Ef6IYoHYyfjd4FPv3/rtake9CzDCCSXHzBPWb8AKlq3WqjPMe0l06skmU +itBxfZcmVHUm37Rw524hPeLPjGZLprVzr2tKDypkJm80jfTzHd5OywOlZJcPGUrgB/w+CjJBAwIa +jz3dCT4D1tJ3zCiRQLw2MGMXJoWU/8MPsEXoXg4oSTJ8BJKSVxZIsYqkYK4SvR4Kf6ec9RAVTwDc +TXyDsq6OXjQwGMEYRh+JB2peFECotVjCeb6QuU8SlV/QdTwd6TtdmEy5J6eLoRqi0fvcRzQYNz8h +9OXTEfBISDncGNII1nptmdZ1p5ZT8mbIurPJMdfb0HwKdU46iKh1Qe1uTXeAEMy9N/KCJxUpO9x0 ++31v19vPSniC8v9zVA7/mPuCigUETtfPiBr8ldxVoHADWxKmlIWCeWSYuKrY9Ql9JR5Q5A8lbJ+o +rncj8NTspSjyoTNlblqfQ0VOOLUpyk9VuWwyAi/Qc1ZVcZzEQxJgPh+fYxG9GRKNCD5EZRVAO8ea +qXcgQxNrDtsYVf3DmOH6goyAYA2+EI0UFzADvrLxj/y4W8lFApuHJtyEa7kBV2NKXeZ1suqWA5Fa +pTWwI8hZuc5r+IwSdWqtYIUqW8679NuDd3/oHPiM0bN3sQ9SyelxS+vUSg2nZ7BrG9AMaOv2/z7+ +hLuUUYKBFePJ6vZby16pi3EIUhBXCyA5MnzkWKmZw/TcxO/vYsOI/3n6X6x2WFqL6ILk1gfwxvE1 +ZQehIMMHeIi4wtxJuoVYUbFmQY+maSoOvQ7Z3vnMUUYdVRYAuRE+MZbLIP69TfbjJFgHkkmJNqVq +QSUqlUaRTsXvCxwJUxPb+/+S66z4NJOj4Uygo4iGdJkquJrqaEvgYAVQJ7I8Ulmv9QSfjF1kbtV+ +U4ED1u7vqiWFzyCM2AaG8ayKcGgXshLw2pL7HHG1/0Ckj3nBUknSZv2nV2ewAmY5h/sai9MxyGDC +pd2MK2LMaZ8Zh05uRpKgDqLJY3ngX6Hh+lTL/BNHwicgH7XkGOv4wq0EZLzrfOVNq8veHQybrwpT +g8YpvCXSNbtmV0K8b74esUkk1bbORD2gTgrrxQAJZY7xfz51BodNy0rnGepWFzvfdzQIrhuub6Z8 +xWFVKsq1Izi/WmYgptR/oUuSwedQ6EE00DV5sC1rZnbHCM1d67xKVXJroHcheVRwb2yiVbzvyv0o +PBRi8CJ8fV0dmnXUNYrenyE4BPGXWozxFLVsuM4+iQQKf8BQ9cTetPnC8qSGz2msolWJUNQWvvDe +FgJ6PDT7v6R6k3hcxgh6KH9Eu/DmRD5juFLyHjbbcQCn+Kzt5p6u9mFd7cjo0dUwhdirD/qMMeZS +n4DzzljTqZFIHZIIbE/bOXGDDvOC/LPa7lgstFMVXK6STm5xLKk+jy3QYupCZfkTx+2j+AwbPtpU +kaM/cZPuRX142tSTGyemW/bKS+g9AwNA6ZUxtryxStbfnpdU1qhNSgn/F0t35TsNxD5J3tThZxOl +1pluWk92kQPvvSj2PxpxFkkQ4AUv80GFRemtJVEtA4fRDNblZa0JFdO+SmdNeG/5Vnao92SXJVXl +sEvDa8zuH9PGzC6dqbyNbzWlH4fckgRZu2/Q38r1fXtM265JERbslhi/RE+4qUrc9SD4qPC1BbIs +oeOOYxwikmA5IffwDKEe1/FXdO8IecHmANdioxIuHE/lQw3qmNO9/dX9IAeCGbWw1EkOLrNcJhpZ +DAVms9tYVXKugQKeEj81H3zpzXZt23eL9vaVYcoORd2mI5TWAM73WSvuSZp2m8AO36ICzRP6KRQd +N0MvYUms7kjec1bn/lJFIhjuAQVdQes0JNJJ94kHM0gdiSj/3oe6gLPOYOFk5196w2heqCTVyAEx +QHmI/jG4IroZTBqJ5+758jNO2LIZxFZzrH0DLlv51OO7JNVQZzZMh9Db7D7axblx+Af4PlrkIJFC +pEamEXeew0j9N90hu+g4OgLt46JwxDEvsCPC6CQ4jvXqjeGMGiQoPTOMGsvgJACoXgXOK1jSbqgL +D5c2pemwilOqrz2QbgUy+7cm+GjtsVOqAGP0BGkxT/mYr/lp2W0/B9Jj5X0PfyVgIiBq86qwWOE6 +f0d89I15fntNmXPsxghkzY4/1OAED5ewssiY0Dch0BftgHFpB5tAKawqtlU4L3sC4cwPr28Hf6pc +7lp/jpbWxYRwME1JgcuDxMpBwSnBe4ni56wEc+cMzCzOL88PuioSBeI8PzVTkqqmB3sIh7JbEnVB +/0oYkgw8OTNFKL/FNW4B2jbddxDbkbKT7jnm2BzVt4J8MTqs6707kujJ2rgLLIdpQt9alzjep2yu +D7UhxMww+/8SyzCPuI7BzcZQdCUgnjzZkOPN2KJ6bzK9qJsRr7RQZ29aSfKrHpoukg5bB7MDrwor +me922yFZaQdZfnZki+BUt0b6zHvREtlnltq1kSO/oI7aO+4k2a8FURhGUK3Qvdcyhl0NVtEswnSO +6tL29JmxLLVzkWCCOP+rD6oYW66CuAQ4ybVJiR0SWdJu8B8cOH3Mcium4ltxqMXD3ZhgA7JPsvUR +xcko0LoBVUU2I4ehsEHFJ/0UkGGWckU171WHV+DPHhd1aHDedT/NcuNifBoIvOsUAFYCG7VQueWf +3USv9sOSd2POy0UoC4HMJ+LvQPggH0XQoji02QUnVpDq4Hoyh5ddwrRJoOEdo6LzvM287gb31ZTx +bwgvmv3b/EuaaycS3SfpXCaMGVu6/L+Ikr2k/eFs+skRGVw4wrh/TU+NyQu1u8So3fTG6nCTTos0 +Q7L46S7lUmvbMTvCdrrY5gqF1emZZfbrzMyqJSUKFMXVQnZbZUPj8LXPuBXgMh2TFFd4GmYh17tr +lZCpJLMx7NdYaAXJar0PA2cEaMNUYdfNDFGAiXpsKCxObhN34m8Q/xAD5CjtH7gd6KLxPko3jclh +tdVmOxTDcAzA7slUIOy/b2IhB1CBWcHpg96/3f9SnW8maCgRSOxD8zRMz9GEgRfgCXIc1lkF+WSK +OxHOTZabxj8aZdGatj76xeSXgKZus1E1EWkK0oja7f7SqHTyFomk/f/L6DpYNpLGuBZBRKc5oVDe +Rx+1A3I3EEKMtO/YaB67MZzWKex9M+tYuu2SQ5gIFHL+/Nuj7J2DYJYhWNPNrnyTz0NIrBJuzAgd +ShPHt+1emGSJbM7jJJe5uLfTjLYJKGC/8pk/mAUuV3VUi5JKjGhZyxsQ4QF7bdpm4XCDdm3FXSVW +vKjUZjoo8fv+WHEC43KYbeiHTc1xsPRKlWZnWKh+HcDFMKUqaPsnZHsx5K8Ex+U4qXShC5RNf2rM +dAkKNXfx4KdMpsMpTbEAyXgFSKYqJjPz+RVQVbW1IBYMc4D8L3Yv5Phl38ZylfGaslZuiZDwu7Z2 +NcayxB86zU03a1OBH1OMpf7CNzfwzBwAeNi5bmG1jyuoeShlCRYQ95U9mP2ThR4JyGsCUvKsSGwB +Nav0DTDTlaGE0U4V5lFvRQ428q6TpOWBCMlX4B48rLl+7E3uEdd2QDgi3CU5aa4fkLz7ZkPg7M+R +rL2CP9nB7Nhle04B1ZQx8swyP5tcBv7EGyBDlwgK6bWSFDB4g0jus4L2EwNaz6KpBdeV398beFUo +R/sP9Dww8UuGM9pLJv/9oZ7/dHCRUA/tbLIqJQrtun1EHg6LhoL5NS9K4hjRNlYlaRCMJrQBxTMi +3nt8vChBSPdZpqUy0xecReN7/w/9GCwDdEFjDwMOyiKMFwd+y4mczBylrDID+smfDb+ereqbLAIX +MHk5t0PjpSYRV1oKhiabCKDav8nxVeq4MI/o7T8jh5OEVPTE08P6JniLUf56wTo/8bZ09mD4gzvR +Us/EYCQFRyPaYuyMHyjuNVQdDUrhL8nUaZp9H1GA4OcprlAkw9rcstWHwjOeaX4NXscG74BqO1Is +SLgtMDRkY8gmgHDZq6SLf76QREvqFSiP7fHnZC46vW4qC3GDX/9bb/j/Oh9fUSSMgeVKWrshFZ7u +PUjWoVa35zjnkV8zZKK8H7p88UmhKsyWckeQylHVTtRKPKW6d3v8S1CyczMu4i952twpPMhCuTBc +WBZ8cuPbLMCEQzO6flqUX4vdEF3pX8sDKrE5J/oJ/pnmb8SyWQ4nYVpwYNwovrvWdbSxc6a2S7uB +BjwlAS+JRML3VcbxfWgbrlbtu1aS9gstTZzGKEpm/cXsyuDPg1zVT1eyT53202dmNFlknoUXA/GO +5utv6YyTwdKwzf3el3MFA+okAHZ8RUbrzkH0j8Ppt5vJwjyD81hCKs5qA47h0/yKwgp+4A3+TdmL +m093GS3Ealxlr1HsHTIdmAq6xotfKa2bTVr8vyopepRrgE+dIOUpe3ZapcjR11lqIpB3IOJRzBZe +KHnQszr/C9iW8PyC3zqAxaw1UWfA+IOym60deMVgAYOuwYTCJUaBoZP03NOG9M28OBxsKqD2xLgm +bOW9IOzsBTyPFmvMFRNn8s4uFPwxTi8GcDOdvULmCDKKd//3bqTW9FkuKRZBfPloRIFUSQsOyx55 +WCn7Eczoq1J26NCUysFB5ZE9SWVyXlCJrWEo7uHojIcOh8dnYpOl04vDtbn9oKLHCAcWM07fEiSt +HIWbPWkjxoGV5PQtzW6imFGEoZJI1N4+NzMjeE/oZ7aYFLiN+bo4rJ5ffOLGxRVKBCzYlqRvklLQ +EMTgmAjzPTU0xeO6WEjAIGaCU11ugbjkrOz5u3ipsE+gAtooiTHT9SER+esmcrSfmHm+Xy7dorAI +H+vhaJmTzdhm7ilvAKY7Pf4CoqXPapvotZwe/OC+fCB6Qf24GPTnNnRiEc96DfVeO6KW1tAOvuEK +iONakXxb+SYUrhKvfiJOfdn5PsTcsDZtl/GgW3rAPc8WeAUVzLsQP/j7MhgEsvbLMpNyb0A8JG+o +Qxdp9cJyZNVHQYdCSLBKVvrmGiTiB7CK+ipQqsfxsoU4vzHkKuGFKeEdZEdZBRlht2LVNpLJx3bM +C3ayHTCiVMljGx37OG/KMBytKKYPrG5ffcZK4LnwGDyy09tfy0Y+VFWOKkOCV/LqzmN/z6PQgOKi +3H+U8BcTLgLV2WJisd45LG/TKZAhLGkXzIhnCvkkZEMlfo5/tfZn6nJ9Xr/pwjuzWnLpMaNnLc+R +Jey5OzOEU6J9TrVYHL3f7ano8d7ZS8ImvlolnCmTKpMyct+7woQtKNn2PW00XTYLbkbF03Z3LpKf +IXwh1s5KXx7ICXoInIK1esomP/RB29w9w8zI2F83w20ys1+Y8WdjYkc5T2U2ae4qljq2Inn6tuK1 +faxKki5GeB/m2maORu/KycKX1UKbSpOiygcxMVtgd/rv6mBMxAi1UzECo2CLCq7CE7+tcOsK1abK +fLED5OO8jdQJq/u21l5yfi0lgmO4YryC0akj+JDcNXdDT82OV7XMbFSPmx8Vd2utENfv/DJ4YdYX +CpO8VYhNNKOseUxofhK8VezPQvgrtWryfTm7a7+tVF7lHRMka4raQ7Pa9wCRX4gYL+5XabgB0cT4 +eJDvcDViubXg2xkmhGWBiYgB9ZSPwaw6XYsPc6MVNTHyfam5eQa8WwqXGUmqAqh4WikcUqW4lDvn +/eKNhfnGyvYhZcGN7o53DOeKrQfA+Bxi9eiDVkqokhQBNPRi7sQUMkva6LRB9S+dRZXi0fdLGyXi +JU3fWr6/ooSO/5MsBQzzJn2nmXDd6+Ild5k0dez7M0Q4v+dagAbDWYogGdJ91w5/Yu5cXJFo8Xha +8uXwNaNcPth90c1zQX0RAUBt4vywTGH0QRRE7M8ehOtKBaoRcTcj68XT9J8IGFuHOYIBmOdPmg0Y +eLTrVaxa5my7MQBiI7/byR5Cin9FARBNFX6V1MHKGtxLhL8M/2nZB3Hy1N0RnDZUe0N35AWE8cxL +hx2N5vr04bEPetoii/Y/LtJwCXK9AVpHnFt6xpAS+9eF2w/GRwrmj1HKrY9cWikWcB3CqRnJP0PP +oHFPqjbAykcjUOQZyLy1WdZ7Dhp5QBNuhtUA5xgdGfAM0T+RC4LuF7YA/eMPCZk0/F3d7D7yhaeW +V6PBTFvY3KbURJP9ANuaGT2OHGUdlto106aR4u/ci5hGvevl5d9wYQRzrpe6nCwv0pU7CotZMGB6 +1Pr2E11er278rXWmDiNiIBQR9mz3l2LK/HPGcy246k2XVQkJYS2hdQdIxUQs6719+W3btiZG40ut +gilrAN8ppnxNEq7wbR+wVSceyhV8eoRiHr+c0wdswZQTZdR81u3j5+CbDscWCnsTrLTERKd8d3lj +aFMOLw1RxDS01wJnsZuBd0yCq5fkCkG/MSHCkaTIiK/2q5uQe8mVXEASoIiPTwN4XEeJUz9zErZq +oI8RNEfMr36CrEAXtOuYD2PITWrRvNsRtVgmJ3lD7ot7btHSod/4U9S6MaHYukEyRmrPQEqCTV1w +EpcWbo2bjfrNtpdEVqERaT4bdq+8XjaNumJGQUsOQwhTtmXwf7QHqpqL630QmYpaeDRGBcyk7hP1 +9WV2YzCFQn75l4X1xG12M+Q/kxHtp14g3PZKBIJiCnXM7DJebWD2sH5D24Wpf9Y05nXHgKZrgNSt +AurkTroW2hPAsqs0cy5NOSKVnfuWR7bGDbE0sZwzJ8GBwLgYNxeJicbNVxr231ckUwJFfChL8WTb +cwDMiYlUO5ON7CvPqiarItT1Yc6N7A22pa0rWJn55R/Vmg+SFtwysily7jTGtsy4KXEqDD7LHyE1 +1d3A2L1yzquvGcLPROSIP6Io4uYiRR/iUgW2y0gA4HjxDIJ1gH4wTgvLXj20m9gfCMjwIc8cxQbI +TE84Lj0UPWPAWgo1IrtjGqa6ILBBKpc0x7QXTrdXMznJadScgW9/n886oNXnPxevI/4U9o4Kvil6 +6Wkg3XO04A2DLWjL5LW+aYQ45M1AujluelC6gfjxeItnytV+26MI74ILNpNwUKIMYK8+2gpLH/jb +2Ph+NgO8YvhrWt5cu/YAEJiYNn+13oBOFgevRjtRg+CNKif56PpsH3Z8h17grUfY2HW45AGZaoar +2zdEcgWzmVofyXGn1dAXCfUECuTFTNC09/A2SegnVAXYRNFeZvwxicWQCS9w0ZvsXNI1CMhe4upg +0kaSVL7X0z3G29V7zT0/nFjVhNkU8BR8Z5QN1NVHMIF622A7K1EsUAA0DCx92iv6iPzb2gdc3ro3 +rlKLsnLar5YJasCImNr0A2StXDL32UESeQ6XV0FJjyTePyKpZahGKZFfDdFINcpUhtGw+YJa1zk+ +lUKJc7KTglx7dcdQg0RH7v8aM61YvBH8Rjf72ZaNQbU4b4OCugoLTm3EzODmOTcUNwEzF1pQstMq +y+pTo1eimq6QiRE/dB9vrSY9ZOh2Vj6ad+BeTmVNtyj+22m/woufRNoEXORIWXyBQdhIzxAa6T+b +rU2lixqVf954hQhpOsk/kDqnkSLMZfe6OwKjZzr324zkG0QufD7da9if9AmAw9ZHGitrajclr0SS +EVeimLEulBL+swS1986d+3rwtHDIKHh8o0jMf5XzAC0uFsz6GdiLoWJQvzUB1E3/PZMw0+g/CoWs +En2PVDTxPwFE8B905MRq4jd+GlicBFv3kdlnMTw5I4tf1z9JYZZWXb+gN6YztDmxLmMtAxQvZ1Pe +PIj46uTAxjcfiZ1EwtS1Vlwh3huLYfzBkXgmZWcg7RuU5Fz+94qz90M1/p4PMR9E0sbupGc8AYcv +72so0TJpLUImd1vtMOd6PxGkMz8H4DpMoibwQMgRDb0G1natqlEY2sgz0IH/P5qZCVtYhpVq9dI3 +aD1I6aE9s3UKVUVFNtxpCzuArx9dIlNFQ2MPk5WPnQg1nw7JhkTd6Ia8m9+UMrR17jvfYVoHVxQF +/A3xTvWvfGMCOGid2K470ghixOM0pB0ErToMNzAWb9KcKchV3yWAagegFa5nsAkQQEpyOHL8opIx +kZPFhcF33hhO1GkznBCMdxr9YQufOck830BKfhe19t3kXgVe+N9qbUewtR5JlXtJNTRkuz3znR3b +UVlX8lcFZdo4703Y2aKJ0oD2JIaMIxgsOqtw0bjIj3iCxxOaPLZHr0hA3NXWReCkk39tOYZ/DAtq +rKMjeGPqv+rO+RN09SOFPgRYi6s1aXAWi9k+DlqHBadMB0IWHP3Labus2wS0CSM8QaOA1q9uhouE +1wgpoEtgRfe3b1Bru/9TrjrUhh1TRnauqBb12LpY81VuqmR1JkIQpmocvS3wRKSKtrqCk8QCceCj +DN0gnKL4TdSEHRy7WqtOrtg75EYRmKCawRBRaN7k/HTAAsbVhgTIg0/je+9MivLJFxxyMy6KbcBd +AwSgW6Oi0AzIhj/xgRUReWe3AItSIviGd+ogRD2GLwjwyKQ+hrHTNaZ5okOcgEcBr46zANNwc7xt +m6FqgGZJ6nWz6gD9vzuDSB2YvRpe+ISWtki3X4DzF9DsFwhXh7mB6CcwEHewGf35dgI86W7rJZJf +AgfYOVbL1hszUj9jrhPU2B3/RRbpHVGOzOUBkjJSEjrWxplhNpx8Icrn875z8EagqjHFDvuwFxW6 +J60Z0mmBCRBeAEiL2OndVITq2kxuuIZCBbNvL8PAxyGjGdJ1ES4MlZMJ1+qLkAh0XumX1JyHHh25 +kXW/oUTGsoemQIl/IPpW3eCLkvousZfXyVcZK2Km813pBctZh0Kn4nKWUSUpT8cSwd5AF9F4VgTP +Tx6wz16wYxADwVc1y5Ho9zbqWHqBaNRge/tHFB1ABNPM/+Vvfk/tbXMa+tRl9TeE7UF0PLzW6gDe +ywV4tRn4uGGbEUGkMVDk/fimdYXHLnbl2u8vjrXF2YLFF/McfYYTNWDPPCofs8Vv9JlGhqWVsmQm +JH3pnS861Tzze7eyoivaJH12ISizunkm1pwGDX8HO7zn8ZUSH/DE7VCMa3gqOip4K3PfaO3x/nuG +vHEuk+6YnqBGghUVyi3/jSNwmLStUEI2oy25YnopigU+NU2ViJI+oLGArNv80eWvJvU4bjEHrwuz +KQHoDff1VcMJubSLt3D6XrtXKJ0sjYHrR/LBR08lohTLmLroGWNg1zQ6nSPk9VAB3hTGHhTNV05+ +HJeuEYthnkxlMHGSiXHdi/8IPEjhZnr99oz0N5bRAYnCxNyPuGFWtH3s+iuw+EwblDyk28B3d3Qh +LdWVvuHmXEhbP/qfYmFNSudh1JVN3b4Wt4k94mcaQ0kaKb9lb8NUwgAPkFhw3Xfn8lN0nT9xfMOr +IUA6iBehbWxmnQfLv5pJA8Ad8cDEZX2PL02HpPQYrFLxmwiqXkYap3s2UujMXNYVMju10mSwoTQC +UmaVj6Y1Kb3SkuJZ9r8tfZF0kz1Q2sXO99iC36IKuu3BkxKM7VvTNpYFpgg/Jq0EXDt4u2qhOTU5 +7AVicx7qagjIpHbBiIwPda4psbs1HA2LddE+IqTmgoriWbF9OvyTEaPEkaqBpMVhZdb9bEvS2AZL +4Rmn7gBwLt00NgFPBVBZRH/EzYWmg/R+tB8IbXN/AEnZ68pzxcPhqiEarOUA7VhzcZPw67b4nI6o +nL0ETo+e6SpDOXEdLAhUiM2yjYAYzYCgKK3PdrLM2+AMVdgnuJx5rJ+QpluItiBzyzUyqdRzlc7L +jg0rDhpGrjEY3LN4ChEzv89a5d1Br2jf01R5iX28jBAyNZ0K1tCgCAZ1HQN/EXYSkdPvlR8oJHhm +nb3usJR3hvX2gbGaMjZd2hxWjHVfuJkZW1opaLBvmWYWDqZB3h5VzUKF96CA1Si0XSsMofU2Z2Gf +4ckSRgdnmEquussB6+kt2PY+K3WTFYgptyDyqAiF5wPv3mfHNKveFSp55G9v+VPNt9p4P8yFlysU +o/uMJxaW8banTYUp2keG8QguHmX9+blP4drROFaDBcdLv7l3SRrI3oELJWDDGQFrdgDvBYE0aV0G +sem8Z8UYg1MeLJBVjvEXcTTLK+OzbsqoTlArAoTHLOQVTesyLPxMyv92YeelXX0JAZBeBAc9soYJ +3CP0QdVgrTcyGdmBgWNPSMTjvPfuAkbPGLeYA8psBPy3hooHD20hf3lMHCi2g7rMHXZ0PwDmnxCv +yQDvFOtqHTkWVkG30fzSu+zl+Ff8PSZUdaA8jdX1hR0EEhLPwa2HO0AJ0R038z2oHbaHrmKcgywa +lyfgCHgeeHAZJ4QwyoBibGAqQoErwjbIhfLbsWf9YB9HjFCNSQ2dEuaRRjCiCLJIKcBrK7Io0hVq +pMql2f9dD2U2Wmz5U0MLxxg5+cFnDpAuHHEBSovy36tcgAyTXw1qnR9EfEj+R+LZ/gsN8b0N6q/D +gCQ1wxe3J2aB0k+1gsM1RGXAj7LGdUxT3OfZwb5MbQ+GWA1DVJqxBXhtQdXqgW3+J3OyPUKkn+WP +xImqOi4ZAKhhvvQWz96wg7+j5eUhYn5gL6sGvzUhypK1xANGiT5XAsK4I2iy533hPXN5RvKLG1I0 +40M0SjDEVBXOkAOoSbubj0dUu8qxd2/p0XwLvPd8efXG8KyY8zlLJeVxAaOQL59jUKA29ahr81oa +vMPSjE1IrARGePgj4gj2+gssjwnkRG/MMaql6tFzgLoK20AbZYIChAh12SDjCXncfJddVurhj0iS +0UW/76SH0icF1Lwh/kH9erHDzWyr5PcNva3fb6SRy8+lONpPsXhTSu4fHWKqkySRH9wlRBL4Kkbj +Y5K7zVFBAWbF8uEmEszysQlNPQyjj9OZ48gLBMfHhSzDU/YPPPeaZpDlZ8W/oGrlmWIIcDIzT1U3 +cmhADL2pN8c15L+lPiTbeCEI5ciPtqBd/h9KaLanNQZ7Qj5kvtKF0eDZ1b0Ibl0+NeXa2nzE1ots +zyB1O5aO1/kVJcyOj66hw81k/k4OfrrbdPs3UX3lnSsn42XuS7o9Utlclh2psCV1xyoK1WRspOVI +KijKneErtbdojN+4uV+/gSgDj2wSd+JsQJ+bB6Mn7hxU/F+4EhtF2V1oPOB6Ehjr48757HQJMI5l +N1auu6i7ygD7ezINZpqfoIvQwh0lwEfjHvJIjpFFr37t1KbG6zd2B0KYUW9EO4HtCNjeKG/UdYR1 +kcQkgYXHL1a1E4aA1mWyAuIHbiAW7fvVa5sVtoB2XdHKheWDAq+kc77COdHJNpOaXFmqth0HdRyC +HWXfH6HRkCrO5OC/PBzd2S7WJjai+nSaL+uI5iENMiaWhf8WHR5N686wKmUrrr0ufypF8MfhZE7R +Tdol327qrHZr/NfpYYoDOklYFSmOg//jcdOlBIPNVLVMBOHtcqRLbkh+ZOqrrGLX5IszEsxBEr7/ +TQjkjWzsyuZdV069p9SpLe6E0O51Omo/6L1siLYWY08xLEcZgFyrIMIc4aY+zgvQoTtq0N7qj67s +/jHf/ilDJ1E3wL8RiPFhbmll58QC1ugFoJbNMFtXg9acFfpEC+omDDfS7fVSz3/LXtpkPro9OCIz +a4OXMWx9/apR9Tl52k1bxbmwbvOrTHtKn90fyjRH3X7ujcxcXuAl9mdquuVSFOn/Xmt6DNLi3LUZ +Z+HTdVJ2oDh6cMwaCTmZOLSOKHxGUE2dEF3qbn1Mvd4Cs4Kh7u9X0DJbYqpQYqMOzhw+BZAxsisM +CG2Bw0hGjDMXlHBH5FOYazFCQV3edXQJqmpVKmu3hfEe1blDpICYFcT5XIgGqlSg1D+ThKqw741I +nNdft615gZrAr+9QFHZBcwYTD0tSEjvorP5FxW6LNpSoTL3uHNbnQvxZJbcvquzTi2WW+mSSSBUD +sMztyfVIXdblRkbwaNgRUpjVM17S3Jnynv+4crI3vhFEtHsa3qqZkxc4G2Tw0+UDnJeSBltz/uXS +6fYxJlcZzjxNPRhmuJ9M206rFSViSyPW/3cnn6fCR/yxMmY0v89W1lrROU0lszdKqRuFPslqr+wZ +57ywk5xPgGsHCeGQKrhuGQAxsU91now211PYimuaVz1ntY30eyPFt8EUfsa70EfoxSLloM/FwUqW +J++lXhr3vZ1po7AmNWhQEG9UoiUJfR+xy+XeBF0W9JBsx+k3zO3mZw06shE5Gdtk2UZuQlIFw036 +OVNtQqa3RCS+6TTnRe4oUx6x+/AijE3vZeBGlVeeiOsDTO5lHt7ZDUQdn7hSaeiUJ7EJ/4sEar9q ++37Gf0oat//cppB1rTxCw+MgsWHbnmlvtBK+urRfVnNM6tS1nxXc6wbYG6XY7QgdAigQFUSzavcl +Y9OXtG7JtV9D18NlczCyq0nXcBLAbiDvc2nuXbX6M793YKdjyS5UE4W60IQymhrbAiu1vmvRMkzS +I4HfrbAeWqm4Z6iQkhu5alIjTbei8HaqHGjWtahjsRTnmnPY4FVLsTYD9GZJm2NY6unQnEqtUYqJ +3UScNxM8MkqrfZ56s2mfCiFYsHZ0NC994QbylU7YAunX0Q4ao8/fABbS60wwiHbYG+duFh/Ch5d2 +HHj4LE9f/RiGtMQ9kV5JqjEdqrie4b5AWZoJQdrnKIyiDCTI4pvtLCk0dSna1kL+3et90iSkFzCK +0CZdhPm1HlSuYFGf+nq8TsxS/VH2ERaZeJ6/c3AsizPKgd6kdbsA9zt3qmZ4XS20JMbgetQlymWl +7F9DtsUGq1u/X71/6DpbtIlVNufvr/wy6Lglf9757XRboBMGZiCvWH4uIjq0qI2zx0yU43GwfKh8 +A/H4Nt5E77U96+GalNkZFnBXbl2eHBHSZPOcJLbfVClgk4Q9XXG0rwNUi02jPau6mx+cQXFZ8ImX +dvwcj1A40AmQq1WX8Zi0+K5J1RreQbAEFSjRk0so9R1Hv6yiXO1pMLppQuZfpu6vEWgr7OO+UUcZ +YwyloQoW `pragma protect end_protected diff --git a/src/hdl/test_fpga_project/impl/gwsynthesis/test_fpga_project_syn.rpt.html b/src/hdl/test_fpga_project/impl/gwsynthesis/test_fpga_project_syn.rpt.html index 8382e8f..0e3d3d3 100644 --- a/src/hdl/test_fpga_project/impl/gwsynthesis/test_fpga_project_syn.rpt.html +++ b/src/hdl/test_fpga_project/impl/gwsynthesis/test_fpga_project_syn.rpt.html @@ -95,7 +95,7 @@ C:\Projects\In Progress\HyperNet\src\hdl\test_fpga_project\src\aes3tx2.vhd Created Time -Sat Oct 4 23:28:21 2025 +Mon Oct 6 00:25:46 2025 @@ -111,7 +111,7 @@ C:\Projects\In Progress\HyperNet\src\hdl\test_fpga_project\src\aes3tx2.vhd Synthesis Process -Running parser:
    CPU time = 0h 0m 0.39s, Elapsed time = 0h 0m 0.38s, Peak memory usage = 336.965MB
Running netlist conversion:
    CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0s, Peak memory usage = 0MB
Running device independent optimization:
    Optimizing Phase 0: CPU time = 0h 0m 0.281s, Elapsed time = 0h 0m 0.286s, Peak memory usage = 336.965MB
    Optimizing Phase 1: CPU time = 0h 0m 0.093s, Elapsed time = 0h 0m 0.096s, Peak memory usage = 336.965MB
    Optimizing Phase 2: CPU time = 0h 0m 0.343s, Elapsed time = 0h 0m 0.315s, Peak memory usage = 336.965MB
Running inference:
    Inferring Phase 0: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.023s, Peak memory usage = 336.965MB
    Inferring Phase 1: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.003s, Peak memory usage = 336.965MB
    Inferring Phase 2: CPU time = 0h 0m 0.015s, Elapsed time = 0h 0m 0.012s, Peak memory usage = 336.965MB
    Inferring Phase 3: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.006s, Peak memory usage = 336.965MB
Running technical mapping:
    Tech-Mapping Phase 0: CPU time = 0h 0m 0.109s, Elapsed time = 0h 0m 0.092s, Peak memory usage = 336.965MB
    Tech-Mapping Phase 1: CPU time = 0h 0m 0.031s, Elapsed time = 0h 0m 0.03s, Peak memory usage = 336.965MB
    Tech-Mapping Phase 2: CPU time = 0h 0m 0.015s, Elapsed time = 0h 0m 0.016s, Peak memory usage = 336.965MB
    Tech-Mapping Phase 3: CPU time = 0h 0m 1s, Elapsed time = 0h 0m 1s, Peak memory usage = 336.965MB
    Tech-Mapping Phase 4: CPU time = 0h 0m 0.125s, Elapsed time = 0h 0m 0.127s, Peak memory usage = 336.965MB
Generate output files:
    CPU time = 0h 0m 0.156s, Elapsed time = 0h 0m 0.159s, Peak memory usage = 336.965MB
+Running parser:
    CPU time = 0h 0m 0.359s, Elapsed time = 0h 0m 0.475s, Peak memory usage = 336.965MB
Running netlist conversion:
    CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0s, Peak memory usage = 0MB
Running device independent optimization:
    Optimizing Phase 0: CPU time = 0h 0m 0.234s, Elapsed time = 0h 0m 0.257s, Peak memory usage = 336.965MB
    Optimizing Phase 1: CPU time = 0h 0m 0.093s, Elapsed time = 0h 0m 0.091s, Peak memory usage = 336.965MB
    Optimizing Phase 2: CPU time = 0h 0m 0.312s, Elapsed time = 0h 0m 0.301s, Peak memory usage = 336.965MB
Running inference:
    Inferring Phase 0: CPU time = 0h 0m 0.015s, Elapsed time = 0h 0m 0.023s, Peak memory usage = 336.965MB
    Inferring Phase 1: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.003s, Peak memory usage = 336.965MB
    Inferring Phase 2: CPU time = 0h 0m 0.015s, Elapsed time = 0h 0m 0.012s, Peak memory usage = 336.965MB
    Inferring Phase 3: CPU time = 0h 0m 0.015s, Elapsed time = 0h 0m 0.007s, Peak memory usage = 336.965MB
Running technical mapping:
    Tech-Mapping Phase 0: CPU time = 0h 0m 0.093s, Elapsed time = 0h 0m 0.087s, Peak memory usage = 336.965MB
    Tech-Mapping Phase 1: CPU time = 0h 0m 0.031s, Elapsed time = 0h 0m 0.029s, Peak memory usage = 336.965MB
    Tech-Mapping Phase 2: CPU time = 0h 0m 0.015s, Elapsed time = 0h 0m 0.015s, Peak memory usage = 336.965MB
    Tech-Mapping Phase 3: CPU time = 0h 0m 1s, Elapsed time = 0h 0m 1s, Peak memory usage = 336.965MB
    Tech-Mapping Phase 4: CPU time = 0h 0m 0.109s, Elapsed time = 0h 0m 0.123s, Peak memory usage = 336.965MB
Generate output files:
    CPU time = 0h 0m 0.187s, Elapsed time = 0h 0m 0.154s, Peak memory usage = 336.965MB
Total Time and Memory Usage diff --git a/src/hdl/test_fpga_project/impl/pnr/test_fpga_project.binx b/src/hdl/test_fpga_project/impl/pnr/test_fpga_project.binx index 3dbeaad..5ca6ced 100644 Binary files a/src/hdl/test_fpga_project/impl/pnr/test_fpga_project.binx and b/src/hdl/test_fpga_project/impl/pnr/test_fpga_project.binx differ diff --git a/src/hdl/test_fpga_project/impl/pnr/test_fpga_project.fs b/src/hdl/test_fpga_project/impl/pnr/test_fpga_project.fs index 9e14ad1..5189a65 100644 --- a/src/hdl/test_fpga_project/impl/pnr/test_fpga_project.fs +++ b/src/hdl/test_fpga_project/impl/pnr/test_fpga_project.fs @@ -17,7 +17,7 @@ //SecureMode: OFF //JTAGAsRegularIO: OFF //MultiBootSPIAddr: 0x00000000 -//Created Time: Sat Oct 4 23:28:27 2025 +//Created Time: Mon Oct 6 00:25:52 2025 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 1111111111111111 1010010111000011 diff --git a/src/hdl/test_fpga_project/impl/pnr/test_fpga_project.log b/src/hdl/test_fpga_project/impl/pnr/test_fpga_project.log index 6fe067e..27abcd7 100644 --- a/src/hdl/test_fpga_project/impl/pnr/test_fpga_project.log +++ b/src/hdl/test_fpga_project/impl/pnr/test_fpga_project.log @@ -28,5 +28,5 @@ Generate file "C:\Projects\In Progress\HyperNet\src\hdl\test_fpga_project\impl\p Generate file "C:\Projects\In Progress\HyperNet\src\hdl\test_fpga_project\impl\pnr\test_fpga_project.rpt.html" completed Generate file "C:\Projects\In Progress\HyperNet\src\hdl\test_fpga_project\impl\pnr\test_fpga_project.rpt.txt" completed Generate file "C:\Projects\In Progress\HyperNet\src\hdl\test_fpga_project\impl\pnr\test_fpga_project.tr.html" completed -Sat Oct 4 23:28:28 2025 +Mon Oct 6 00:25:52 2025 diff --git a/src/hdl/test_fpga_project/impl/pnr/test_fpga_project.pin.html b/src/hdl/test_fpga_project/impl/pnr/test_fpga_project.pin.html index 23adddd..1932e7f 100644 --- a/src/hdl/test_fpga_project/impl/pnr/test_fpga_project.pin.html +++ b/src/hdl/test_fpga_project/impl/pnr/test_fpga_project.pin.html @@ -78,7 +78,7 @@ table.detail_table th.label { min-width: 8%; width: 8%; } Created Time -Sat Oct 4 23:28:28 2025 +Mon Oct 6 00:25:52 2025 diff --git a/src/hdl/test_fpga_project/impl/pnr/test_fpga_project.power.html b/src/hdl/test_fpga_project/impl/pnr/test_fpga_project.power.html index 47376d7..5ee501d 100644 --- a/src/hdl/test_fpga_project/impl/pnr/test_fpga_project.power.html +++ b/src/hdl/test_fpga_project/impl/pnr/test_fpga_project.power.html @@ -89,7 +89,7 @@ table.detail_table th.label { min-width: 8%; width: 8%; } Created Time -Sat Oct 4 23:28:28 2025 +Mon Oct 6 00:25:52 2025 diff --git a/src/hdl/test_fpga_project/impl/pnr/test_fpga_project.rpt.html b/src/hdl/test_fpga_project/impl/pnr/test_fpga_project.rpt.html index 8c8c2cd..a9c5186 100644 --- a/src/hdl/test_fpga_project/impl/pnr/test_fpga_project.rpt.html +++ b/src/hdl/test_fpga_project/impl/pnr/test_fpga_project.rpt.html @@ -83,7 +83,7 @@ table.detail_table th.label { min-width: 8%; width: 8%; } Created Time -Sat Oct 4 23:28:28 2025 +Mon Oct 6 00:25:52 2025 @@ -97,19 +97,19 @@ table.detail_table th.label { min-width: 8%; width: 8%; } Place & Route Process Running placement: - Placement Phase 0: CPU time = 0h 0m 0.183s, Elapsed time = 0h 0m 0.183s - Placement Phase 1: CPU time = 0h 0m 0.091s, Elapsed time = 0h 0m 0.091s - Placement Phase 2: CPU time = 0h 0m 0.715s, Elapsed time = 0h 0m 0.715s + Placement Phase 0: CPU time = 0h 0m 0.175s, Elapsed time = 0h 0m 0.175s + Placement Phase 1: CPU time = 0h 0m 0.1s, Elapsed time = 0h 0m 0.101s + Placement Phase 2: CPU time = 0h 0m 0.696s, Elapsed time = 0h 0m 0.695s Placement Phase 3: CPU time = 0h 0m 1s, Elapsed time = 0h 0m 1s Total Placement: CPU time = 0h 0m 2s, Elapsed time = 0h 0m 2s Running routing: Routing Phase 0: CPU time = 0h 0m 0.001s, Elapsed time = 0h 0m 0.002s - Routing Phase 1: CPU time = 0h 0m 0.608s, Elapsed time = 0h 0m 0.608s - Routing Phase 2: CPU time = 0h 0m 3s, Elapsed time = 0h 0m 3s + Routing Phase 1: CPU time = 0h 0m 0.583s, Elapsed time = 0h 0m 0.583s + Routing Phase 2: CPU time = 0h 0m 2s, Elapsed time = 0h 0m 2s Routing Phase 3: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0s Total Routing: CPU time = 0h 0m 3s, Elapsed time = 0h 0m 3s Generate output files: - CPU time = 0h 0m 0.743s, Elapsed time = 0h 0m 0.742s + CPU time = 0h 0m 0.727s, Elapsed time = 0h 0m 0.727s diff --git a/src/hdl/test_fpga_project/impl/pnr/test_fpga_project.rpt.txt b/src/hdl/test_fpga_project/impl/pnr/test_fpga_project.rpt.txt index d178fa0..7774a5a 100644 --- a/src/hdl/test_fpga_project/impl/pnr/test_fpga_project.rpt.txt +++ b/src/hdl/test_fpga_project/impl/pnr/test_fpga_project.rpt.txt @@ -12,25 +12,25 @@ : GW1NR-LV9QN88PC6/I5 : GW1NR-9 : C - :Sat Oct 4 23:28:28 2025 + :Mon Oct 6 00:25:52 2025 2. PnR Details Running placement: - Placement Phase 0: CPU time = 0h 0m 0.183s, Elapsed time = 0h 0m 0.183s - Placement Phase 1: CPU time = 0h 0m 0.091s, Elapsed time = 0h 0m 0.091s - Placement Phase 2: CPU time = 0h 0m 0.715s, Elapsed time = 0h 0m 0.715s + Placement Phase 0: CPU time = 0h 0m 0.175s, Elapsed time = 0h 0m 0.175s + Placement Phase 1: CPU time = 0h 0m 0.1s, Elapsed time = 0h 0m 0.101s + Placement Phase 2: CPU time = 0h 0m 0.696s, Elapsed time = 0h 0m 0.695s Placement Phase 3: CPU time = 0h 0m 1s, Elapsed time = 0h 0m 1s Total Placement: CPU time = 0h 0m 2s, Elapsed time = 0h 0m 2s Running routing: Routing Phase 0: CPU time = 0h 0m 0.001s, Elapsed time = 0h 0m 0.002s - Routing Phase 1: CPU time = 0h 0m 0.608s, Elapsed time = 0h 0m 0.608s - Routing Phase 2: CPU time = 0h 0m 3s, Elapsed time = 0h 0m 3s + Routing Phase 1: CPU time = 0h 0m 0.583s, Elapsed time = 0h 0m 0.583s + Routing Phase 2: CPU time = 0h 0m 2s, Elapsed time = 0h 0m 2s Routing Phase 3: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0s Total Routing: CPU time = 0h 0m 3s, Elapsed time = 0h 0m 3s Generate output files: - CPU time = 0h 0m 0.743s, Elapsed time = 0h 0m 0.742s + CPU time = 0h 0m 0.727s, Elapsed time = 0h 0m 0.727s Total Time and Memory Usage: CPU time = 0h 0m 6s, Elapsed time = 0h 0m 6s, Peak memory usage = 336MB diff --git a/src/hdl/test_fpga_project/impl/pnr/test_fpga_project_tr_content.html b/src/hdl/test_fpga_project/impl/pnr/test_fpga_project_tr_content.html index d656a7e..2210911 100644 --- a/src/hdl/test_fpga_project/impl/pnr/test_fpga_project_tr_content.html +++ b/src/hdl/test_fpga_project/impl/pnr/test_fpga_project_tr_content.html @@ -55,7 +55,7 @@ table.detail_table th.label { min-width: 8%; width: 8%; } Created Time -Sat Oct 4 23:28:28 2025 +Mon Oct 6 00:25:52 2025 diff --git a/src/hdl/test_fpga_project/test_fpga_project.gprj.user b/src/hdl/test_fpga_project/test_fpga_project.gprj.user index 5748e2e..a223b8b 100644 --- a/src/hdl/test_fpga_project/test_fpga_project.gprj.user +++ b/src/hdl/test_fpga_project/test_fpga_project.gprj.user @@ -22,6 +22,6 @@ - 000000ff00000001fd000000020000000000000186000002c9fc0200000002fc00000037000000ec0000000000fffffffa000000000200000001fb00000030004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00440065007300690067006e0100000000ffffffff0000000000000000fb00000030004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00440065007300690067006e0100000037000002c90000006200ffffff000000030000078000000151fc0100000002fb00000032004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00500072006f00630065007300730100000000000001190000005100fffffffc0000011d00000663000000a100fffffffa000000000100000003fb0000002e004600700067006100500072006f006a006500630074002e00500061006e0065006c002e004900730073007500650100000000ffffffff000000a100fffffffb00000036004600700067006100500072006f006a006500630074002e00500061006e0065006c002e0048006900650072006100720063006800790100000000ffffffff0000008c00fffffffb00000032004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00470065006e006500720061006c0100000000ffffffff0000005100ffffff000005f6000002c900000004000000040000000800000008fc000000010000000200000004000000220043006f00720065002e0054006f006f006c006200610072002e00460069006c00650100000000ffffffff0000000000000000000000220043006f00720065002e0054006f006f006c006200610072002e004500640069007401000000adffffffff0000000000000000000000240043006f00720065002e0054006f006f006c006200610072002e0054006f006f006c0073010000017fffffffff0000000000000000000000280043006f00720065002e0054006f006f006c006200610072002e00500072006f00630065007300730100000245ffffffff0000000000000000 + 000000ff00000001fd000000020000000000000186000002c9fc0200000002fc00000037000000ec0000000000fffffffa000000000200000001fb00000030004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00440065007300690067006e0100000000ffffffff0000000000000000fb00000030004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00440065007300690067006e0100000037000002c90000000000000000000000030000078000000151fc0100000002fb00000032004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00500072006f00630065007300730100000000000001190000000000000000fc0000011d00000663000000a100fffffffa000000000100000003fb0000002e004600700067006100500072006f006a006500630074002e00500061006e0065006c002e004900730073007500650100000000ffffffff000000a100fffffffb00000036004600700067006100500072006f006a006500630074002e00500061006e0065006c002e0048006900650072006100720063006800790100000000ffffffff0000000000000000fb00000032004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00470065006e006500720061006c0100000000ffffffff0000005100ffffff000005f6000002c900000004000000040000000800000008fc000000010000000200000004000000220043006f00720065002e0054006f006f006c006200610072002e00460069006c00650100000000ffffffff0000000000000000000000220043006f00720065002e0054006f006f006c006200610072002e004500640069007401000000adffffffff0000000000000000000000240043006f00720065002e0054006f006f006c006200610072002e0054006f006f006c0073010000017fffffffff0000000000000000000000280043006f00720065002e0054006f006f006c006200610072002e00500072006f00630065007300730100000245ffffffff0000000000000000 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