Stable HDL code for V2
This commit is contained in:
parent
ab7ec343d4
commit
190be77999
27
src/hdl/fpga_hypernet_dir/fpga_hypernet_dir.gprj
Normal file
27
src/hdl/fpga_hypernet_dir/fpga_hypernet_dir.gprj
Normal file
@ -0,0 +1,27 @@
|
||||
<?xml version="1" encoding="UTF-8"?>
|
||||
<!DOCTYPE gowin-fpga-project>
|
||||
<Project>
|
||||
<Template>FPGA</Template>
|
||||
<Version>5</Version>
|
||||
<Device name="GW1NR-9C" pn="GW1NR-LV9QN88PC6/I5">gw1nr9c-004</Device>
|
||||
<FileList>
|
||||
<File path="src/main.v" type="file.verilog" enable="1"/>
|
||||
<File path="src/main_rx.v" type="file.verilog" enable="1"/>
|
||||
<File path="src/main_tx.v" type="file.verilog" enable="1"/>
|
||||
<File path="src/blinky.vhd" type="file.vhdl" enable="1"/>
|
||||
<File path="src/gowin_clkdiv/gowin_clkdiv2.vhd" type="file.vhdl" enable="1"/>
|
||||
<File path="src/gowin_clkdiv/gowin_clkdiv4.vhd" type="file.vhdl" enable="1"/>
|
||||
<File path="src/gowin_clkdiv/gowin_clkdiv5.vhd" type="file.vhdl" enable="1"/>
|
||||
<File path="src/gowin_fifo/gowin_fifo24.vhd" type="file.vhdl" enable="1"/>
|
||||
<File path="src/gowin_rpll/gowin_rpll_123M.vhd" type="file.vhdl" enable="1"/>
|
||||
<File path="src/gowin_spdif_tx/gowin_spdif_tx.vhd" type="file.vhdl" enable="1"/>
|
||||
<File path="src/hypernet_demux.vhd" type="file.vhdl" enable="1"/>
|
||||
<File path="src/hypernet_mux.vhd" type="file.vhdl" enable="1"/>
|
||||
<File path="src/hypernet_synced_i2s_clocks.vhd" type="file.vhdl" enable="1"/>
|
||||
<File path="src/hypernet_tx_channel_status.vhd" type="file.vhdl" enable="1"/>
|
||||
<File path="src/i2s_quad_receiver.vhd" type="file.vhdl" enable="1"/>
|
||||
<File path="src/i2s_quad_transmitter.vhd" type="file.vhdl" enable="1"/>
|
||||
<File path="src/fpga_hypernet_dir.cst" type="file.cst" enable="1"/>
|
||||
<File path="src/fpga_hypernet_dir.sdc" type="file.sdc" enable="1"/>
|
||||
</FileList>
|
||||
</Project>
|
||||
27
src/hdl/fpga_hypernet_dir/fpga_hypernet_dir.gprj.user
Normal file
27
src/hdl/fpga_hypernet_dir/fpga_hypernet_dir.gprj.user
Normal file
@ -0,0 +1,27 @@
|
||||
<?xml version="1" encoding="UTF-8"?>
|
||||
<!DOCTYPE ProjectUserData>
|
||||
<UserConfig>
|
||||
<Version>1.0</Version>
|
||||
<FlowState>
|
||||
<Process ID="Synthesis" State="2"/>
|
||||
<Process ID="Pnr" State="2"/>
|
||||
<Process ID="Gao" State="2"/>
|
||||
<Process ID="Rtl_Gao" State="2"/>
|
||||
<Process ID="Gvio" State="0"/>
|
||||
<Process ID="Place" State="2"/>
|
||||
</FlowState>
|
||||
<ResultFileList>
|
||||
<ResultFile ResultFileType="RES.netlist" ResultFilePath="impl/gwsynthesis/fpga_hypernet_dir.vg"/>
|
||||
<ResultFile ResultFileType="RES.pnr.bitstream" ResultFilePath="impl/pnr/fpga_hypernet_dir.fs"/>
|
||||
<ResultFile ResultFileType="RES.pnr.pin.rpt" ResultFilePath="impl/pnr/fpga_hypernet_dir.pin.html"/>
|
||||
<ResultFile ResultFileType="RES.pnr.posp.bin" ResultFilePath="impl/pnr/fpga_hypernet_dir.db"/>
|
||||
<ResultFile ResultFileType="RES.pnr.pwr.rpt" ResultFilePath="impl/pnr/fpga_hypernet_dir.power.html"/>
|
||||
<ResultFile ResultFileType="RES.pnr.report" ResultFilePath="impl/pnr/fpga_hypernet_dir.rpt.html"/>
|
||||
<ResultFile ResultFileType="RES.pnr.timing.paths" ResultFilePath="impl/pnr/fpga_hypernet_dir.timing_paths"/>
|
||||
<ResultFile ResultFileType="RES.pnr.timing.rpt" ResultFilePath="impl/pnr/fpga_hypernet_dir.tr.html"/>
|
||||
<ResultFile ResultFileType="RES.syn.report" ResultFilePath="impl/gwsynthesis/fpga_hypernet_dir_syn.rpt.html"/>
|
||||
<ResultFile ResultFileType="RES.syn.resource" ResultFilePath="impl/gwsynthesis/fpga_hypernet_dir_syn_rsc.xml"/>
|
||||
</ResultFileList>
|
||||
<Ui>000000ff00000001fd0000000200000000000001740000030ffc0200000002fc000000370000030f0000006200fffffffa000000000200000001fb00000030004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00440065007300690067006e0100000000ffffffff0000006200fffffffb00000030004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00440065007300690067006e01000000370000030f000000000000000000000003000007800000010bfc0100000002fc0000000000000178000000ef00fffffffa000000000200000002fb00000032004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00500072006f00630065007300730100000000ffffffff0000005e00fffffffb00000036004600700067006100500072006f006a006500630074002e00500061006e0065006c002e004800690065007200610072006300680079010000034a0000010b0000007c00fffffffc0000017c00000604000000a100fffffffa000000000100000002fb0000002e004600700067006100500072006f006a006500630074002e00500061006e0065006c002e004900730073007500650100000000ffffffff000000a100fffffffb00000032004600700067006100500072006f006a006500630074002e00500061006e0065006c002e00470065006e006500720061006c0100000000ffffffff0000005100ffffff000006080000030f00000004000000040000000800000008fc000000010000000200000004000000220043006f00720065002e0054006f006f006c006200610072002e00460069006c00650100000000ffffffff0000000000000000000000220043006f00720065002e0054006f006f006c006200610072002e004500640069007401000000adffffffff0000000000000000000000240043006f00720065002e0054006f006f006c006200610072002e0054006f006f006c0073010000017fffffffff0000000000000000000000280043006f00720065002e0054006f006f006c006200610072002e00500072006f00630065007300730100000245ffffffff0000000000000000</Ui>
|
||||
<FpUi>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</FpUi>
|
||||
</UserConfig>
|
||||
@ -0,0 +1,92 @@
|
||||
{
|
||||
"BACKGROUND_PROGRAMMING" : "off",
|
||||
"COMPRESS" : false,
|
||||
"CPU" : false,
|
||||
"CRC_CHECK" : true,
|
||||
"Clock_Route_Order" : 0,
|
||||
"Convert_SDP32_36_to_SDP16_18" : true,
|
||||
"Correct_Hold_Violation" : true,
|
||||
"DONE" : true,
|
||||
"DOWNLOAD_SPEED" : "default",
|
||||
"Disable_Insert_Pad" : false,
|
||||
"ENABLE_CTP" : false,
|
||||
"ENABLE_MERGE_MODE" : false,
|
||||
"ENCRYPTION_KEY" : false,
|
||||
"ENCRYPTION_KEY_TEXT" : "00000000000000000000000000000000",
|
||||
"ERROR_DECTION_AND_CORRECTION" : false,
|
||||
"ERROR_DECTION_ONLY" : false,
|
||||
"ERROR_INJECTION" : false,
|
||||
"EXTERNAL_MASTER_CONFIG_CLOCK" : false,
|
||||
"Enable_DSRM" : false,
|
||||
"FORMAT" : "binary",
|
||||
"FREQUENCY_DIVIDER" : "1",
|
||||
"Generate_Constraint_File_of_Ports" : false,
|
||||
"Generate_IBIS_File" : false,
|
||||
"Generate_Plain_Text_Timing_Report" : false,
|
||||
"Generate_Post_PNR_Simulation_Model_File" : false,
|
||||
"Generate_Post_Place_File" : false,
|
||||
"Generate_SDF_File" : false,
|
||||
"Generate_VHDL_Post_PNR_Simulation_Model_File" : false,
|
||||
"Global_Freq" : "default",
|
||||
"GwSyn_Loop_Limit" : 2000,
|
||||
"HOTBOOT" : false,
|
||||
"I2C" : false,
|
||||
"I2C_SLAVE_ADDR" : "00",
|
||||
"INCREMENTAL_PLACE_AND_ROUTING" : "0",
|
||||
"INCREMENTAL_PLACE_ONLY" : "0",
|
||||
"IncludePath" : [
|
||||
|
||||
],
|
||||
"Incremental_Compile" : "",
|
||||
"Initialize_Primitives" : false,
|
||||
"JTAG" : false,
|
||||
"MODE_IO" : false,
|
||||
"MSPI" : true,
|
||||
"MSPI_JUMP" : false,
|
||||
"MULTIBOOT_ADDRESS_WIDTH" : "24",
|
||||
"MULTIBOOT_MODE" : "Normal",
|
||||
"MULTIBOOT_SPI_FLASH_ADDRESS" : "00000000",
|
||||
"MULTIJUMP_ADDRESS_WIDTH" : "24",
|
||||
"MULTIJUMP_MODE" : "Normal",
|
||||
"MULTIJUMP_SPI_FLASH_ADDRESS" : "000000",
|
||||
"Multi_Boot" : true,
|
||||
"OUTPUT_BASE_NAME" : "fpga_hypernet_dir",
|
||||
"POWER_ON_RESET_MONITOR" : true,
|
||||
"PRINT_BSRAM_VALUE" : true,
|
||||
"PROGRAM_DONE_BYPASS" : false,
|
||||
"PlaceInRegToIob" : true,
|
||||
"PlaceIoRegToIob" : true,
|
||||
"PlaceOutRegToIob" : true,
|
||||
"Place_Option" : "0",
|
||||
"Process_Configuration_Verion" : "1.0",
|
||||
"Promote_Physical_Constraint_Warning_to_Error" : true,
|
||||
"READY" : true,
|
||||
"RECONFIG_N" : false,
|
||||
"Ram_RW_Check" : false,
|
||||
"Replicate_Resources" : false,
|
||||
"Report_Auto-Placed_Io_Information" : false,
|
||||
"Route_Maxfan" : 23,
|
||||
"Route_Option" : "0",
|
||||
"Run_Timing_Driven" : true,
|
||||
"SECURE_MODE" : false,
|
||||
"SECURITY_BIT" : true,
|
||||
"SEU_HANDLER" : false,
|
||||
"SEU_HANDLER_CHECKSUM" : false,
|
||||
"SEU_HANDLER_MODE" : "auto",
|
||||
"SSPI" : true,
|
||||
"STOP_SEU_HANDLER" : false,
|
||||
"Show_All_Warnings" : false,
|
||||
"Synthesize_tool" : "GowinSyn",
|
||||
"TclPre" : "",
|
||||
"TopModule" : "top",
|
||||
"USERCODE" : "default",
|
||||
"Unused_Pin" : "As_input_tri_stated_with_pull_up",
|
||||
"VCC" : "1.2",
|
||||
"VCCAUX" : 3.3,
|
||||
"VCCX" : "3.3",
|
||||
"VHDL_Standard" : "VHDL_Std_2008",
|
||||
"Verilog_Standard" : "Vlg_Std_2001",
|
||||
"WAKE_UP" : "0",
|
||||
"show_all_warnings" : false,
|
||||
"turn_off_bg" : false
|
||||
}
|
||||
131
src/hdl/fpga_hypernet_dir/impl/gwsynthesis/fpga_hypernet_dir.log
Normal file
131
src/hdl/fpga_hypernet_dir/impl/gwsynthesis/fpga_hypernet_dir.log
Normal file
@ -0,0 +1,131 @@
|
||||
GowinSynthesis start
|
||||
Running parser ...
|
||||
Analyzing Verilog file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v'
|
||||
Undeclared symbol 'clk_123M', assumed default net type 'wire'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":45)
|
||||
Analyzing Verilog file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_rx.v'
|
||||
Analyzing Verilog file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_tx.v'
|
||||
Analyzing VHDL file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\blinky.vhd'
|
||||
Analyzing entity 'led_blinker'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\blinky.vhd":5)
|
||||
Analyzing architecture 'rtl'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\blinky.vhd":14)
|
||||
Analyzing VHDL file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_clkdiv\gowin_clkdiv2.vhd'
|
||||
Analyzing entity 'gowin_clkdiv2'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_clkdiv\gowin_clkdiv2.vhd":13)
|
||||
Analyzing architecture 'behavioral'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_clkdiv\gowin_clkdiv2.vhd":21)
|
||||
Analyzing VHDL file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_clkdiv\gowin_clkdiv4.vhd'
|
||||
Analyzing entity 'gowin_clkdiv4'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_clkdiv\gowin_clkdiv4.vhd":13)
|
||||
Analyzing architecture 'behavioral'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_clkdiv\gowin_clkdiv4.vhd":21)
|
||||
Analyzing VHDL file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_clkdiv\gowin_clkdiv5.vhd'
|
||||
Analyzing entity 'gowin_clkdiv5'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_clkdiv\gowin_clkdiv5.vhd":13)
|
||||
Analyzing architecture 'behavioral'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_clkdiv\gowin_clkdiv5.vhd":21)
|
||||
Analyzing VHDL file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_fifo\gowin_fifo24.vhd'
|
||||
Analyzing entity '\~fifo.gowin_fifo24\'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_fifo\gowin_fifo24.vhd":425)
|
||||
Analyzing architecture 'beh'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_fifo\gowin_fifo24.vhd":425)
|
||||
Analyzing entity 'gowin_fifo24'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_fifo\gowin_fifo24.vhd":425)
|
||||
Analyzing architecture 'beh'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_fifo\gowin_fifo24.vhd":425)
|
||||
Analyzing VHDL file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_rpll\gowin_rpll_123M.vhd'
|
||||
Analyzing entity 'gowin_rpll_123m'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_rpll\gowin_rpll_123M.vhd":13)
|
||||
Analyzing architecture 'behavioral'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_rpll\gowin_rpll_123M.vhd":21)
|
||||
Analyzing VHDL file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\gowin_spdif_tx.vhd'
|
||||
Analyzing entity '\~spdif_tx_control.Gowin_SPDIF_TX\'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\gowin_spdif_tx.vhd":682)
|
||||
Analyzing architecture 'beh'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\gowin_spdif_tx.vhd":682)
|
||||
Analyzing entity '\~spdif_tx_package.Gowin_SPDIF_TX\'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\gowin_spdif_tx.vhd":682)
|
||||
Analyzing architecture 'beh'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\gowin_spdif_tx.vhd":682)
|
||||
Analyzing entity '\~spdif_tx_encode.Gowin_SPDIF_TX\'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\gowin_spdif_tx.vhd":682)
|
||||
Analyzing architecture 'beh'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\gowin_spdif_tx.vhd":682)
|
||||
Analyzing entity '\~spdif_tx_top.Gowin_SPDIF_TX\'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\gowin_spdif_tx.vhd":682)
|
||||
Analyzing architecture 'beh'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\gowin_spdif_tx.vhd":682)
|
||||
Analyzing entity 'gowin_spdif_tx'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\gowin_spdif_tx.vhd":682)
|
||||
Analyzing architecture 'beh'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\gowin_spdif_tx.vhd":682)
|
||||
Analyzing VHDL file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_synced_i2s_clocks.vhd'
|
||||
Analyzing entity 'hypernet_synced_i2s_clocks'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_synced_i2s_clocks.vhd":4)
|
||||
Analyzing architecture 'behavioral'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_synced_i2s_clocks.vhd":19)
|
||||
Analyzing VHDL file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_tx_channel_status.vhd'
|
||||
Analyzing entity 'hypernet_tx_channel_status'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_tx_channel_status.vhd":6)
|
||||
Analyzing architecture 'rtl'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_tx_channel_status.vhd":18)
|
||||
Analyzing VHDL file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\i2s_quad_receiver.vhd'
|
||||
Analyzing entity 'i2s_quad_receiver'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\i2s_quad_receiver.vhd":6)
|
||||
Analyzing architecture 'rtl'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\i2s_quad_receiver.vhd":33)
|
||||
Analyzing VHDL file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\i2s_quad_transmitter.vhd'
|
||||
Analyzing entity 'i2s_quad_transmitter'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\i2s_quad_transmitter.vhd":11)
|
||||
Analyzing architecture 'rtl'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\i2s_quad_transmitter.vhd":43)
|
||||
Analyzing VHDL file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_demux.vhd'
|
||||
Analyzing entity 'hypernet_demux'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_demux.vhd":6)
|
||||
Analyzing architecture 'rtl'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_demux.vhd":27)
|
||||
Analyzing VHDL file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_mux.vhd'
|
||||
Analyzing entity 'hypernet_mux'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_mux.vhd":6)
|
||||
Analyzing architecture 'rtl'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_mux.vhd":28)
|
||||
Compiling module 'top'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":1)
|
||||
Switching to VHDL mode to elaborate design unit 'Gowin_rPLL_123M'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":46)
|
||||
Processing 'Gowin_rPLL_123M(Behavioral)'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_rpll\gowin_rpll_123M.vhd":13)
|
||||
Returning to Verilog mode to proceed with elaboration("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":46)
|
||||
Switching to VHDL mode to elaborate design unit 'Gowin_CLKDIV5'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":52)
|
||||
Processing 'Gowin_CLKDIV5(Behavioral)'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_clkdiv\gowin_clkdiv5.vhd":13)
|
||||
Returning to Verilog mode to proceed with elaboration("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":52)
|
||||
Switching to VHDL mode to elaborate design unit 'Gowin_CLKDIV2'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":58)
|
||||
Processing 'Gowin_CLKDIV2(Behavioral)'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_clkdiv\gowin_clkdiv2.vhd":13)
|
||||
Returning to Verilog mode to proceed with elaboration("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":58)
|
||||
Switching to VHDL mode to elaborate design unit 'led_blinker'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":65)
|
||||
Processing 'led_blinker(rtl)'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\blinky.vhd":5)
|
||||
Returning to Verilog mode to proceed with elaboration("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":65)
|
||||
Compiling module 'main_tx'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_tx.v":5)
|
||||
Switching to VHDL mode to elaborate design unit 'gowin_fifo24'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_tx.v":53)
|
||||
Processing '**(**)'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_fifo\gowin_fifo24.vhd":425)
|
||||
Returning to Verilog mode to proceed with elaboration("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_tx.v":53)
|
||||
Switching to VHDL mode to elaborate design unit 'hypernet_tx_channel_status'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_tx.v":69)
|
||||
Processing 'hypernet_tx_channel_status(rtl)'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_tx_channel_status.vhd":6)
|
||||
Returning to Verilog mode to proceed with elaboration("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_tx.v":69)
|
||||
Switching to VHDL mode to elaborate design unit 'Gowin_SPDIF_TX'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_tx.v":93)
|
||||
Processing '**(**)'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\gowin_spdif_tx.vhd":682)
|
||||
Returning to Verilog mode to proceed with elaboration("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_tx.v":93)
|
||||
Switching to VHDL mode to elaborate design unit 'hypernet_synced_i2s_clocks'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_tx.v":107)
|
||||
Processing 'hypernet_synced_i2s_clocks(Behavioral)'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_synced_i2s_clocks.vhd":4)
|
||||
Returning to Verilog mode to proceed with elaboration("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_tx.v":107)
|
||||
Switching to VHDL mode to elaborate design unit 'i2s_quad_receiver'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_tx.v":145)
|
||||
Processing 'i2s_quad_receiver(rtl)'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\i2s_quad_receiver.vhd":6)
|
||||
Returning to Verilog mode to proceed with elaboration("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_tx.v":145)
|
||||
Switching to VHDL mode to elaborate design unit 'hypernet_mux'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_tx.v":166)
|
||||
Processing 'hypernet_mux(rtl)'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_mux.vhd":6)
|
||||
Returning to Verilog mode to proceed with elaboration("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_tx.v":166)
|
||||
Switching to VHDL mode to elaborate design unit 'led_blinker'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":89)
|
||||
Returning to Verilog mode to proceed with elaboration("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":89)
|
||||
Compiling module 'main_rx'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_rx.v":12)
|
||||
Switching to VHDL mode to elaborate design unit 'hypernet_demux'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_rx.v":61)
|
||||
Processing 'hypernet_demux(rtl)'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_demux.vhd":6)
|
||||
Returning to Verilog mode to proceed with elaboration("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_rx.v":61)
|
||||
Switching to VHDL mode to elaborate design unit 'Gowin_CLKDIV4'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_rx.v":69)
|
||||
Processing 'Gowin_CLKDIV4(Behavioral)'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_clkdiv\gowin_clkdiv4.vhd":13)
|
||||
Returning to Verilog mode to proceed with elaboration("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_rx.v":69)
|
||||
Switching to VHDL mode to elaborate design unit 'hypernet_synced_i2s_clocks'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_rx.v":79)
|
||||
Returning to Verilog mode to proceed with elaboration("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_rx.v":79)
|
||||
Switching to VHDL mode to elaborate design unit 'i2s_quad_transmitter'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_rx.v":107)
|
||||
Processing 'i2s_quad_transmitter(rtl)'("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\i2s_quad_transmitter.vhd":11)
|
||||
Returning to Verilog mode to proceed with elaboration("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_rx.v":107)
|
||||
NOTE (EX0101) : Current top module is "top"
|
||||
WARN (EX0211) : The output port "debug1" of module "top" has no driver, assigning undriven bits to Z, simulation mismatch possible("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":35)
|
||||
WARN (EX0211) : The output port "debug2" of module "top" has no driver, assigning undriven bits to Z, simulation mismatch possible("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":36)
|
||||
WARN (EX0211) : The output port "debug3" of module "top" has no driver, assigning undriven bits to Z, simulation mismatch possible("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":37)
|
||||
WARN (EX0211) : The output port "debug4" of module "top" has no driver, assigning undriven bits to Z, simulation mismatch possible("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":38)
|
||||
[5%] Running netlist conversion ...
|
||||
WARN (CV0016) : Input sys_key_b is unused("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":4)
|
||||
WARN (CV0016) : Input audio_clk is unused("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":6)
|
||||
WARN (CV0016) : Input dir_bframe is unused("C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v":12)
|
||||
Running device independent optimization ...
|
||||
[10%] Optimizing Phase 0 completed
|
||||
[15%] Optimizing Phase 1 completed
|
||||
[25%] Optimizing Phase 2 completed
|
||||
Running inference ...
|
||||
[30%] Inferring Phase 0 completed
|
||||
[40%] Inferring Phase 1 completed
|
||||
[50%] Inferring Phase 2 completed
|
||||
[55%] Inferring Phase 3 completed
|
||||
Running technical mapping ...
|
||||
[60%] Tech-Mapping Phase 0 completed
|
||||
[65%] Tech-Mapping Phase 1 completed
|
||||
[75%] Tech-Mapping Phase 2 completed
|
||||
[80%] Tech-Mapping Phase 3 completed
|
||||
[90%] Tech-Mapping Phase 4 completed
|
||||
[95%] Generate netlist file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\impl\gwsynthesis\fpga_hypernet_dir.vg" completed
|
||||
WARN (CK3000) : Can't calculate clocks' relationship between: "main_tx_1_inst/clocks/i2s_in_bclk_d" and "clk2/clkdiv_inst/CLKOUT.default_gen_clk"
|
||||
WARN (CK3000) : Can't calculate clocks' relationship between: "clk2/clkdiv_inst/CLKOUT.default_gen_clk" and "main_tx_1_inst/clocks/i2s_in_bclk_d"
|
||||
WARN (CK3000) : Can't calculate clocks' relationship between: "main_tx_1_inst/gowin_fifo/fifo_inst/n9" and "clk2/clkdiv_inst/CLKOUT.default_gen_clk"
|
||||
[100%] Generate report file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\impl\gwsynthesis\fpga_hypernet_dir_syn.rpt.html" completed
|
||||
GowinSynthesis finish
|
||||
@ -0,0 +1,37 @@
|
||||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<!DOCTYPE gowin-synthesis-project>
|
||||
<Project>
|
||||
<Version>beta</Version>
|
||||
<Device id="GW1NR-9C" package="QFN88P" speed="6" partNumber="GW1NR-LV9QN88PC6/I5"/>
|
||||
<FileList>
|
||||
<File path="C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main.v" type="verilog"/>
|
||||
<File path="C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_rx.v" type="verilog"/>
|
||||
<File path="C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\main_tx.v" type="verilog"/>
|
||||
<File path="C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\blinky.vhd" type="vhdl"/>
|
||||
<File path="C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_clkdiv\gowin_clkdiv2.vhd" type="vhdl"/>
|
||||
<File path="C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_clkdiv\gowin_clkdiv4.vhd" type="vhdl"/>
|
||||
<File path="C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_clkdiv\gowin_clkdiv5.vhd" type="vhdl"/>
|
||||
<File path="C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_fifo\gowin_fifo24.vhd" type="vhdl"/>
|
||||
<File path="C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_rpll\gowin_rpll_123M.vhd" type="vhdl"/>
|
||||
<File path="C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\gowin_spdif_tx.vhd" type="vhdl"/>
|
||||
<File path="C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_synced_i2s_clocks.vhd" type="vhdl"/>
|
||||
<File path="C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_tx_channel_status.vhd" type="vhdl"/>
|
||||
<File path="C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\i2s_quad_receiver.vhd" type="vhdl"/>
|
||||
<File path="C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\i2s_quad_transmitter.vhd" type="vhdl"/>
|
||||
<File path="C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_demux.vhd" type="vhdl"/>
|
||||
<File path="C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\hypernet_mux.vhd" type="vhdl"/>
|
||||
</FileList>
|
||||
<OptionList>
|
||||
<Option type="disable_insert_pad" value="0"/>
|
||||
<Option type="global_freq" value="50.000"/>
|
||||
<Option type="looplimit" value="2000"/>
|
||||
<Option type="output_file" value="C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\impl\gwsynthesis\fpga_hypernet_dir.vg"/>
|
||||
<Option type="print_all_synthesis_warning" value="0"/>
|
||||
<Option type="ram_rw_check" value="0"/>
|
||||
<Option type="top_module" value="top"/>
|
||||
<Option type="vcc" value="1.2"/>
|
||||
<Option type="vccx" value="3.3"/>
|
||||
<Option type="verilog_language" value="verilog-2001"/>
|
||||
<Option type="vhdl_language" value="vhdl-2008"/>
|
||||
</OptionList>
|
||||
</Project>
|
||||
6360
src/hdl/fpga_hypernet_dir/impl/gwsynthesis/fpga_hypernet_dir.vg
Normal file
6360
src/hdl/fpga_hypernet_dir/impl/gwsynthesis/fpga_hypernet_dir.vg
Normal file
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
@ -0,0 +1,196 @@
|
||||
<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
|
||||
<html>
|
||||
<head>
|
||||
<title>Hierarchy Module Resource</title>
|
||||
<style type="text/css">
|
||||
body { font-family: Verdana, Arial, sans-serif; font-size: 14px; }
|
||||
div#main_wrapper{ width: 100%; }
|
||||
h1 {text-align: center; }
|
||||
h1 {margin-top: 36px; }
|
||||
table, th, td { border: 1px solid #aaa; }
|
||||
table { border-collapse:collapse; margin-top: 10px; margin-bottom: 20px; width: 100%; }
|
||||
th, td { align = "center"; padding: 5px 2px 5px 5px; }
|
||||
th { color: #fff; font-weight: bold; background-color: #0084ff; }
|
||||
table td.label { width: 20%; white-space: nowrap; min-width: 20px; background-color: #dee8f4; }
|
||||
</style>
|
||||
</head>
|
||||
<body>
|
||||
<div id="main_wrapper">
|
||||
<div id="content">
|
||||
<h1>Hierarchy Module Resource</h1>
|
||||
<table>
|
||||
<tr>
|
||||
<th class="label">MODULE NAME</th>
|
||||
<th class="label">REG NUMBER</th>
|
||||
<th class="label">ALU NUMBER</th>
|
||||
<th class="label">LUT NUMBER</th>
|
||||
<th class="label">DSP NUMBER</th>
|
||||
<th class="label">BSRAM NUMBER</th>
|
||||
<th class="label">SSRAM NUMBER</th>
|
||||
<th class="label">ROM16 NUMBER</th>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">top (C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v)</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">1</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
</tr>
|
||||
<td class="label">    |--pll
|
||||
(C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v)</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
</tr>
|
||||
<td class="label">    |--clk5
|
||||
(C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v)</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
</tr>
|
||||
<td class="label">    |--clk2
|
||||
(C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v)</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
</tr>
|
||||
<td class="label">    |--tx_status
|
||||
(C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v)</td>
|
||||
<td align = "center">24</td>
|
||||
<td align = "center">22</td>
|
||||
<td align = "center">10</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
</tr>
|
||||
<td class="label">    |--main_tx_1_inst
|
||||
(C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v)</td>
|
||||
<td align = "center">123</td>
|
||||
<td align = "center">8</td>
|
||||
<td align = "center">127</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">1</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
</tr>
|
||||
<td class="label">        |--channel_status
|
||||
(C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_tx.v)</td>
|
||||
<td align = "center">12</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">27</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
</tr>
|
||||
<td class="label">        |--clocks
|
||||
(C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_tx.v)</td>
|
||||
<td align = "center">70</td>
|
||||
<td align = "center">31</td>
|
||||
<td align = "center">80</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
</tr>
|
||||
<td class="label">        |--receiver
|
||||
(C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_tx.v)</td>
|
||||
<td align = "center">370</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">22</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
</tr>
|
||||
<td class="label">        |--muxer
|
||||
(C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_tx.v)</td>
|
||||
<td align = "center">29</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">105</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
</tr>
|
||||
<td class="label">    |--rx_status
|
||||
(C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v)</td>
|
||||
<td align = "center">24</td>
|
||||
<td align = "center">22</td>
|
||||
<td align = "center">12</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
</tr>
|
||||
<td class="label">    |--main_rx_1_inst
|
||||
(C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v)</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
</tr>
|
||||
<td class="label">        |--demuxer
|
||||
(C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_rx.v)</td>
|
||||
<td align = "center">234</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">9</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
</tr>
|
||||
<td class="label">        |--sclk_div
|
||||
(C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_rx.v)</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
</tr>
|
||||
<td class="label">        |--clocks
|
||||
(C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_rx.v)</td>
|
||||
<td align = "center">70</td>
|
||||
<td align = "center">31</td>
|
||||
<td align = "center">81</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
</tr>
|
||||
<td class="label">        |--transmitter
|
||||
(C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_rx.v)</td>
|
||||
<td align = "center">370</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">120</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
</tr>
|
||||
</table>
|
||||
</div><!-- content -->
|
||||
</div><!-- main_wrapper -->
|
||||
</body>
|
||||
</html>
|
||||
@ -0,0 +1,20 @@
|
||||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<Module name="top" Lut="1" T_Register="1326(0)" T_Alu="114(0)" T_Lut="594(1)" T_Bsram="1(0)">
|
||||
<SubModule name="pll"/>
|
||||
<SubModule name="clk5"/>
|
||||
<SubModule name="clk2"/>
|
||||
<SubModule name="tx_status" Register="24" Alu="22" Lut="10" T_Register="24(24)" T_Alu="22(22)" T_Lut="10(10)"/>
|
||||
<SubModule name="main_tx_1_inst" Register="123" Alu="8" Lut="127" Bsram="1" T_Register="604(123)" T_Alu="39(8)" T_Lut="361(127)" T_Bsram="1(1)">
|
||||
<SubModule name="channel_status" Register="12" Lut="27" T_Register="12(12)" T_Lut="27(27)"/>
|
||||
<SubModule name="clocks" Register="70" Alu="31" Lut="80" T_Register="70(70)" T_Alu="31(31)" T_Lut="80(80)"/>
|
||||
<SubModule name="receiver" Register="370" Lut="22" T_Register="370(370)" T_Lut="22(22)"/>
|
||||
<SubModule name="muxer" Register="29" Lut="105" T_Register="29(29)" T_Lut="105(105)"/>
|
||||
</SubModule>
|
||||
<SubModule name="rx_status" Register="24" Alu="22" Lut="12" T_Register="24(24)" T_Alu="22(22)" T_Lut="12(12)"/>
|
||||
<SubModule name="main_rx_1_inst" T_Register="674(0)" T_Alu="31(0)" T_Lut="210(0)">
|
||||
<SubModule name="demuxer" Register="234" Lut="9" T_Register="234(234)" T_Lut="9(9)"/>
|
||||
<SubModule name="sclk_div"/>
|
||||
<SubModule name="clocks" Register="70" Alu="31" Lut="81" T_Register="70(70)" T_Alu="31(31)" T_Lut="81(81)"/>
|
||||
<SubModule name="transmitter" Register="370" Lut="120" T_Register="370(370)" T_Lut="120(120)"/>
|
||||
</SubModule>
|
||||
</Module>
|
||||
15
src/hdl/fpga_hypernet_dir/impl/pnr/cmd.do
Normal file
15
src/hdl/fpga_hypernet_dir/impl/pnr/cmd.do
Normal file
@ -0,0 +1,15 @@
|
||||
-d C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\impl\gwsynthesis\fpga_hypernet_dir.vg
|
||||
-p GW1NR-9C-QFN88P-6
|
||||
-pn GW1NR-LV9QN88PC6/I5
|
||||
-cst C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\fpga_hypernet_dir.cst
|
||||
-cfg C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\impl\pnr\device.cfg
|
||||
-sdc C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\fpga_hypernet_dir.sdc
|
||||
-bit
|
||||
-tr
|
||||
-ph
|
||||
-timing
|
||||
-cst_error
|
||||
-convert_sdp32_36_to_sdp16_18
|
||||
-correct_hold 1
|
||||
-route_maxfan 23
|
||||
-global_freq 50.000
|
||||
22
src/hdl/fpga_hypernet_dir/impl/pnr/device.cfg
Normal file
22
src/hdl/fpga_hypernet_dir/impl/pnr/device.cfg
Normal file
@ -0,0 +1,22 @@
|
||||
set JTAG regular_io = false
|
||||
set SSPI regular_io = true
|
||||
set MSPI regular_io = true
|
||||
set READY regular_io = true
|
||||
set DONE regular_io = true
|
||||
set I2C regular_io = false
|
||||
set RECONFIG_N regular_io = false
|
||||
set CRC_check = true
|
||||
set compress = false
|
||||
set encryption = false
|
||||
set security_bit_enable = true
|
||||
set bsram_init_fuse_print = true
|
||||
set background_programming = off
|
||||
set secure_mode = false
|
||||
set program_done_bypass = false
|
||||
set wake_up = 0
|
||||
set format = binary
|
||||
set power_on_reset_monitor = true
|
||||
set multiboot_spi_flash_address = 0x00000000
|
||||
set vccx = 3.3
|
||||
set vcc = 1.2
|
||||
set unused_pin = default
|
||||
BIN
src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.bin
Normal file
BIN
src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.bin
Normal file
Binary file not shown.
BIN
src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.binx
Normal file
BIN
src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.binx
Normal file
Binary file not shown.
BIN
src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.db
Normal file
BIN
src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.db
Normal file
Binary file not shown.
1260
src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.fs
Normal file
1260
src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.fs
Normal file
File diff suppressed because it is too large
Load Diff
39
src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.log
Normal file
39
src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.log
Normal file
@ -0,0 +1,39 @@
|
||||
Reading netlist file: "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\impl\gwsynthesis\fpga_hypernet_dir.vg"
|
||||
Parsing netlist file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\impl\gwsynthesis\fpga_hypernet_dir.vg" completed
|
||||
Processing netlist completed
|
||||
Reading constraint file: "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\fpga_hypernet_dir.cst"
|
||||
Physical Constraint parsed completed
|
||||
Running placement......
|
||||
[10%] Placement Phase 0 completed
|
||||
[20%] Placement Phase 1 completed
|
||||
[30%] Placement Phase 2 completed
|
||||
WARN (TA1132) : 'main_tx_1_inst/n9' was determined to be a clock but was not created.
|
||||
WARN (TA1132) : 'clk5/clkdiv_inst/CLKOUT.default_gen_clk' was determined to be a clock but was not created.
|
||||
WARN (TA1117) : Can't calculate clocks' relationship between: "main_tx_1_inst/n9" and "i2s_in_bclk"
|
||||
WARN (TA1117) : Can't calculate clocks' relationship between: "main_tx_1_inst/n9" and "i2s_in_sclk"
|
||||
WARN (TA1117) : Can't calculate clocks' relationship between: "i2s_in_lrclk" and "i2s_in_sclk"
|
||||
WARN (TA1117) : Can't calculate clocks' relationship between: "dir_lrclk" and "dir_bclk"
|
||||
WARN (TA1117) : Can't calculate clocks' relationship between: "i2s_out_lrclk" and "i2s_out_sclk"
|
||||
WARN (TA1117) : Can't calculate clocks' relationship between: "i2s_out_lrclk" and "dir_sclk"
|
||||
[50%] Placement Phase 3 completed
|
||||
Running routing......
|
||||
[60%] Routing Phase 0 completed
|
||||
[70%] Routing Phase 1 completed
|
||||
[80%] Routing Phase 2 completed
|
||||
WARN (PR1014) : Generic routing resource will be used to clock signal 'dir_bclk_d' by the specified constraint. And then it may lead to the excessive delay or skew
|
||||
WARN (PR1014) : Generic routing resource will be used to clock signal 'dir_sclk_d' by the specified constraint. And then it may lead to the excessive delay or skew
|
||||
[90%] Routing Phase 3 completed
|
||||
Running timing analysis......
|
||||
[95%] Timing analysis completed
|
||||
Placement and routing completed
|
||||
Bitstream generation in progress......
|
||||
Bitstream generation completed
|
||||
Running power analysis......
|
||||
[100%] Power analysis completed
|
||||
Generate file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\impl\pnr\fpga_hypernet_dir.power.html" completed
|
||||
Generate file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\impl\pnr\fpga_hypernet_dir.pin.html" completed
|
||||
Generate file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\impl\pnr\fpga_hypernet_dir.rpt.html" completed
|
||||
Generate file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\impl\pnr\fpga_hypernet_dir.rpt.txt" completed
|
||||
Generate file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\impl\pnr\fpga_hypernet_dir.tr.html" completed
|
||||
Mon Oct 6 00:37:00 2025
|
||||
|
||||
1829
src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.pin.html
Normal file
1829
src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.pin.html
Normal file
File diff suppressed because it is too large
Load Diff
420
src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.power.html
Normal file
420
src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.power.html
Normal file
@ -0,0 +1,420 @@
|
||||
<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//ENhttp://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
|
||||
<html>
|
||||
<head>
|
||||
<title>Power Analysis Report</title>
|
||||
<style type="text/css">
|
||||
body { font-family: Verdana, Arial, sans-serif; font-size: 12px; }
|
||||
div#main_wrapper { width: 100%; }
|
||||
div#content { margin-left: 350px; margin-right: 30px; }
|
||||
div#catalog_wrapper {position: fixed; top: 30px; width: 350px; float: left; }
|
||||
div#catalog ul { list-style-type: none; }
|
||||
div#catalog li { text-align: left; list-style-type:circle; color: #0084ff; margin-top: 3px; margin-bottom: 3px; }
|
||||
div#catalog a { display:inline-block; text-decoration: none; color: #0084ff; font-weight: bold; padding: 3px; }
|
||||
div#catalog a:visited { color: #0084ff; }
|
||||
div#catalog a:hover { color: #fff; background: #0084ff; }
|
||||
hr { margin-top: 30px; margin-bottom: 30px; }
|
||||
h1, h3 { text-align: center; }
|
||||
h1 {margin-top: 50px; }
|
||||
table, th, td {white-space:pre; border: 1px solid #aaa; }
|
||||
table { border-collapse:collapse; margin-top: 10px; margin-bottom: 20px; width: 100%; }
|
||||
th, td { padding: 5px 5px 5px 5px; }
|
||||
th { color: #fff; font-weight: bold; background-color: #0084ff; }
|
||||
table.summary_table td.label { width: 24%; min-width: 200px; background-color: #dee8f4; }
|
||||
table.thermal_table td.label { width: 24%; min-width: 200px; background-color: #dee8f4; }
|
||||
table.Configure_table td.label { width: 24%; min-width: 200px; background-color: #dee8f4; }
|
||||
table.detail_table th.label { min-width: 8%; width: 8%; }
|
||||
</style>
|
||||
</head>
|
||||
<body>
|
||||
<div id="main_wrapper">
|
||||
<div id="catalog_wrapper">
|
||||
<div id="catalog">
|
||||
<ul>
|
||||
<li><a href="#Message" style=" font-size: 16px;">Power Messages</a>
|
||||
<ul>
|
||||
<li><a href="#Configure_Info" style=" font-size: 14px;">Configure Information</a></li>
|
||||
</ul>
|
||||
</li>
|
||||
<li><a href="#Summary" style=" font-size: 16px;">Power Summary</a>
|
||||
<ul>
|
||||
<li><a href="#Power_Info" style=" font-size: 14px;">Power Information</a></li>
|
||||
<li><a href="#Thermal_Info" style=" font-size: 14px;">Thermal Information</a></li>
|
||||
<li><a href="#Supply_Summary" style=" font-size: 14px;">Supply Information</a></li>
|
||||
</ul>
|
||||
</li>
|
||||
<li><a href="#Detail" style=" font-size: 16px;">Power Details</a>
|
||||
<ul>
|
||||
<li><a href="#By_Block_Type" style=" font-size: 14px;">Power By Block Type</a></li>
|
||||
<li><a href="#By_Hierarchy" style=" font-size: 14px;">Power By Hierarchy</a></li>
|
||||
<li><a href="#By_Clock_Domain" style=" font-size: 14px;">Power By Clock Domain</a></li>
|
||||
</ul>
|
||||
</li>
|
||||
</ul>
|
||||
</div><!-- catalog -->
|
||||
</div><!-- catalog_wrapper -->
|
||||
<div id="content">
|
||||
<h1><a name="Message">Power Messages</a></h1>
|
||||
<table class="summary_table">
|
||||
<tr>
|
||||
<td class="label">Report Title</td>
|
||||
<td>Power Analysis Report</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Design File</td>
|
||||
<td>C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\impl\gwsynthesis\fpga_hypernet_dir.vg</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Physical Constraints File</td>
|
||||
<td>C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\fpga_hypernet_dir.cst</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Timing Constraints File</td>
|
||||
<td>C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\fpga_hypernet_dir.sdc</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Tool Version</td>
|
||||
<td>V1.9.10.03 Education (64-bit)</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Part Number</td>
|
||||
<td>GW1NR-LV9QN88PC6/I5</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Device</td>
|
||||
<td>GW1NR-9</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Device Version</td>
|
||||
<td>C</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Created Time</td>
|
||||
<td>Mon Oct 6 00:37:00 2025
|
||||
</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Legal Announcement</td>
|
||||
<td>Copyright (C)2014-2024 Gowin Semiconductor Corporation. All rights reserved.</td>
|
||||
</tr>
|
||||
</table>
|
||||
<h2><a name="Configure_Info">Configure Information:</a></h2>
|
||||
<table class="summary_table">
|
||||
<tr>
|
||||
<td class="label">Grade</td>
|
||||
<td>Commercial</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Process</td>
|
||||
<td>Typical</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Ambient Temperature</td>
|
||||
<td>25.000
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Use Custom Theta JA</td>
|
||||
<td>false</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Heat Sink</td>
|
||||
<td>None</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Air Flow</td>
|
||||
<td>LFM_0</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Use Custom Theta SA</td>
|
||||
<td>false</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Board Thermal Model</td>
|
||||
<td>None</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Use Custom Theta JB</td>
|
||||
<td>false</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Related Vcd File</td>
|
||||
<td></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Related Saif File</td>
|
||||
<td></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Filter Glitches</td>
|
||||
<td>false</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Default IO Toggle Rate</td>
|
||||
<td>0.125</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Default Remain Toggle Rate</td>
|
||||
<td>0.125</td>
|
||||
</tr>
|
||||
</table>
|
||||
<h1><a name="Summary">Power Summary</a></h1>
|
||||
<h2><a name="Power_Info">Power Information:</a></h2>
|
||||
<table class="summary_table">
|
||||
<tr>
|
||||
<td class="label">Total Power (mW)</td>
|
||||
<td>31.991</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Quiescent Power (mW)</td>
|
||||
<td>27.105</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Dynamic Power (mW)</td>
|
||||
<td>4.886</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Psram Power (mW)</td>
|
||||
<td>86.000</td>
|
||||
</tr>
|
||||
</table>
|
||||
<h2><a name="Thermal_Info">Thermal Information:</a></h2>
|
||||
<table class="summary_table">
|
||||
<tr>
|
||||
<td class="label">Junction Temperature</td>
|
||||
<td>25.652</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Theta JA</td>
|
||||
<td>21.450</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Max Allowed Ambient Temperature</td>
|
||||
<td>84.348</td>
|
||||
</tr>
|
||||
</table>
|
||||
<h2><a name="Supply_Summary">Supply Information:</a></h2>
|
||||
<table class="summary_table">
|
||||
<tr>
|
||||
<th class="label">Voltage Source</th>
|
||||
<th class="label">Voltage</th>
|
||||
<th class="label">Dynamic Current(mA)</th>
|
||||
<th class="label">Quiescent Current(mA)</th>
|
||||
<th class="label">Power(mW)</th>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>VCC</td>
|
||||
<td>1.200</td>
|
||||
<td>3.352</td>
|
||||
<td>3.508</td>
|
||||
<td>8.232</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>VCCX</td>
|
||||
<td>3.300</td>
|
||||
<td>0.132</td>
|
||||
<td>5.000</td>
|
||||
<td>16.937</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>VCCIO18</td>
|
||||
<td>1.800</td>
|
||||
<td>0.007</td>
|
||||
<td>0.207</td>
|
||||
<td>0.386</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>VCCIO33</td>
|
||||
<td>3.300</td>
|
||||
<td>0.125</td>
|
||||
<td>1.825</td>
|
||||
<td>6.435</td>
|
||||
</tr>
|
||||
</table>
|
||||
<h1><a name="Detail">Power Details</a></h1>
|
||||
<h2><a name="By_Block_Type">Power By Block Type:</a></h2>
|
||||
<table class="detail_table">
|
||||
<tr>
|
||||
<th class="label">Block Type</th>
|
||||
<th class="label">Total Power(mW)</th>
|
||||
<th class="label">Static Power(mW)</th>
|
||||
<th class="label">Average Toggle Rate(millions of transitions/sec)</th>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>Logic</td>
|
||||
<td>0.100</td>
|
||||
<td>NA</td>
|
||||
<td>2.586</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>IO</td>
|
||||
<td>8.371
|
||||
<td>7.349
|
||||
<td>4.892
|
||||
</tr>
|
||||
<tr>
|
||||
<td>BSRAM</td>
|
||||
<td>0.326
|
||||
<td>NA</td>
|
||||
<td>NA</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>PLL</td>
|
||||
<td>3.431
|
||||
<td>NA</td>
|
||||
<td>NA</td>
|
||||
</tr>
|
||||
</table>
|
||||
<h2><a name="By_Hierarchy">Power By Hierarchy:</a></h2>
|
||||
<table class="detail_table">
|
||||
<tr>
|
||||
<th class="label">Hierarchy Entity</th>
|
||||
<th class="label">Total Power(mW)</th>
|
||||
<th class="label">Block Dynamic Power(mW)</th>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>top</td>
|
||||
<td>3.857</td>
|
||||
<td>3.857(3.857)</td>
|
||||
<tr>
|
||||
<td>top/main_rx_1_inst/</td>
|
||||
<td>0.057</td>
|
||||
<td>0.057(0.057)</td>
|
||||
<tr>
|
||||
<td>top/main_rx_1_inst/clocks/</td>
|
||||
<td>0.012</td>
|
||||
<td>0.012(0.000)</td>
|
||||
<tr>
|
||||
<td>top/main_rx_1_inst/demuxer/</td>
|
||||
<td>0.004</td>
|
||||
<td>0.004(0.000)</td>
|
||||
<tr>
|
||||
<td>top/main_rx_1_inst/transmitter/</td>
|
||||
<td>0.041</td>
|
||||
<td>0.041(0.000)</td>
|
||||
<tr>
|
||||
<td>top/main_tx_1_inst/</td>
|
||||
<td>0.357</td>
|
||||
<td>0.357(0.357)</td>
|
||||
<tr>
|
||||
<td>top/main_tx_1_inst/channel_status/</td>
|
||||
<td>0.001</td>
|
||||
<td>0.001(0.000)</td>
|
||||
<tr>
|
||||
<td>top/main_tx_1_inst/clocks/</td>
|
||||
<td>0.012</td>
|
||||
<td>0.012(0.000)</td>
|
||||
<tr>
|
||||
<td>top/main_tx_1_inst/gowin_aes3_tx/</td>
|
||||
<td>0.004</td>
|
||||
<td>0.004(0.004)</td>
|
||||
<tr>
|
||||
<td>top/main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/</td>
|
||||
<td>0.004</td>
|
||||
<td>0.004(0.004)</td>
|
||||
<tr>
|
||||
<td>top/main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_control/</td>
|
||||
<td>0.001</td>
|
||||
<td>0.001(0.000)</td>
|
||||
<tr>
|
||||
<td>top/main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_encode/</td>
|
||||
<td>0.001</td>
|
||||
<td>0.001(0.000)</td>
|
||||
<tr>
|
||||
<td>top/main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/</td>
|
||||
<td>0.002</td>
|
||||
<td>0.002(0.000)</td>
|
||||
<tr>
|
||||
<td>top/main_tx_1_inst/gowin_fifo/</td>
|
||||
<td>0.329</td>
|
||||
<td>0.329(0.329)</td>
|
||||
<tr>
|
||||
<td>top/main_tx_1_inst/gowin_fifo/fifo_inst/</td>
|
||||
<td>0.329</td>
|
||||
<td>0.329(0.000)</td>
|
||||
<tr>
|
||||
<td>top/main_tx_1_inst/muxer/</td>
|
||||
<td>0.004</td>
|
||||
<td>0.004(0.000)</td>
|
||||
<tr>
|
||||
<td>top/main_tx_1_inst/receiver/</td>
|
||||
<td>0.007</td>
|
||||
<td>0.007(0.000)</td>
|
||||
<tr>
|
||||
<td>top/pll/</td>
|
||||
<td>3.431</td>
|
||||
<td>3.431(0.000)</td>
|
||||
<tr>
|
||||
<td>top/rx_status/</td>
|
||||
<td>0.006</td>
|
||||
<td>0.006(0.000)</td>
|
||||
<tr>
|
||||
<td>top/tx_status/</td>
|
||||
<td>0.006</td>
|
||||
<td>0.006(0.000)</td>
|
||||
</table>
|
||||
<h2><a name="By_Clock_Domain">Power By Clock Domain:</a></h2>
|
||||
<table class="detail_table">
|
||||
<tr>
|
||||
<th class="label">Clock Domain</th>
|
||||
<th class="label">Clock Frequency(Mhz)</th>
|
||||
<th class="label">Total Dynamic Power(mW)</th>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>i2s_in_bclk</td>
|
||||
<td>3.072</td>
|
||||
<td>0.066</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>i2s_in_sclk</td>
|
||||
<td>12.288</td>
|
||||
<td>0.303</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>dir_bclk</td>
|
||||
<td>12.288</td>
|
||||
<td>0.005</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>i2s_out_sclk</td>
|
||||
<td>12.288</td>
|
||||
<td>0.012</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>i2s_out_lrclk</td>
|
||||
<td>0.048</td>
|
||||
<td>0.000</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>i2s_out_bclk</td>
|
||||
<td>3.072</td>
|
||||
<td>0.000</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>dir_sclk</td>
|
||||
<td>49.152</td>
|
||||
<td>0.044</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>NO CLOCK DOMAIN</td>
|
||||
<td>0.000</td>
|
||||
<td>0.000</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>main_tx_1_inst/n9</td>
|
||||
<td>50.000</td>
|
||||
<td>0.002</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>i2s_in_lrclk</td>
|
||||
<td>0.048</td>
|
||||
<td>0.000</td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td>sys_clk</td>
|
||||
<td>27.000</td>
|
||||
<td>3.431</td>
|
||||
</tr>
|
||||
</table>
|
||||
</div><!-- content -->
|
||||
</div><!-- main_wrapper -->
|
||||
</body>
|
||||
</html>
|
||||
2098
src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.rpt.html
Normal file
2098
src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.rpt.html
Normal file
File diff suppressed because it is too large
Load Diff
237
src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.rpt.txt
Normal file
237
src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.rpt.txt
Normal file
@ -0,0 +1,237 @@
|
||||
//Copyright (C)2014-2024 Gowin Semiconductor Corporation.
|
||||
//All rights reserved.
|
||||
|
||||
|
||||
1. PnR Messages
|
||||
|
||||
<Report Title>: PnR Report
|
||||
<Design File>: C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\impl\gwsynthesis\fpga_hypernet_dir.vg
|
||||
<Physical Constraints File>: C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\fpga_hypernet_dir.cst
|
||||
<Timing Constraints File>: C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\fpga_hypernet_dir.sdc
|
||||
<Tool Version>: V1.9.10.03 Education (64-bit)
|
||||
<Part Number>: GW1NR-LV9QN88PC6/I5
|
||||
<Device>: GW1NR-9
|
||||
<Device Version>: C
|
||||
<Created Time>:Mon Oct 6 00:37:00 2025
|
||||
|
||||
|
||||
2. PnR Details
|
||||
|
||||
Running placement:
|
||||
Placement Phase 0: CPU time = 0h 0m 0.4s, Elapsed time = 0h 0m 0.399s
|
||||
Placement Phase 1: CPU time = 0h 0m 0.021s, Elapsed time = 0h 0m 0.021s
|
||||
Placement Phase 2: CPU time = 0h 0m 0.397s, Elapsed time = 0h 0m 0.397s
|
||||
Placement Phase 3: CPU time = 0h 0m 1s, Elapsed time = 0h 0m 1s
|
||||
Total Placement: CPU time = 0h 0m 2s, Elapsed time = 0h 0m 2s
|
||||
Running routing:
|
||||
Routing Phase 0: CPU time = 0h 0m 0.001s, Elapsed time = 0h 0m 0.001s
|
||||
Routing Phase 1: CPU time = 0h 0m 0.356s, Elapsed time = 0h 0m 0.356s
|
||||
Routing Phase 2: CPU time = 0h 0m 0.629s, Elapsed time = 0h 0m 0.629s
|
||||
Routing Phase 3: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0s
|
||||
Total Routing: CPU time = 0h 0m 0.986s, Elapsed time = 0h 0m 0.986s
|
||||
Generate output files:
|
||||
CPU time = 0h 0m 1s, Elapsed time = 0h 0m 1s
|
||||
|
||||
Total Time and Memory Usage: CPU time = 0h 0m 4s, Elapsed time = 0h 0m 4s, Peak memory usage = 350MB
|
||||
|
||||
|
||||
3. Resource Usage Summary
|
||||
|
||||
--------------------------------------------------------------------------------
|
||||
Resources | Usage | Utilization
|
||||
--------------------------------------------------------------------------------
|
||||
Logic | 710/8640 | 9%
|
||||
--LUT,ALU,ROM16 | 710(588 LUT, 122 ALU, 0 ROM16) | -
|
||||
--SSRAM(RAM16) | 0 | -
|
||||
Register | 1326/6693 | 20%
|
||||
--Logic Register as Latch | 0/6480 | 0%
|
||||
--Logic Register as FF | 1320/6480 | 21%
|
||||
--I/O Register as Latch | 0/213 | 0%
|
||||
--I/O Register as FF | 6/213 | 3%
|
||||
CLS | 977/4320 | 23%
|
||||
I/O Port | 31/71 | 44%
|
||||
I/O Buf | 28 | -
|
||||
--Input Buf | 11 | -
|
||||
--Output Buf | 17 | -
|
||||
--Inout Buf | 0 | -
|
||||
IOLOGIC | 1/97 | 2%
|
||||
--ODDR | 1
|
||||
BSRAM | 1/26 | 4%
|
||||
--SDPB | 1
|
||||
================================================================================
|
||||
|
||||
|
||||
|
||||
4. I/O Bank Usage Summary
|
||||
|
||||
--------------------------------------
|
||||
I/O Bank | Usage | Utilization
|
||||
--------------------------------------
|
||||
bank 1 | 11/25 | 44%
|
||||
bank 2 | 16/23 | 70%
|
||||
bank 3 | 4/23 | 18%
|
||||
======================================
|
||||
|
||||
|
||||
5. Clock Resource Usage Summary
|
||||
|
||||
------------------------------------------
|
||||
Clock Resource| Usage | Utilization
|
||||
------------------------------------------
|
||||
PRIMARY | 5/8 | 63%
|
||||
LW | 8/8 | 100%
|
||||
GCLK_PIN | 2/3 | 67%
|
||||
CLKDIV | 3/8 | 38%
|
||||
rPLL | 1/2 | 50%
|
||||
==========================================
|
||||
|
||||
|
||||
6. Global Clock Signals
|
||||
|
||||
-------------------------------------------
|
||||
Signal | Global Clock | Location
|
||||
-------------------------------------------
|
||||
dir_bclk_d | PRIMARY | BR BL
|
||||
i2s_in_sclk_d | PRIMARY | TL BR BL
|
||||
i2s_in_bclk_d | PRIMARY | TL BR BL
|
||||
i2s_out_sclk_d | PRIMARY | TR BR
|
||||
main_tx_1_inst/n9| PRIMARY | BL
|
||||
n4_6 | LW | -
|
||||
n35_3 | LW | -
|
||||
main_tx_1_inst/gowin_fifo/fifo_inst/reset_r[1]| LW | -
|
||||
main_tx_1_inst/receiver/n2020_3| LW | -
|
||||
main_tx_1_inst/receiver/n1829_6| LW | -
|
||||
main_tx_1_inst/receiver/n1641_6| LW | -
|
||||
main_rx_1_inst/transmitter/n2212_3| LW | -
|
||||
main_rx_1_inst/transmitter/n2710_3| LW | -
|
||||
sys_clk_d | HCLK | BOTTOM[0]
|
||||
dir_sclk_d | HCLK |
|
||||
clk_123M | HCLK |
|
||||
clk_24M6 | HCLK |
|
||||
===========================================
|
||||
|
||||
|
||||
7. Pinout by Port Name
|
||||
|
||||
--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
|
||||
Port Name | Diff Pair | Loc./Bank | Constraint | Dir. | Site | IO Type | Drive | Pull Mode | PCI Clamp | Hysteresis | Open Drain | Vref | Single Resistor | Diff Resistor | BankVccio
|
||||
--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
|
||||
sys_clk | - | 52/1 | Y | in | IOR17[A] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | OFF | NA | 3.3
|
||||
sys_key_a | - | 3/3 | Y | in | IOT2[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | OFF | NA | 1.8
|
||||
sys_key_b | - | 4/3 | Y | in | IOL5[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | OFF | NA | 1.8
|
||||
audio_clk | - | 36/2 | Y | in | IOB29[B] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
dir_sclk | - | 38/2 | Y | in | IOB31[B] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
dir_bclk | - | 37/2 | Y | in | IOB31[A] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
dir_lrclk | - | 39/2 | Y | in | IOB33[A] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
dir_data | - | 25/2 | Y | in | IOB8[A] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
dir_bframe | - | 27/2 | Y | in | IOB11[A] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
dir_lock | - | 26/2 | Y | in | IOB8[B] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
i2s_in_data_1 | - | 54/1 | Y | in | IOR15[A] | LVCMOS33 | NA | DOWN | ON | NONE | NA | NA | OFF | NA | 3.3
|
||||
i2s_in_data_2 | - | 55/1 | Y | in | IOR14[B] | LVCMOS33 | NA | DOWN | ON | NONE | NA | NA | OFF | NA | 3.3
|
||||
i2s_in_data_3 | - | 56/1 | Y | in | IOR14[A] | LVCMOS33 | NA | DOWN | ON | NONE | NA | NA | OFF | NA | 3.3
|
||||
i2s_in_data_4 | - | 57/1 | Y | in | IOR13[A] | LVCMOS33 | NA | DOWN | ON | NONE | NA | NA | OFF | NA | 3.3
|
||||
aes3_in_active_n | - | 84/3 | Y | out | IOT10[A] | LVCMOS18 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 1.8
|
||||
i2s_out_sclk | - | 35/2 | Y | out | IOB29[A] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3
|
||||
i2s_out_bclk | - | 41/2 | Y | out | IOB41[A] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3
|
||||
i2s_out_lrclk | - | 40/2 | Y | out | IOB33[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3
|
||||
i2s_out_data_1 | - | 29/2 | Y | out | IOB13[A] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3
|
||||
i2s_out_data_2 | - | 30/2 | Y | out | IOB13[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3
|
||||
i2s_out_data_3 | - | 33/2 | Y | out | IOB23[A] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3
|
||||
i2s_out_data_4 | - | 34/2 | Y | out | IOB23[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3
|
||||
i2s_in_sclk | - | 51/1 | Y | out | IOR17[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 3.3
|
||||
i2s_in_bclk | - | 42/2 | Y | out | IOB41[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3
|
||||
i2s_in_lrclk | - | 53/1 | Y | out | IOR15[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 3.3
|
||||
aes3_out_active_n | - | 85/3 | Y | out | IOT8[B] | LVCMOS18 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 1.8
|
||||
aes3_out | - | 28/2 | Y | out | IOB11[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3
|
||||
debug1 | - | 49/1 | Y | out | IOR24[A] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 3.3
|
||||
debug2 | - | 48/1 | Y | out | IOR24[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 3.3
|
||||
debug3 | - | 70/1 | Y | out | IOT41[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 3.3
|
||||
debug4 | - | 71/1 | Y | out | IOT41[A] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 3.3
|
||||
==========================================================================================================================================================================================================================
|
||||
|
||||
|
||||
|
||||
|
||||
8. All Package Pins
|
||||
|
||||
-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
|
||||
Loc./Bank| Signal | Dir. | Site | IO Type | Drive | Pull Mode | PCI Clamp | Hysteresis | Open Drain | Vref | Single Resistor | Diff Resistor | Bank Vccio
|
||||
-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
|
||||
3/3 | sys_key_a | in | IOT2[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | OFF | NA | 1.8
|
||||
88/3 | - | in | IOT5[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
87/3 | - | in | IOT6[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
86/3 | - | in | IOT8[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
85/3 | aes3_out_active_n | out | IOT8[B] | LVCMOS18 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 1.8
|
||||
84/3 | aes3_in_active_n | out | IOT10[A] | LVCMOS18 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 1.8
|
||||
83/3 | - | in | IOT10[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
82/3 | - | in | IOT11[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
81/3 | - | in | IOT11[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
80/3 | - | in | IOT12[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
79/3 | - | in | IOT12[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
77/1 | - | in | IOT37[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
76/1 | - | in | IOT37[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
75/1 | - | in | IOT38[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
74/1 | - | in | IOT38[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
73/1 | - | in | IOT39[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
72/1 | - | in | IOT39[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
71/1 | debug4 | out | IOT41[A] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 3.3
|
||||
70/1 | debug3 | out | IOT41[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 3.3
|
||||
69/1 | - | in | IOT42[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
68/1 | - | in | IOT42[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
|
||||
17/2 | - | in | IOB2[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
18/2 | - | in | IOB2[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
19/2 | - | in | IOB4[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
20/2 | - | in | IOB4[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
25/2 | dir_data | in | IOB8[A] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
26/2 | dir_lock | in | IOB8[B] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
27/2 | dir_bframe | in | IOB11[A] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
28/2 | aes3_out | out | IOB11[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3
|
||||
29/2 | i2s_out_data_1 | out | IOB13[A] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3
|
||||
30/2 | i2s_out_data_2 | out | IOB13[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3
|
||||
31/2 | - | in | IOB15[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
32/2 | - | in | IOB15[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
33/2 | i2s_out_data_3 | out | IOB23[A] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3
|
||||
34/2 | i2s_out_data_4 | out | IOB23[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3
|
||||
35/2 | i2s_out_sclk | out | IOB29[A] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3
|
||||
36/2 | audio_clk | in | IOB29[B] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
37/2 | dir_bclk | in | IOB31[A] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
38/2 | dir_sclk | in | IOB31[B] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
39/2 | dir_lrclk | in | IOB33[A] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
40/2 | i2s_out_lrclk | out | IOB33[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3
|
||||
41/2 | i2s_out_bclk | out | IOB41[A] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3
|
||||
42/2 | i2s_in_bclk | out | IOB41[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | NA | NA | 3.3
|
||||
47/2 | - | in | IOB43[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
|
||||
4/3 | sys_key_b | in | IOL5[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | OFF | NA | 1.8
|
||||
5/3 | - | in | IOL11[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
6/3 | - | in | IOL11[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
7/3 | - | in | IOL12[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
8/3 | - | out | IOL13[A] | LVCMOS18 | 8 | UP | NA | NA | OFF | NA | NA | NA | 1.8
|
||||
9/3 | - | in | IOL13[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
10/3 | - | in | IOL15[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
11/3 | - | in | IOL16[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
13/3 | - | in | IOL21[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
14/3 | - | in | IOL22[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
15/3 | - | in | IOL25[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
16/3 | - | in | IOL26[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 1.8
|
||||
-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
|
||||
63/1 | - | in | IOR5[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
62/1 | - | in | IOR11[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
61/1 | - | in | IOR11[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
60/1 | - | in | IOR12[A] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
59/1 | - | in | IOR12[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
57/1 | i2s_in_data_4 | in | IOR13[A] | LVCMOS33 | NA | DOWN | ON | NONE | NA | NA | OFF | NA | 3.3
|
||||
56/1 | i2s_in_data_3 | in | IOR14[A] | LVCMOS33 | NA | DOWN | ON | NONE | NA | NA | OFF | NA | 3.3
|
||||
55/1 | i2s_in_data_2 | in | IOR14[B] | LVCMOS33 | NA | DOWN | ON | NONE | NA | NA | OFF | NA | 3.3
|
||||
54/1 | i2s_in_data_1 | in | IOR15[A] | LVCMOS33 | NA | DOWN | ON | NONE | NA | NA | OFF | NA | 3.3
|
||||
53/1 | i2s_in_lrclk | out | IOR15[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 3.3
|
||||
52/1 | sys_clk | in | IOR17[A] | LVCMOS33 | NA | UP | ON | NONE | NA | NA | OFF | NA | 3.3
|
||||
51/1 | i2s_in_sclk | out | IOR17[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 3.3
|
||||
50/1 | - | in | IOR22[B] | LVCMOS18 | NA | UP | ON | NONE | NA | NA | NA | NA | 3.3
|
||||
49/1 | debug1 | out | IOR24[A] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 3.3
|
||||
48/1 | debug2 | out | IOR24[B] | LVCMOS33 | 8 | UP | NA | NA | OFF | NA | OFF | NA | 3.3
|
||||
-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
|
||||
===============================================================================================================================================================================================
|
||||
|
||||
|
||||
@ -0,0 +1,719 @@
|
||||
=====
|
||||
SETUP
|
||||
-1.200
|
||||
2.133
|
||||
0.933
|
||||
main_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_4_s0
|
||||
0.242
|
||||
0.700
|
||||
main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_4_s0
|
||||
2.133
|
||||
=====
|
||||
SETUP
|
||||
-1.196
|
||||
2.129
|
||||
0.933
|
||||
main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_0_s0
|
||||
0.242
|
||||
0.700
|
||||
main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_0_s0
|
||||
2.129
|
||||
=====
|
||||
SETUP
|
||||
-1.196
|
||||
2.129
|
||||
0.933
|
||||
main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_1_s0
|
||||
0.242
|
||||
0.700
|
||||
main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_1_s0
|
||||
2.129
|
||||
=====
|
||||
SETUP
|
||||
-1.196
|
||||
2.129
|
||||
0.933
|
||||
main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_2_s0
|
||||
0.242
|
||||
0.700
|
||||
main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_2_s0
|
||||
2.129
|
||||
=====
|
||||
SETUP
|
||||
-1.196
|
||||
2.129
|
||||
0.933
|
||||
main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_3_s0
|
||||
0.242
|
||||
0.700
|
||||
main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_3_s0
|
||||
2.129
|
||||
=====
|
||||
SETUP
|
||||
6.506
|
||||
16.473
|
||||
22.978
|
||||
dir_sclk_ibuf
|
||||
0.000
|
||||
2.088
|
||||
main_rx_1_inst/transmitter/bit_counter_0_s3
|
||||
3.033
|
||||
3.492
|
||||
main_rx_1_inst/transmitter/n1630_s87
|
||||
7.002
|
||||
8.034
|
||||
main_rx_1_inst/transmitter/n1630_s73
|
||||
8.034
|
||||
8.183
|
||||
main_rx_1_inst/transmitter/n1630_s65
|
||||
8.183
|
||||
8.346
|
||||
main_rx_1_inst/transmitter/n1630_s62
|
||||
8.346
|
||||
8.509
|
||||
main_rx_1_inst/transmitter/n1649_s3
|
||||
9.972
|
||||
11.071
|
||||
main_rx_1_inst/transmitter/n1649_s0
|
||||
11.876
|
||||
12.908
|
||||
main_rx_1_inst/transmitter/sdout_1_s0
|
||||
16.473
|
||||
=====
|
||||
SETUP
|
||||
8.112
|
||||
14.866
|
||||
22.978
|
||||
dir_sclk_ibuf
|
||||
0.000
|
||||
2.088
|
||||
main_rx_1_inst/transmitter/bit_counter_0_s3
|
||||
3.033
|
||||
3.492
|
||||
main_rx_1_inst/transmitter/n1636_s77
|
||||
6.033
|
||||
7.065
|
||||
main_rx_1_inst/transmitter/n1636_s69
|
||||
7.065
|
||||
7.214
|
||||
main_rx_1_inst/transmitter/n1636_s67
|
||||
7.214
|
||||
7.377
|
||||
main_rx_1_inst/transmitter/n1636_s63
|
||||
7.377
|
||||
7.540
|
||||
main_rx_1_inst/transmitter/n1650_s3
|
||||
9.173
|
||||
9.799
|
||||
main_rx_1_inst/transmitter/n1650_s0
|
||||
10.604
|
||||
11.636
|
||||
main_rx_1_inst/transmitter/sdout_2_s0
|
||||
14.866
|
||||
=====
|
||||
SETUP
|
||||
8.486
|
||||
14.493
|
||||
22.978
|
||||
dir_sclk_ibuf
|
||||
0.000
|
||||
2.088
|
||||
main_rx_1_inst/transmitter/bit_counter_0_s3
|
||||
3.033
|
||||
3.492
|
||||
main_rx_1_inst/transmitter/n1648_s85
|
||||
6.943
|
||||
7.975
|
||||
main_rx_1_inst/transmitter/n1648_s55
|
||||
7.975
|
||||
8.124
|
||||
main_rx_1_inst/transmitter/n1652_s1
|
||||
10.727
|
||||
11.353
|
||||
main_rx_1_inst/transmitter/n1652_s0
|
||||
11.358
|
||||
12.390
|
||||
main_rx_1_inst/transmitter/sdout_4_s0
|
||||
14.493
|
||||
=====
|
||||
SETUP
|
||||
8.564
|
||||
14.414
|
||||
22.978
|
||||
dir_sclk_ibuf
|
||||
0.000
|
||||
2.088
|
||||
main_rx_1_inst/transmitter/bit_counter_0_s3
|
||||
3.033
|
||||
3.492
|
||||
main_rx_1_inst/transmitter/n1642_s85
|
||||
6.943
|
||||
7.975
|
||||
main_rx_1_inst/transmitter/n1642_s55
|
||||
7.975
|
||||
8.124
|
||||
main_rx_1_inst/transmitter/n1651_s1
|
||||
10.242
|
||||
11.274
|
||||
main_rx_1_inst/transmitter/n1651_s0
|
||||
11.280
|
||||
12.312
|
||||
main_rx_1_inst/transmitter/sdout_3_s0
|
||||
14.414
|
||||
=====
|
||||
SETUP
|
||||
15.181
|
||||
8.124
|
||||
23.305
|
||||
dir_bclk_ibuf
|
||||
0.000
|
||||
2.088
|
||||
main_rx_1_inst/demuxer/data_ready_s0
|
||||
3.116
|
||||
3.575
|
||||
main_rx_1_inst/transmitter/n2212_s0
|
||||
4.546
|
||||
5.171
|
||||
main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_10_s0
|
||||
8.124
|
||||
=====
|
||||
SETUP
|
||||
15.181
|
||||
8.124
|
||||
23.305
|
||||
dir_bclk_ibuf
|
||||
0.000
|
||||
2.088
|
||||
main_rx_1_inst/demuxer/data_ready_s0
|
||||
3.116
|
||||
3.575
|
||||
main_rx_1_inst/transmitter/n2212_s0
|
||||
4.546
|
||||
5.171
|
||||
main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_11_s0
|
||||
8.124
|
||||
=====
|
||||
SETUP
|
||||
15.181
|
||||
8.124
|
||||
23.305
|
||||
dir_bclk_ibuf
|
||||
0.000
|
||||
2.088
|
||||
main_rx_1_inst/demuxer/data_ready_s0
|
||||
3.116
|
||||
3.575
|
||||
main_rx_1_inst/transmitter/n2212_s0
|
||||
4.546
|
||||
5.171
|
||||
main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_16_s0
|
||||
8.124
|
||||
=====
|
||||
SETUP
|
||||
15.181
|
||||
8.124
|
||||
23.305
|
||||
dir_bclk_ibuf
|
||||
0.000
|
||||
2.088
|
||||
main_rx_1_inst/demuxer/data_ready_s0
|
||||
3.116
|
||||
3.575
|
||||
main_rx_1_inst/transmitter/n2212_s0
|
||||
4.546
|
||||
5.171
|
||||
main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_17_s0
|
||||
8.124
|
||||
=====
|
||||
SETUP
|
||||
15.181
|
||||
8.124
|
||||
23.305
|
||||
dir_bclk_ibuf
|
||||
0.000
|
||||
2.088
|
||||
main_rx_1_inst/demuxer/data_ready_s0
|
||||
3.116
|
||||
3.575
|
||||
main_rx_1_inst/transmitter/n2212_s0
|
||||
4.546
|
||||
5.171
|
||||
main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_18_s0
|
||||
8.124
|
||||
=====
|
||||
SETUP
|
||||
15.181
|
||||
8.124
|
||||
23.305
|
||||
dir_bclk_ibuf
|
||||
0.000
|
||||
2.088
|
||||
main_rx_1_inst/demuxer/data_ready_s0
|
||||
3.116
|
||||
3.575
|
||||
main_rx_1_inst/transmitter/n2212_s0
|
||||
4.546
|
||||
5.171
|
||||
main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_19_s0
|
||||
8.124
|
||||
=====
|
||||
SETUP
|
||||
15.181
|
||||
8.124
|
||||
23.305
|
||||
dir_bclk_ibuf
|
||||
0.000
|
||||
2.088
|
||||
main_rx_1_inst/demuxer/data_ready_s0
|
||||
3.116
|
||||
3.575
|
||||
main_rx_1_inst/transmitter/n2212_s0
|
||||
4.546
|
||||
5.171
|
||||
main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_20_s0
|
||||
8.124
|
||||
=====
|
||||
SETUP
|
||||
15.181
|
||||
8.124
|
||||
23.305
|
||||
dir_bclk_ibuf
|
||||
0.000
|
||||
2.088
|
||||
main_rx_1_inst/demuxer/data_ready_s0
|
||||
3.116
|
||||
3.575
|
||||
main_rx_1_inst/transmitter/n2212_s0
|
||||
4.546
|
||||
5.171
|
||||
main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_21_s0
|
||||
8.124
|
||||
=====
|
||||
SETUP
|
||||
15.181
|
||||
8.124
|
||||
23.305
|
||||
dir_bclk_ibuf
|
||||
0.000
|
||||
2.088
|
||||
main_rx_1_inst/demuxer/data_ready_s0
|
||||
3.116
|
||||
3.575
|
||||
main_rx_1_inst/transmitter/n2212_s0
|
||||
4.546
|
||||
5.171
|
||||
main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_23_s0
|
||||
8.124
|
||||
=====
|
||||
SETUP
|
||||
15.181
|
||||
8.124
|
||||
23.305
|
||||
dir_bclk_ibuf
|
||||
0.000
|
||||
2.088
|
||||
main_rx_1_inst/demuxer/data_ready_s0
|
||||
3.116
|
||||
3.575
|
||||
main_rx_1_inst/transmitter/n2212_s0
|
||||
4.546
|
||||
5.171
|
||||
main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_29_s0
|
||||
8.124
|
||||
=====
|
||||
SETUP
|
||||
15.181
|
||||
8.124
|
||||
23.305
|
||||
dir_bclk_ibuf
|
||||
0.000
|
||||
2.088
|
||||
main_rx_1_inst/demuxer/data_ready_s0
|
||||
3.116
|
||||
3.575
|
||||
main_rx_1_inst/transmitter/n2212_s0
|
||||
4.546
|
||||
5.171
|
||||
main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_30_s0
|
||||
8.124
|
||||
=====
|
||||
SETUP
|
||||
15.181
|
||||
8.124
|
||||
23.305
|
||||
dir_bclk_ibuf
|
||||
0.000
|
||||
2.088
|
||||
main_rx_1_inst/demuxer/data_ready_s0
|
||||
3.116
|
||||
3.575
|
||||
main_rx_1_inst/transmitter/n2212_s0
|
||||
4.546
|
||||
5.171
|
||||
main_rx_1_inst/transmitter/sample_ch_4_r_buf_load_31_s0
|
||||
8.124
|
||||
=====
|
||||
SETUP
|
||||
15.181
|
||||
8.124
|
||||
23.305
|
||||
dir_bclk_ibuf
|
||||
0.000
|
||||
2.088
|
||||
main_rx_1_inst/demuxer/data_ready_s0
|
||||
3.116
|
||||
3.575
|
||||
main_rx_1_inst/transmitter/n2212_s0
|
||||
4.546
|
||||
5.171
|
||||
main_rx_1_inst/transmitter/sample_ch_4_l_buf_load_10_s0
|
||||
8.124
|
||||
=====
|
||||
SETUP
|
||||
15.181
|
||||
8.124
|
||||
23.305
|
||||
dir_bclk_ibuf
|
||||
0.000
|
||||
2.088
|
||||
main_rx_1_inst/demuxer/data_ready_s0
|
||||
3.116
|
||||
3.575
|
||||
main_rx_1_inst/transmitter/n2212_s0
|
||||
4.546
|
||||
5.171
|
||||
main_rx_1_inst/transmitter/sample_ch_4_l_buf_load_11_s0
|
||||
8.124
|
||||
=====
|
||||
SETUP
|
||||
15.181
|
||||
8.124
|
||||
23.305
|
||||
dir_bclk_ibuf
|
||||
0.000
|
||||
2.088
|
||||
main_rx_1_inst/demuxer/data_ready_s0
|
||||
3.116
|
||||
3.575
|
||||
main_rx_1_inst/transmitter/n2212_s0
|
||||
4.546
|
||||
5.171
|
||||
main_rx_1_inst/transmitter/sample_ch_4_l_buf_load_12_s0
|
||||
8.124
|
||||
=====
|
||||
SETUP
|
||||
15.181
|
||||
8.124
|
||||
23.305
|
||||
dir_bclk_ibuf
|
||||
0.000
|
||||
2.088
|
||||
main_rx_1_inst/demuxer/data_ready_s0
|
||||
3.116
|
||||
3.575
|
||||
main_rx_1_inst/transmitter/n2212_s0
|
||||
4.546
|
||||
5.171
|
||||
main_rx_1_inst/transmitter/sample_ch_4_l_buf_load_13_s0
|
||||
8.124
|
||||
=====
|
||||
HOLD
|
||||
-0.256
|
||||
1.850
|
||||
2.105
|
||||
main_rx_1_inst/transmitter/zbclk_s0
|
||||
1.850
|
||||
=====
|
||||
HOLD
|
||||
0.161
|
||||
0.374
|
||||
0.213
|
||||
main_rx_1_inst/clocks/n52_s3
|
||||
0.002
|
||||
0.374
|
||||
main_rx_1_inst/clocks/bclk_s2
|
||||
0.374
|
||||
=====
|
||||
HOLD
|
||||
0.474
|
||||
1.472
|
||||
0.998
|
||||
main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_0_s0
|
||||
0.183
|
||||
0.516
|
||||
main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_0_s0
|
||||
1.472
|
||||
=====
|
||||
HOLD
|
||||
0.474
|
||||
1.472
|
||||
0.998
|
||||
main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_1_s0
|
||||
0.183
|
||||
0.516
|
||||
main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_1_s0
|
||||
1.472
|
||||
=====
|
||||
HOLD
|
||||
0.474
|
||||
1.472
|
||||
0.998
|
||||
main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_2_s0
|
||||
0.183
|
||||
0.516
|
||||
main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_2_s0
|
||||
1.472
|
||||
=====
|
||||
HOLD
|
||||
0.474
|
||||
1.472
|
||||
0.998
|
||||
main_tx_1_inst/gowin_fifo/fifo_inst/Equal.rptr_3_s0
|
||||
0.183
|
||||
0.516
|
||||
main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_3_s0
|
||||
1.472
|
||||
=====
|
||||
HOLD
|
||||
0.475
|
||||
1.473
|
||||
0.998
|
||||
main_tx_1_inst/gowin_fifo/fifo_inst/rbin_num_4_s0
|
||||
0.183
|
||||
0.516
|
||||
main_tx_1_inst/gowin_fifo/fifo_inst/Equal.wq1_rptr_4_s0
|
||||
1.473
|
||||
=====
|
||||
HOLD
|
||||
0.557
|
||||
0.753
|
||||
0.196
|
||||
main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Validity_bit_req_s0
|
||||
0.183
|
||||
0.516
|
||||
main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_vector_24_s0
|
||||
0.753
|
||||
=====
|
||||
HOLD
|
||||
0.708
|
||||
0.891
|
||||
0.183
|
||||
main_rx_1_inst/clocks/count_i2s_bclk_0_s0
|
||||
0.183
|
||||
0.516
|
||||
main_rx_1_inst/clocks/n48_s2
|
||||
0.519
|
||||
0.891
|
||||
main_rx_1_inst/clocks/count_i2s_bclk_0_s0
|
||||
0.891
|
||||
=====
|
||||
HOLD
|
||||
0.708
|
||||
0.891
|
||||
0.183
|
||||
rx_status/led_state_s1
|
||||
0.183
|
||||
0.516
|
||||
rx_status/n74_s2
|
||||
0.519
|
||||
0.891
|
||||
rx_status/led_state_s1
|
||||
0.891
|
||||
=====
|
||||
HOLD
|
||||
0.708
|
||||
0.891
|
||||
0.183
|
||||
rx_status/counter_0_s0
|
||||
0.183
|
||||
0.516
|
||||
rx_status/n50_s2
|
||||
0.519
|
||||
0.891
|
||||
rx_status/counter_0_s0
|
||||
0.891
|
||||
=====
|
||||
HOLD
|
||||
0.708
|
||||
0.891
|
||||
0.183
|
||||
main_tx_1_inst/receiver/bit_cnt_2_s1
|
||||
0.183
|
||||
0.516
|
||||
main_tx_1_inst/receiver/n44_s1
|
||||
0.519
|
||||
0.891
|
||||
main_tx_1_inst/receiver/bit_cnt_2_s1
|
||||
0.891
|
||||
=====
|
||||
HOLD
|
||||
0.708
|
||||
0.891
|
||||
0.183
|
||||
main_tx_1_inst/clocks/count_i2s_bclk_0_s0
|
||||
0.183
|
||||
0.516
|
||||
main_tx_1_inst/clocks/n48_s2
|
||||
0.519
|
||||
0.891
|
||||
main_tx_1_inst/clocks/count_i2s_bclk_0_s0
|
||||
0.891
|
||||
=====
|
||||
HOLD
|
||||
0.708
|
||||
0.891
|
||||
0.183
|
||||
main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame_4_s0
|
||||
0.183
|
||||
0.516
|
||||
main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/n15_s2
|
||||
0.519
|
||||
0.891
|
||||
main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Cnt_sub_frame_4_s0
|
||||
0.891
|
||||
=====
|
||||
HOLD
|
||||
0.708
|
||||
0.891
|
||||
0.183
|
||||
main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_check_s0
|
||||
0.183
|
||||
0.516
|
||||
main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/n64_s1
|
||||
0.519
|
||||
0.891
|
||||
main_tx_1_inst/gowin_aes3_tx/u_spdif_tx_top/u_spdif_tx_package/Parity_check_s0
|
||||
0.891
|
||||
=====
|
||||
HOLD
|
||||
0.708
|
||||
0.891
|
||||
0.183
|
||||
main_tx_1_inst/channel_status/status_bit_index_6_s0
|
||||
0.183
|
||||
0.516
|
||||
main_tx_1_inst/channel_status/n51_s2
|
||||
0.519
|
||||
0.891
|
||||
main_tx_1_inst/channel_status/status_bit_index_6_s0
|
||||
0.891
|
||||
=====
|
||||
HOLD
|
||||
0.708
|
||||
0.891
|
||||
0.183
|
||||
main_tx_1_inst/channel_status/status_bit_index_10_s0
|
||||
0.183
|
||||
0.516
|
||||
main_tx_1_inst/channel_status/n47_s2
|
||||
0.519
|
||||
0.891
|
||||
main_tx_1_inst/channel_status/status_bit_index_10_s0
|
||||
0.891
|
||||
=====
|
||||
HOLD
|
||||
0.708
|
||||
0.891
|
||||
0.183
|
||||
tx_status/counter_0_s0
|
||||
0.183
|
||||
0.516
|
||||
tx_status/n50_s2
|
||||
0.519
|
||||
0.891
|
||||
tx_status/counter_0_s0
|
||||
0.891
|
||||
=====
|
||||
HOLD
|
||||
0.708
|
||||
41.589
|
||||
40.881
|
||||
main_rx_1_inst/clocks/count_i2s_lrclk_3_s0
|
||||
40.881
|
||||
41.214
|
||||
main_rx_1_inst/clocks/n185_s3
|
||||
41.217
|
||||
41.589
|
||||
main_rx_1_inst/clocks/count_i2s_lrclk_3_s0
|
||||
41.589
|
||||
=====
|
||||
HOLD
|
||||
0.708
|
||||
41.589
|
||||
40.881
|
||||
main_rx_1_inst/clocks/count_i2s_lrclk_4_s0
|
||||
40.881
|
||||
41.214
|
||||
main_rx_1_inst/clocks/n184_s3
|
||||
41.217
|
||||
41.589
|
||||
main_rx_1_inst/clocks/count_i2s_lrclk_4_s0
|
||||
41.589
|
||||
=====
|
||||
HOLD
|
||||
0.708
|
||||
41.589
|
||||
40.881
|
||||
main_rx_1_inst/clocks/count_i2s_lrclk_31_s0
|
||||
40.881
|
||||
41.214
|
||||
main_rx_1_inst/clocks/n157_s2
|
||||
41.217
|
||||
41.589
|
||||
main_rx_1_inst/clocks/count_i2s_lrclk_31_s0
|
||||
41.589
|
||||
=====
|
||||
HOLD
|
||||
0.708
|
||||
41.589
|
||||
40.881
|
||||
main_tx_1_inst/clocks/count_i2s_lrclk_3_s0
|
||||
40.881
|
||||
41.214
|
||||
main_tx_1_inst/clocks/n185_s3
|
||||
41.217
|
||||
41.589
|
||||
main_tx_1_inst/clocks/count_i2s_lrclk_3_s0
|
||||
41.589
|
||||
=====
|
||||
HOLD
|
||||
0.708
|
||||
41.589
|
||||
40.881
|
||||
main_tx_1_inst/clocks/count_i2s_lrclk_31_s0
|
||||
40.881
|
||||
41.214
|
||||
main_tx_1_inst/clocks/n157_s2
|
||||
41.217
|
||||
41.589
|
||||
main_tx_1_inst/clocks/count_i2s_lrclk_31_s0
|
||||
41.589
|
||||
=====
|
||||
HOLD
|
||||
0.709
|
||||
2.784
|
||||
2.075
|
||||
dir_sclk_ibuf
|
||||
0.000
|
||||
1.392
|
||||
main_rx_1_inst/transmitter/bclk_neg_edge_s4
|
||||
2.075
|
||||
2.408
|
||||
main_rx_1_inst/transmitter/n8_s2
|
||||
2.412
|
||||
2.784
|
||||
main_rx_1_inst/transmitter/bclk_neg_edge_s4
|
||||
2.784
|
||||
=====
|
||||
HOLD
|
||||
0.709
|
||||
0.892
|
||||
0.183
|
||||
main_tx_1_inst/receiver/bit_cnt_3_s3
|
||||
0.183
|
||||
0.516
|
||||
main_tx_1_inst/receiver/n43_s4
|
||||
0.520
|
||||
0.892
|
||||
main_tx_1_inst/receiver/bit_cnt_3_s3
|
||||
0.892
|
||||
10
src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.tr.html
Normal file
10
src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir.tr.html
Normal file
@ -0,0 +1,10 @@
|
||||
<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
|
||||
<html>
|
||||
<head>
|
||||
<title>Timing Analysis Report</title>
|
||||
</head>
|
||||
<frameset cols="20%, 80%">
|
||||
<frame src="fpga_hypernet_dir_tr_cata.html" name="cataFrame" />
|
||||
<frame src="fpga_hypernet_dir_tr_content.html" name="mainFrame"/>
|
||||
</frameset>
|
||||
</html>
|
||||
@ -0,0 +1,132 @@
|
||||
<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
|
||||
<html>
|
||||
<head>
|
||||
<title>Timing Report Navigation</title>
|
||||
<style type="text/css">
|
||||
@import url(../temp/style.css);
|
||||
body { font-family: Verdana, Arial, sans-serif; font-size: 12px; }
|
||||
div#catalog_wrapper { width: 100%; }
|
||||
div#catalog ul { list-style: none; margin-left: -15px; }
|
||||
div#catalog ul li { margin: 3px 0 3px 0; text-align: left; color: #0084ff; white-space: nowrap; word-break: keep-all; }
|
||||
div#catalog a { display:inline-block; text-decoration: none; color: #0084ff; font-weight: bold; padding: 4px; margin: 0 0 0 0; }
|
||||
div#catalog a:visited { color: #0084ff; }
|
||||
div#catalog a:hover { color: #fff; background: #0084ff; }
|
||||
div.triangle_fake, div.triangle { display: inline-block; cursor: pointer; width: 8px; height: 0; border-top: 5px solid transparent; border-bottom: 5px solid transparent; }
|
||||
div.triangle_fake { border-left: 5px solid transparent; }
|
||||
div.triangle { border-left: 5px solid #0084ff; }
|
||||
div.triangle:hover { border-left-color: #000; }
|
||||
</style>
|
||||
<script>
|
||||
function onClick(obj){var childs=obj.parentNode.childNodes;for(var i=0;i<childs.length;i++){if(childs[i].tagName=="UL"){if(childs[i].style.display=="none"){childs[i].style.display="block"}else{childs[i].style.display="none"}}}};
|
||||
</script>
|
||||
</head>
|
||||
<body>
|
||||
<div id="catalog_wrapper">
|
||||
<div id="catalog">
|
||||
<ul>
|
||||
<!-- messages begin-->
|
||||
<li><div class="triangle_fake"></div><a href="fpga_hypernet_dir_tr_content.html#Message" target="mainFrame" style=" font-size: 16px;">Timing Messages</a></li>
|
||||
<!-- messages end-->
|
||||
<!-- summaries begin-->
|
||||
<li><div class="triangle" onclick="onClick(this)"></div><a href="fpga_hypernet_dir_tr_content.html#Summary" style=" font-size: 16px;" target="mainFrame">Timing Summaries</a>
|
||||
<ul>
|
||||
<li><div class="triangle_fake"></div><a href="fpga_hypernet_dir_tr_content.html#STA_Tool_Run_Summary" style=" font-size: 14px;" target="mainFrame">STA Tool Run Summary</a></li>
|
||||
<li><div class="triangle_fake"></div><a href="fpga_hypernet_dir_tr_content.html#Clock_Report" style=" font-size: 14px;" target="mainFrame">Clock Summary</a></li>
|
||||
<li><div class="triangle_fake"></div><a href="fpga_hypernet_dir_tr_content.html#Max_Frequency_Report" style=" font-size: 14px;" target="mainFrame">Max Frequency Summary</a></li>
|
||||
<li><div class="triangle_fake"></div><a href="fpga_hypernet_dir_tr_content.html#Total_Negative_Slack_Report" style=" font-size: 14px;" target="mainFrame">Total Negative Slack Summary</a></li>
|
||||
</ul>
|
||||
</li>
|
||||
<!-- summaries end-->
|
||||
<!-- details begin-->
|
||||
<li><div class="triangle" onclick="onClick(this)"></div><a href="fpga_hypernet_dir_tr_content.html#Detail" style=" font-size: 16px;" target="mainFrame">Timing Details</a>
|
||||
<ul>
|
||||
<!--All_Path_Slack_Table begin-->
|
||||
<li><div class="triangle" onclick="onClick(this)"></div><a href="fpga_hypernet_dir_tr_content.html#All_Path_Slack_Table" style=" font-size: 14px;" target="mainFrame">Path Slacks Table</a>
|
||||
<ul>
|
||||
<!--Setup_Slack_Table begin-->
|
||||
<li><div class="triangle_fake"></div><a href="fpga_hypernet_dir_tr_content.html#Setup_Slack_Table" style=" font-size: 13px;color: #FF0000;" class = "error" target="mainFrame">Setup Paths Table</a>
|
||||
</li>
|
||||
<!--Setup_Slack_Table end-->
|
||||
<!--Hold_Slack_Table begin-->
|
||||
<li><div class="triangle_fake"></div><a href="fpga_hypernet_dir_tr_content.html#Hold_Slack_Table" style=" font-size: 13px;color: #FF0000;" class = "error" target="mainFrame">Hold Paths Table</a>
|
||||
</li>
|
||||
<!--Hold_Slack_Table end-->
|
||||
<!--Recovery_Slack_Table begin-->
|
||||
<li><div class="triangle_fake"></div><a href="fpga_hypernet_dir_tr_content.html#Recovery_Slack_Table" style=" font-size: 13px;" target="mainFrame">Recovery Paths Table</a>
|
||||
</li>
|
||||
<!--Recovery_Slack_Table end-->
|
||||
<!--Removal_Slack_Table begin-->
|
||||
<li><div class="triangle_fake"></div><a href="fpga_hypernet_dir_tr_content.html#Removal_Slack_Table" style=" font-size: 13px;" target="mainFrame">Removal Paths Table</a>
|
||||
</li>
|
||||
<!--Removal_Slack_Table end-->
|
||||
</ul>
|
||||
</li><!--All_Path_Slack_Table end-->
|
||||
<!--MIN_PULSE_WIDTH_TABLE begin-->
|
||||
<li><div class="triangle_fake"></div><a href="fpga_hypernet_dir_tr_content.html#MIN_PULSE_WIDTH_TABLE" style=" font-size: 14px;" target="mainFrame">Minimum Pulse Width Table</a>
|
||||
</li>
|
||||
<!--MIN_PULSE_WIDTH_TABLE end-->
|
||||
<!--Timing_Report_by_Analysis_Type begin-->
|
||||
<li><div class="triangle" onclick="onClick(this)"></div><a href="fpga_hypernet_dir_tr_content.html#Timing_Report_by_Analysis_Type" style=" font-size: 14px;" target="mainFrame">Timing Report By Analysis Type</a>
|
||||
<ul>
|
||||
<!--Setup_Analysis begin-->
|
||||
<li><div class="triangle_fake"></div><a href="fpga_hypernet_dir_tr_content.html#Setup_Analysis" style=" font-size: 13px;" target="mainFrame">Setup Analysis Report</a>
|
||||
</li>
|
||||
<!--Setup_Analysis end-->
|
||||
<!--Hold_Analysis begin-->
|
||||
<li><div class="triangle_fake"></div><a href="fpga_hypernet_dir_tr_content.html#Hold_Analysis" style=" font-size: 13px;" target="mainFrame">Hold Analysis Report</a>
|
||||
</li>
|
||||
<!--Hold_Analysis end-->
|
||||
<!--Recovery_Analysis begin-->
|
||||
<li><div class="triangle_fake"></div><a href="fpga_hypernet_dir_tr_content.html#Recovery_Analysis" style=" font-size: 13px;" target="mainFrame">Recovery Analysis Report</a>
|
||||
</li>
|
||||
<!--Recovery_Analysis end-->
|
||||
<!--Removal_Analysis begin-->
|
||||
<li><div class="triangle_fake"></div><a href="fpga_hypernet_dir_tr_content.html#Removal_Analysis" style=" font-size: 13px;" target="mainFrame">Removal Analysis Report</a>
|
||||
</li>
|
||||
<!--Removal_Analysis end-->
|
||||
</ul>
|
||||
</li>
|
||||
<!--Timing_Report_by_Analysis_Type end-->
|
||||
<!--Minimum_Pulse_Width_Report begin-->
|
||||
<li><div class="triangle_fake"></div><a href="fpga_hypernet_dir_tr_content.html#Minimum_Pulse_Width_Report" style=" font-size: 14px;" target="mainFrame">Minimum Pulse Width Report</a>
|
||||
</li>
|
||||
<!--Minimum_Pulse_Width_Report end-->
|
||||
<!--High_Fanout_Nets_Report begin-->
|
||||
<li><div class="triangle_fake"></div><a href="fpga_hypernet_dir_tr_content.html#High_Fanout_Nets_Report" style=" font-size: 14px;" target="mainFrame">High Fanout Nets Report</a></li>
|
||||
<!--High_Fanout_Nets_Report end-->
|
||||
<!--Route_Congestions_Report begin-->
|
||||
<li><div class="triangle_fake"></div><a href="fpga_hypernet_dir_tr_content.html#Route_Congestions_Report" style=" font-size: 14px;" target="mainFrame">Route Congestions Report</a></li>
|
||||
<!--Route_Congestions_Report end-->
|
||||
<!--Timing_Exceptions_Report begin-->
|
||||
<li><div class="triangle" onclick="onClick(this)"></div><a href="fpga_hypernet_dir_tr_content.html#Timing_Exceptions_Report" style=" font-size: 14px;" target="mainFrame">Timing Exceptions Report</a>
|
||||
<ul>
|
||||
<!--Setup_Analysis_Exceptions begin-->
|
||||
<li><div class="triangle_fake"></div><a href="fpga_hypernet_dir_tr_content.html#Setup_Analysis_Exceptions" style=" font-size: 13px;" target="mainFrame">Setup Analysis Report</a>
|
||||
</li>
|
||||
<!--Setup_Analysis_Exceptions end-->
|
||||
<!--Hold_Analysis_Exceptions begin-->
|
||||
<li><div class="triangle_fake"></div><a href="fpga_hypernet_dir_tr_content.html#Hold_Analysis_Exceptions" style=" font-size: 13px;" target="mainFrame">Hold Analysis Report</a>
|
||||
</li>
|
||||
<!--Hold_Analysis_Exceptions end-->
|
||||
<!--Recovery_Analysis_Exceptions begin-->
|
||||
<li><div class="triangle_fake"></div><a href="fpga_hypernet_dir_tr_content.html#Recovery_Analysis_Exceptions" style=" font-size: 13px;" target="mainFrame">Recovery Analysis Report</a>
|
||||
</li>
|
||||
<!--Recovery_Analysis_Exceptions end-->
|
||||
<!--Removal_Analysis_Exceptions begin-->
|
||||
<li><div class="triangle_fake" onclick="onClick(this)"></div><a href="fpga_hypernet_dir_tr_content.html#Removal_Analysis_Exceptions" style=" font-size: 13px;" target="mainFrame">Removal Analysis Report</a>
|
||||
</li>
|
||||
<!--Removal_Analysis_Exceptions end-->
|
||||
</ul>
|
||||
</li>
|
||||
<!--Timing_Exceptions_Report end-->
|
||||
<!--SDC_Report begin-->
|
||||
<li><div class="triangle_fake"></div><a href="fpga_hypernet_dir_tr_content.html#SDC_Report" style=" font-size: 14px;" target="mainFrame">Timing Constraints Report</a></li>
|
||||
<!--SDC_Report end-->
|
||||
</ul>
|
||||
</li>
|
||||
<!-- details end-->
|
||||
</ul>
|
||||
</div><!-- catalog -->
|
||||
</div><!-- catalog_wrapper -->
|
||||
</body>
|
||||
</html>
|
||||
23544
src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir_tr_content.html
Normal file
23544
src/hdl/fpga_hypernet_dir/impl/pnr/fpga_hypernet_dir_tr_content.html
Normal file
File diff suppressed because it is too large
Load Diff
152
src/hdl/fpga_hypernet_dir/impl/temp/rtl_parser.result
Normal file
152
src/hdl/fpga_hypernet_dir/impl/temp/rtl_parser.result
Normal file
@ -0,0 +1,152 @@
|
||||
[
|
||||
{
|
||||
"InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v",
|
||||
"InstLine" : 1,
|
||||
"InstName" : "top",
|
||||
"ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v",
|
||||
"ModuleLine" : 1,
|
||||
"ModuleName" : "top",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v",
|
||||
"InstLine" : 42,
|
||||
"InstName" : "pll",
|
||||
"ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_rpll/gowin_rpll_123M.vhd",
|
||||
"ModuleLine" : 13,
|
||||
"ModuleName" : "Gowin_rPLL_123M"
|
||||
},
|
||||
{
|
||||
"InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v",
|
||||
"InstLine" : 48,
|
||||
"InstName" : "clk5",
|
||||
"ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv5.vhd",
|
||||
"ModuleLine" : 13,
|
||||
"ModuleName" : "Gowin_CLKDIV5"
|
||||
},
|
||||
{
|
||||
"InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v",
|
||||
"InstLine" : 54,
|
||||
"InstName" : "clk2",
|
||||
"ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv2.vhd",
|
||||
"ModuleLine" : 13,
|
||||
"ModuleName" : "Gowin_CLKDIV2"
|
||||
},
|
||||
{
|
||||
"InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v",
|
||||
"InstLine" : 61,
|
||||
"InstName" : "tx_status",
|
||||
"ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/blinky.vhd",
|
||||
"ModuleLine" : 5,
|
||||
"ModuleName" : "led_blinker"
|
||||
},
|
||||
{
|
||||
"InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v",
|
||||
"InstLine" : 67,
|
||||
"InstName" : "main_tx_1_inst",
|
||||
"ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_tx.v",
|
||||
"ModuleLine" : 5,
|
||||
"ModuleName" : "main_tx",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_tx.v",
|
||||
"InstLine" : 37,
|
||||
"InstName" : "gowin_fifo",
|
||||
"ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_fifo/gowin_fifo24.vhd",
|
||||
"ModuleLine" : 12,
|
||||
"ModuleName" : "gowin_fifo24"
|
||||
},
|
||||
{
|
||||
"InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_tx.v",
|
||||
"InstLine" : 72,
|
||||
"InstName" : "gowin_aes3_tx",
|
||||
"ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/gowin_spdif_tx.vhd",
|
||||
"ModuleLine" : 9,
|
||||
"ModuleName" : "Gowin_SPDIF_TX"
|
||||
},
|
||||
{
|
||||
"InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_tx.v",
|
||||
"InstLine" : 61,
|
||||
"InstName" : "channel_status",
|
||||
"ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/hypernet_tx_channel_status.vhd",
|
||||
"ModuleLine" : 6,
|
||||
"ModuleName" : "hypernet_tx_channel_status"
|
||||
},
|
||||
{
|
||||
"InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_tx.v",
|
||||
"InstLine" : 99,
|
||||
"InstName" : "clocks",
|
||||
"ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/hypernet_synced_i2s_clocks.vhd",
|
||||
"ModuleLine" : 4,
|
||||
"ModuleName" : "hypernet_synced_i2s_clocks"
|
||||
},
|
||||
{
|
||||
"InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_tx.v",
|
||||
"InstLine" : 122,
|
||||
"InstName" : "receiver",
|
||||
"ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/i2s_quad_receiver.vhd",
|
||||
"ModuleLine" : 6,
|
||||
"ModuleName" : "i2s_quad_receiver"
|
||||
},
|
||||
{
|
||||
"InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_tx.v",
|
||||
"InstLine" : 148,
|
||||
"InstName" : "muxer",
|
||||
"ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/hypernet_mux.vhd",
|
||||
"ModuleLine" : 6,
|
||||
"ModuleName" : "hypernet_mux"
|
||||
}
|
||||
]
|
||||
},
|
||||
{
|
||||
"InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v",
|
||||
"InstLine" : 85,
|
||||
"InstName" : "rx_status",
|
||||
"ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/blinky.vhd",
|
||||
"ModuleLine" : 5,
|
||||
"ModuleName" : "led_blinker"
|
||||
},
|
||||
{
|
||||
"InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v",
|
||||
"InstLine" : 91,
|
||||
"InstName" : "main_rx_1_inst",
|
||||
"ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_rx.v",
|
||||
"ModuleLine" : 12,
|
||||
"ModuleName" : "main_rx",
|
||||
"SubInsts" : [
|
||||
{
|
||||
"InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_rx.v",
|
||||
"InstLine" : 44,
|
||||
"InstName" : "demuxer",
|
||||
"ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/hypernet_demux.vhd",
|
||||
"ModuleLine" : 6,
|
||||
"ModuleName" : "hypernet_demux"
|
||||
},
|
||||
{
|
||||
"InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_rx.v",
|
||||
"InstLine" : 64,
|
||||
"InstName" : "sclk_div",
|
||||
"ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv4.vhd",
|
||||
"ModuleLine" : 13,
|
||||
"ModuleName" : "Gowin_CLKDIV4"
|
||||
},
|
||||
{
|
||||
"InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_rx.v",
|
||||
"InstLine" : 71,
|
||||
"InstName" : "clocks",
|
||||
"ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/hypernet_synced_i2s_clocks.vhd",
|
||||
"ModuleLine" : 4,
|
||||
"ModuleName" : "hypernet_synced_i2s_clocks"
|
||||
},
|
||||
{
|
||||
"InstFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_rx.v",
|
||||
"InstLine" : 83,
|
||||
"InstName" : "transmitter",
|
||||
"ModuleFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/i2s_quad_transmitter.vhd",
|
||||
"ModuleLine" : 11,
|
||||
"ModuleName" : "i2s_quad_transmitter"
|
||||
}
|
||||
]
|
||||
}
|
||||
]
|
||||
}
|
||||
]
|
||||
90
src/hdl/fpga_hypernet_dir/impl/temp/rtl_parser_arg.json
Normal file
90
src/hdl/fpga_hypernet_dir/impl/temp/rtl_parser_arg.json
Normal file
@ -0,0 +1,90 @@
|
||||
{
|
||||
"Device" : "GW1NR-9C",
|
||||
"Files" : [
|
||||
{
|
||||
"Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main.v",
|
||||
"Type" : "verilog"
|
||||
},
|
||||
{
|
||||
"Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_rx.v",
|
||||
"Type" : "verilog"
|
||||
},
|
||||
{
|
||||
"Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/main_tx.v",
|
||||
"Type" : "verilog"
|
||||
},
|
||||
{
|
||||
"Library" : "work",
|
||||
"Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/blinky.vhd",
|
||||
"Type" : "vhdl"
|
||||
},
|
||||
{
|
||||
"Library" : "work",
|
||||
"Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv2.vhd",
|
||||
"Type" : "vhdl"
|
||||
},
|
||||
{
|
||||
"Library" : "work",
|
||||
"Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv4.vhd",
|
||||
"Type" : "vhdl"
|
||||
},
|
||||
{
|
||||
"Library" : "work",
|
||||
"Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv5.vhd",
|
||||
"Type" : "vhdl"
|
||||
},
|
||||
{
|
||||
"Library" : "work",
|
||||
"Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_fifo/gowin_fifo24.vhd",
|
||||
"Type" : "vhdl"
|
||||
},
|
||||
{
|
||||
"Library" : "work",
|
||||
"Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_rpll/gowin_rpll_123M.vhd",
|
||||
"Type" : "vhdl"
|
||||
},
|
||||
{
|
||||
"Library" : "work",
|
||||
"Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/gowin_spdif_tx.vhd",
|
||||
"Type" : "vhdl"
|
||||
},
|
||||
{
|
||||
"Library" : "work",
|
||||
"Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/hypernet_synced_i2s_clocks.vhd",
|
||||
"Type" : "vhdl"
|
||||
},
|
||||
{
|
||||
"Library" : "work",
|
||||
"Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/hypernet_tx_channel_status.vhd",
|
||||
"Type" : "vhdl"
|
||||
},
|
||||
{
|
||||
"Library" : "work",
|
||||
"Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/i2s_quad_receiver.vhd",
|
||||
"Type" : "vhdl"
|
||||
},
|
||||
{
|
||||
"Library" : "work",
|
||||
"Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/i2s_quad_transmitter.vhd",
|
||||
"Type" : "vhdl"
|
||||
},
|
||||
{
|
||||
"Library" : "work",
|
||||
"Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/hypernet_demux.vhd",
|
||||
"Type" : "vhdl"
|
||||
},
|
||||
{
|
||||
"Library" : "work",
|
||||
"Path" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/hypernet_mux.vhd",
|
||||
"Type" : "vhdl"
|
||||
}
|
||||
],
|
||||
"IncludePath" : [
|
||||
|
||||
],
|
||||
"LoopLimit" : 2000,
|
||||
"ResultFile" : "C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/impl/temp/rtl_parser.result",
|
||||
"Top" : "top",
|
||||
"VerilogStd" : "verilog_2001",
|
||||
"VhdlStd" : "vhdl_2008"
|
||||
}
|
||||
45
src/hdl/fpga_hypernet_dir/src/blinky.vhd
Normal file
45
src/hdl/fpga_hypernet_dir/src/blinky.vhd
Normal file
@ -0,0 +1,45 @@
|
||||
library ieee;
|
||||
use ieee.std_logic_1164.all;
|
||||
use ieee.numeric_std.all;
|
||||
|
||||
entity led_blinker is
|
||||
port (
|
||||
clk : in std_logic; -- 12.288MHz input clock
|
||||
running : in std_logic; -- Lock signal (LED on when running, blinks when unlocked)
|
||||
led_out : out std_logic; -- LED output (active high)
|
||||
led_out_n : out std_logic -- LED output (active low)
|
||||
);
|
||||
end entity led_blinker;
|
||||
|
||||
architecture rtl of led_blinker is
|
||||
constant CLK_FREQ : integer := 12_288_000; -- 12.288MHz input clock frequency
|
||||
constant TOGGLE_PERIOD : integer := 6_144_000;
|
||||
|
||||
-- Counter to divide down the clock
|
||||
signal counter : integer range 0 to TOGGLE_PERIOD - 1 := 0;
|
||||
signal led_state : std_logic := '0';
|
||||
begin
|
||||
|
||||
-- Clock divider and LED control process
|
||||
blink_process : process(clk)
|
||||
begin
|
||||
if rising_edge(clk) then
|
||||
if running = '1' then
|
||||
counter <= 0;
|
||||
led_state <= '0'; -- Will be inverted to '0' at output
|
||||
else
|
||||
if counter = TOGGLE_PERIOD - 1 then
|
||||
counter <= 0;
|
||||
led_state <= not led_state;
|
||||
else
|
||||
counter <= counter + 1;
|
||||
end if;
|
||||
end if;
|
||||
end if;
|
||||
end process blink_process;
|
||||
|
||||
-- Output assignment
|
||||
led_out <= led_state;
|
||||
led_out_n <= not led_state;
|
||||
|
||||
end architecture rtl;
|
||||
71
src/hdl/fpga_hypernet_dir/src/fpga_hypernet_dir.cst
Normal file
71
src/hdl/fpga_hypernet_dir/src/fpga_hypernet_dir.cst
Normal file
@ -0,0 +1,71 @@
|
||||
//Copyright (C)2014-2024 Gowin Semiconductor Corporation.
|
||||
//All rights reserved.
|
||||
//File Title: Physical Constraints file
|
||||
//Tool Version: V1.9.10.03 Education (64-bit)
|
||||
//Part Number: GW1NR-LV9QN88PC6/I5
|
||||
//Device: GW1NR-9
|
||||
//Device Version: C
|
||||
//Created Time: Sun 10 05 22:55:21 2025
|
||||
|
||||
IO_LOC "debug4" 71;
|
||||
IO_PORT "debug4" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
|
||||
IO_LOC "debug3" 70;
|
||||
IO_PORT "debug3" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
|
||||
IO_LOC "debug2" 48;
|
||||
IO_PORT "debug2" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
|
||||
IO_LOC "debug1" 49;
|
||||
IO_PORT "debug1" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
|
||||
IO_LOC "aes3_in_active_n" 84;
|
||||
IO_PORT "aes3_in_active_n" IO_TYPE=LVCMOS18 PULL_MODE=UP DRIVE=8 BANK_VCCIO=1.8;
|
||||
IO_LOC "aes3_out" 28;
|
||||
IO_PORT "aes3_out" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
|
||||
IO_LOC "aes3_out_active_n" 85;
|
||||
IO_PORT "aes3_out_active_n" IO_TYPE=LVCMOS18 PULL_MODE=UP DRIVE=8 BANK_VCCIO=1.8;
|
||||
IO_LOC "i2s_in_lrclk" 53;
|
||||
IO_PORT "i2s_in_lrclk" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
|
||||
IO_LOC "i2s_in_bclk" 42;
|
||||
IO_PORT "i2s_in_bclk" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
|
||||
IO_LOC "i2s_in_sclk" 51;
|
||||
IO_PORT "i2s_in_sclk" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
|
||||
IO_LOC "i2s_out_data_4" 34;
|
||||
IO_PORT "i2s_out_data_4" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
|
||||
IO_LOC "i2s_out_data_3" 33;
|
||||
IO_PORT "i2s_out_data_3" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
|
||||
IO_LOC "i2s_out_data_2" 30;
|
||||
IO_PORT "i2s_out_data_2" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
|
||||
IO_LOC "i2s_out_data_1" 29;
|
||||
IO_PORT "i2s_out_data_1" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
|
||||
IO_LOC "i2s_out_lrclk" 40;
|
||||
IO_PORT "i2s_out_lrclk" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
|
||||
IO_LOC "i2s_out_bclk" 41;
|
||||
IO_PORT "i2s_out_bclk" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
|
||||
IO_LOC "i2s_out_sclk" 35;
|
||||
IO_PORT "i2s_out_sclk" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3;
|
||||
IO_LOC "i2s_in_data_4" 57;
|
||||
IO_PORT "i2s_in_data_4" IO_TYPE=LVCMOS33 PULL_MODE=DOWN BANK_VCCIO=3.3;
|
||||
IO_LOC "i2s_in_data_3" 56;
|
||||
IO_PORT "i2s_in_data_3" IO_TYPE=LVCMOS33 PULL_MODE=DOWN BANK_VCCIO=3.3;
|
||||
IO_LOC "i2s_in_data_2" 55;
|
||||
IO_PORT "i2s_in_data_2" IO_TYPE=LVCMOS33 PULL_MODE=DOWN BANK_VCCIO=3.3;
|
||||
IO_LOC "i2s_in_data_1" 54;
|
||||
IO_PORT "i2s_in_data_1" IO_TYPE=LVCMOS33 PULL_MODE=DOWN BANK_VCCIO=3.3;
|
||||
IO_LOC "dir_lock" 26;
|
||||
IO_PORT "dir_lock" IO_TYPE=LVCMOS33 PULL_MODE=UP BANK_VCCIO=3.3;
|
||||
IO_LOC "dir_data" 25;
|
||||
IO_PORT "dir_data" IO_TYPE=LVCMOS33 PULL_MODE=UP BANK_VCCIO=3.3;
|
||||
IO_LOC "dir_lrclk" 39;
|
||||
IO_PORT "dir_lrclk" IO_TYPE=LVCMOS33 PULL_MODE=UP BANK_VCCIO=3.3;
|
||||
IO_LOC "dir_bclk" 37;
|
||||
IO_PORT "dir_bclk" IO_TYPE=LVCMOS33 PULL_MODE=UP BANK_VCCIO=3.3;
|
||||
IO_LOC "dir_sclk" 38;
|
||||
IO_PORT "dir_sclk" IO_TYPE=LVCMOS33 PULL_MODE=UP BANK_VCCIO=3.3;
|
||||
IO_LOC "sys_key_a" 3;
|
||||
IO_PORT "sys_key_a" IO_TYPE=LVCMOS18 PULL_MODE=UP BANK_VCCIO=1.8;
|
||||
IO_LOC "sys_clk" 52;
|
||||
IO_PORT "sys_clk" IO_TYPE=LVCMOS33 PULL_MODE=UP BANK_VCCIO=3.3;
|
||||
IO_LOC "audio_clk" 36;
|
||||
IO_PORT "audio_clk" IO_TYPE=LVCMOS33 PULL_MODE=UP BANK_VCCIO=3.3;
|
||||
IO_LOC "dir_bframe" 27;
|
||||
IO_PORT "dir_bframe" IO_TYPE=LVCMOS33 PULL_MODE=UP BANK_VCCIO=3.3;
|
||||
IO_LOC "sys_key_b" 4;
|
||||
IO_PORT "sys_key_b" IO_TYPE=LVCMOS18 PULL_MODE=UP BANK_VCCIO=1.8;
|
||||
15
src/hdl/fpga_hypernet_dir/src/fpga_hypernet_dir.sdc
Normal file
15
src/hdl/fpga_hypernet_dir/src/fpga_hypernet_dir.sdc
Normal file
@ -0,0 +1,15 @@
|
||||
//Copyright (C)2014-2025 GOWIN Semiconductor Corporation.
|
||||
//All rights reserved.
|
||||
//File Title: Timing Constraints file
|
||||
//Tool Version: V1.9.10.03 Education (64-bit)
|
||||
//Created Time: 2025-09-07 01:27:16
|
||||
create_clock -name i2s_out_sclk -period 81.38 -waveform {0 40.69} [get_ports {i2s_out_sclk}]
|
||||
create_clock -name audio_clk -period 81.38 -waveform {0 40.69} [get_ports {audio_clk}]
|
||||
create_clock -name i2s_in_bclk -period 325.521 -waveform {0 162.761} [get_ports {i2s_in_bclk}]
|
||||
create_clock -name i2s_in_sclk -period 81.38 -waveform {0 40.69} [get_ports {i2s_in_sclk}]
|
||||
create_clock -name i2s_out_lrclk -period 20833.332 -waveform {0 10416.666} [get_ports {i2s_out_lrclk}]
|
||||
create_clock -name dir_lrclk -period 5208.333 -waveform {0 2604.166} [get_ports {dir_lrclk}]
|
||||
create_clock -name dir_bclk -period 81.38 -waveform {0 40.69} [get_ports {dir_bclk}]
|
||||
create_clock -name dir_sclk -period 20.345 -waveform {0 10.172} [get_ports {dir_sclk}]
|
||||
create_clock -name i2s_out_bclk -period 325.521 -waveform {0 162.761} [get_ports {i2s_out_bclk}]
|
||||
create_clock -name i2s_in_lrclk -period 20833.332 -waveform {0 10416.666} [get_ports {i2s_in_lrclk}]
|
||||
12
src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv2.ipc
Normal file
12
src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv2.ipc
Normal file
@ -0,0 +1,12 @@
|
||||
[General]
|
||||
ipc_version=4
|
||||
file=gowin_clkdiv2
|
||||
module=Gowin_CLKDIV2
|
||||
target_device=gw1nr9c-004
|
||||
type=clock_clkdiv
|
||||
version=1.0
|
||||
|
||||
[Config]
|
||||
Calibration=false
|
||||
Division_Factor=2
|
||||
Language=1
|
||||
14
src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv2.mod
Normal file
14
src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv2.mod
Normal file
@ -0,0 +1,14 @@
|
||||
-series GW1NR
|
||||
-device GW1NR-9
|
||||
-device_version C
|
||||
-package QFN88P
|
||||
-part_number GW1NR-LV9QN88PC6/I5
|
||||
|
||||
|
||||
-mod_name Gowin_CLKDIV2
|
||||
-file_name gowin_clkdiv2
|
||||
-path C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/
|
||||
-type CLKDIV
|
||||
-file_type vhdl
|
||||
-division_factor 2
|
||||
-calib false
|
||||
54
src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv2.vhd
Normal file
54
src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv2.vhd
Normal file
@ -0,0 +1,54 @@
|
||||
--Copyright (C)2014-2024 Gowin Semiconductor Corporation.
|
||||
--All rights reserved.
|
||||
--File Title: IP file
|
||||
--Tool Version: V1.9.10.03 Education (64-bit)
|
||||
--Part Number: GW1NR-LV9QN88PC6/I5
|
||||
--Device: GW1NR-9
|
||||
--Device Version: C
|
||||
--Created Time: Tue Aug 19 21:38:12 2025
|
||||
|
||||
library IEEE;
|
||||
use IEEE.std_logic_1164.all;
|
||||
|
||||
entity Gowin_CLKDIV2 is
|
||||
port (
|
||||
clkout: out std_logic;
|
||||
hclkin: in std_logic;
|
||||
resetn: in std_logic
|
||||
);
|
||||
end Gowin_CLKDIV2;
|
||||
|
||||
architecture Behavioral of Gowin_CLKDIV2 is
|
||||
|
||||
signal gw_gnd: std_logic;
|
||||
|
||||
--component declaration
|
||||
component CLKDIV
|
||||
generic (
|
||||
GSREN: STRING := "false";
|
||||
DIV_MODE : STRING := "2"
|
||||
);
|
||||
port (
|
||||
CLKOUT: out std_logic;
|
||||
HCLKIN: in std_logic;
|
||||
RESETN: in std_logic;
|
||||
CALIB: in std_logic
|
||||
);
|
||||
end component;
|
||||
|
||||
begin
|
||||
gw_gnd <= '0';
|
||||
|
||||
clkdiv_inst: CLKDIV
|
||||
generic map (
|
||||
GSREN => "false",
|
||||
DIV_MODE => "2"
|
||||
)
|
||||
port map (
|
||||
CLKOUT => clkout,
|
||||
HCLKIN => hclkin,
|
||||
RESETN => resetn,
|
||||
CALIB => gw_gnd
|
||||
);
|
||||
|
||||
end Behavioral; --Gowin_CLKDIV2
|
||||
@ -0,0 +1,28 @@
|
||||
--Copyright (C)2014-2024 Gowin Semiconductor Corporation.
|
||||
--All rights reserved.
|
||||
--File Title: Template file for instantiation
|
||||
--Tool Version: V1.9.10.03 Education (64-bit)
|
||||
--Part Number: GW1NR-LV9QN88PC6/I5
|
||||
--Device: GW1NR-9
|
||||
--Device Version: C
|
||||
--Created Time: Tue Aug 19 21:38:12 2025
|
||||
|
||||
--Change the instance name and port connections to the signal names
|
||||
----------Copy here to design--------
|
||||
|
||||
component Gowin_CLKDIV2
|
||||
port (
|
||||
clkout: out std_logic;
|
||||
hclkin: in std_logic;
|
||||
resetn: in std_logic
|
||||
);
|
||||
end component;
|
||||
|
||||
your_instance_name: Gowin_CLKDIV2
|
||||
port map (
|
||||
clkout => clkout,
|
||||
hclkin => hclkin,
|
||||
resetn => resetn
|
||||
);
|
||||
|
||||
----------Copy end-------------------
|
||||
12
src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv4.ipc
Normal file
12
src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv4.ipc
Normal file
@ -0,0 +1,12 @@
|
||||
[General]
|
||||
ipc_version=4
|
||||
file=gowin_clkdiv4
|
||||
module=Gowin_CLKDIV4
|
||||
target_device=gw1nr9c-004
|
||||
type=clock_clkdiv
|
||||
version=1.0
|
||||
|
||||
[Config]
|
||||
Calibration=false
|
||||
Division_Factor=4
|
||||
Language=1
|
||||
14
src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv4.mod
Normal file
14
src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv4.mod
Normal file
@ -0,0 +1,14 @@
|
||||
-series GW1NR
|
||||
-device GW1NR-9
|
||||
-device_version C
|
||||
-package QFN88P
|
||||
-part_number GW1NR-LV9QN88PC6/I5
|
||||
|
||||
|
||||
-mod_name Gowin_CLKDIV4
|
||||
-file_name gowin_clkdiv4
|
||||
-path C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/
|
||||
-type CLKDIV
|
||||
-file_type vhdl
|
||||
-division_factor 4
|
||||
-calib false
|
||||
54
src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv4.vhd
Normal file
54
src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv4.vhd
Normal file
@ -0,0 +1,54 @@
|
||||
--Copyright (C)2014-2024 Gowin Semiconductor Corporation.
|
||||
--All rights reserved.
|
||||
--File Title: IP file
|
||||
--Tool Version: V1.9.10.03 Education (64-bit)
|
||||
--Part Number: GW1NR-LV9QN88PC6/I5
|
||||
--Device: GW1NR-9
|
||||
--Device Version: C
|
||||
--Created Time: Tue Aug 19 22:30:07 2025
|
||||
|
||||
library IEEE;
|
||||
use IEEE.std_logic_1164.all;
|
||||
|
||||
entity Gowin_CLKDIV4 is
|
||||
port (
|
||||
clkout: out std_logic;
|
||||
hclkin: in std_logic;
|
||||
resetn: in std_logic
|
||||
);
|
||||
end Gowin_CLKDIV4;
|
||||
|
||||
architecture Behavioral of Gowin_CLKDIV4 is
|
||||
|
||||
signal gw_gnd: std_logic;
|
||||
|
||||
--component declaration
|
||||
component CLKDIV
|
||||
generic (
|
||||
GSREN: STRING := "false";
|
||||
DIV_MODE : STRING := "2"
|
||||
);
|
||||
port (
|
||||
CLKOUT: out std_logic;
|
||||
HCLKIN: in std_logic;
|
||||
RESETN: in std_logic;
|
||||
CALIB: in std_logic
|
||||
);
|
||||
end component;
|
||||
|
||||
begin
|
||||
gw_gnd <= '0';
|
||||
|
||||
clkdiv_inst: CLKDIV
|
||||
generic map (
|
||||
GSREN => "false",
|
||||
DIV_MODE => "4"
|
||||
)
|
||||
port map (
|
||||
CLKOUT => clkout,
|
||||
HCLKIN => hclkin,
|
||||
RESETN => resetn,
|
||||
CALIB => gw_gnd
|
||||
);
|
||||
|
||||
end Behavioral; --Gowin_CLKDIV4
|
||||
@ -0,0 +1,28 @@
|
||||
--Copyright (C)2014-2024 Gowin Semiconductor Corporation.
|
||||
--All rights reserved.
|
||||
--File Title: Template file for instantiation
|
||||
--Tool Version: V1.9.10.03 Education (64-bit)
|
||||
--Part Number: GW1NR-LV9QN88PC6/I5
|
||||
--Device: GW1NR-9
|
||||
--Device Version: C
|
||||
--Created Time: Tue Aug 19 22:30:07 2025
|
||||
|
||||
--Change the instance name and port connections to the signal names
|
||||
----------Copy here to design--------
|
||||
|
||||
component Gowin_CLKDIV4
|
||||
port (
|
||||
clkout: out std_logic;
|
||||
hclkin: in std_logic;
|
||||
resetn: in std_logic
|
||||
);
|
||||
end component;
|
||||
|
||||
your_instance_name: Gowin_CLKDIV4
|
||||
port map (
|
||||
clkout => clkout,
|
||||
hclkin => hclkin,
|
||||
resetn => resetn
|
||||
);
|
||||
|
||||
----------Copy end-------------------
|
||||
12
src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv5.ipc
Normal file
12
src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv5.ipc
Normal file
@ -0,0 +1,12 @@
|
||||
[General]
|
||||
ipc_version=4
|
||||
file=gowin_clkdiv5
|
||||
module=Gowin_CLKDIV5
|
||||
target_device=gw1nr9c-004
|
||||
type=clock_clkdiv
|
||||
version=1.0
|
||||
|
||||
[Config]
|
||||
Calibration=false
|
||||
Division_Factor=5
|
||||
Language=1
|
||||
14
src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv5.mod
Normal file
14
src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv5.mod
Normal file
@ -0,0 +1,14 @@
|
||||
-series GW1NR
|
||||
-device GW1NR-9
|
||||
-device_version C
|
||||
-package QFN88P
|
||||
-part_number GW1NR-LV9QN88PC6/I5
|
||||
|
||||
|
||||
-mod_name Gowin_CLKDIV5
|
||||
-file_name gowin_clkdiv5
|
||||
-path C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/
|
||||
-type CLKDIV
|
||||
-file_type vhdl
|
||||
-division_factor 5
|
||||
-calib false
|
||||
54
src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv5.vhd
Normal file
54
src/hdl/fpga_hypernet_dir/src/gowin_clkdiv/gowin_clkdiv5.vhd
Normal file
@ -0,0 +1,54 @@
|
||||
--Copyright (C)2014-2024 Gowin Semiconductor Corporation.
|
||||
--All rights reserved.
|
||||
--File Title: IP file
|
||||
--Tool Version: V1.9.10.03 Education (64-bit)
|
||||
--Part Number: GW1NR-LV9QN88PC6/I5
|
||||
--Device: GW1NR-9
|
||||
--Device Version: C
|
||||
--Created Time: Tue Aug 19 21:38:44 2025
|
||||
|
||||
library IEEE;
|
||||
use IEEE.std_logic_1164.all;
|
||||
|
||||
entity Gowin_CLKDIV5 is
|
||||
port (
|
||||
clkout: out std_logic;
|
||||
hclkin: in std_logic;
|
||||
resetn: in std_logic
|
||||
);
|
||||
end Gowin_CLKDIV5;
|
||||
|
||||
architecture Behavioral of Gowin_CLKDIV5 is
|
||||
|
||||
signal gw_gnd: std_logic;
|
||||
|
||||
--component declaration
|
||||
component CLKDIV
|
||||
generic (
|
||||
GSREN: STRING := "false";
|
||||
DIV_MODE : STRING := "2"
|
||||
);
|
||||
port (
|
||||
CLKOUT: out std_logic;
|
||||
HCLKIN: in std_logic;
|
||||
RESETN: in std_logic;
|
||||
CALIB: in std_logic
|
||||
);
|
||||
end component;
|
||||
|
||||
begin
|
||||
gw_gnd <= '0';
|
||||
|
||||
clkdiv_inst: CLKDIV
|
||||
generic map (
|
||||
GSREN => "false",
|
||||
DIV_MODE => "5"
|
||||
)
|
||||
port map (
|
||||
CLKOUT => clkout,
|
||||
HCLKIN => hclkin,
|
||||
RESETN => resetn,
|
||||
CALIB => gw_gnd
|
||||
);
|
||||
|
||||
end Behavioral; --Gowin_CLKDIV5
|
||||
@ -0,0 +1,28 @@
|
||||
--Copyright (C)2014-2024 Gowin Semiconductor Corporation.
|
||||
--All rights reserved.
|
||||
--File Title: Template file for instantiation
|
||||
--Tool Version: V1.9.10.03 Education (64-bit)
|
||||
--Part Number: GW1NR-LV9QN88PC6/I5
|
||||
--Device: GW1NR-9
|
||||
--Device Version: C
|
||||
--Created Time: Tue Aug 19 21:38:44 2025
|
||||
|
||||
--Change the instance name and port connections to the signal names
|
||||
----------Copy here to design--------
|
||||
|
||||
component Gowin_CLKDIV5
|
||||
port (
|
||||
clkout: out std_logic;
|
||||
hclkin: in std_logic;
|
||||
resetn: in std_logic
|
||||
);
|
||||
end component;
|
||||
|
||||
your_instance_name: Gowin_CLKDIV5
|
||||
port map (
|
||||
clkout => clkout,
|
||||
hclkin => hclkin,
|
||||
resetn => resetn
|
||||
);
|
||||
|
||||
----------Copy end-------------------
|
||||
32
src/hdl/fpga_hypernet_dir/src/gowin_fifo/gowin_fifo24.ipc
Normal file
32
src/hdl/fpga_hypernet_dir/src/gowin_fifo/gowin_fifo24.ipc
Normal file
@ -0,0 +1,32 @@
|
||||
[General]
|
||||
ipc_version=4
|
||||
file=gowin_fifo24
|
||||
module=gowin_fifo24
|
||||
target_device=gw1nr9c-004
|
||||
type=fifo
|
||||
version=3.0
|
||||
|
||||
[Config]
|
||||
ALEMPTY=0
|
||||
ALEMPTY_SET=4
|
||||
ALFULL=0
|
||||
ALFULL_SET=8
|
||||
COUNT_R=false
|
||||
COUNT_W=false
|
||||
DEPTH_R=3
|
||||
DEPTH_W=3
|
||||
ECC=false
|
||||
EN_ALEMPTY=true
|
||||
EN_ALFULL=true
|
||||
FWFT=false
|
||||
IMPL=0
|
||||
IO_INSERTION=false
|
||||
LANG=1
|
||||
OUTPUT_REG=false
|
||||
RDEN_CTRL=false
|
||||
RESET=true
|
||||
RESET_SYNC=true
|
||||
Read_Write_Check_on_RAM=true
|
||||
SIZE_W=24
|
||||
STANDARD_FIFO=true
|
||||
Synthesis_tool=GowinSynthesis
|
||||
425
src/hdl/fpga_hypernet_dir/src/gowin_fifo/gowin_fifo24.vhd
Normal file
425
src/hdl/fpga_hypernet_dir/src/gowin_fifo/gowin_fifo24.vhd
Normal file
@ -0,0 +1,425 @@
|
||||
--
|
||||
--Written by GowinSynthesis
|
||||
--Tool Version "V1.9.10.03 Education (64-bit)"
|
||||
--Tue Aug 19 23:16:31 2025
|
||||
|
||||
--Source file index table:
|
||||
--file0 "\C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/fifo_define.v"
|
||||
--file1 "\C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/fifo_parameter.v"
|
||||
--file2 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/edc.v"
|
||||
--file3 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/fifo.v"
|
||||
--file4 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/fifo_top.v"
|
||||
`protect begin_protected
|
||||
`protect version="2.3"
|
||||
`protect author="default"
|
||||
`protect author_info="default"
|
||||
`protect encrypt_agent="GOWIN"
|
||||
`protect encrypt_agent_info="GOWIN Encrypt Version 2.3"
|
||||
|
||||
`protect encoding=(enctype="base64", line_length=76, bytes=256)
|
||||
`protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa"
|
||||
`protect key_block
|
||||
tr4uTyjTC6ybPRQQl1Ho1FdeRaAetRnMEgw23NH75DaXXOeUL8ejGWW4yGukQ9GAE7L8a9UjQhi1
|
||||
Wv8JY8zi+egHNWHq2H/fYVhq4x7Nd7mMgDngeO9HB2ekxxkmBQOkAofubskB8/zL/WqsvnJcIgRd
|
||||
NbobxhhoCD7U2m+xd2BG1MkGfF+yrC4TmfvOnJJiM8pzmEFGiS6INr2DfHsJzf4xAdOp0DN3DyRb
|
||||
BcwThXPk6lrdFM9mYGO4r2dJiB25D8G6gw4mQex3sLOJUBHTQ94fggfjsHDqVoBwVJs29FmME9Rw
|
||||
D6e2xIvPvj5lR/idlmTCNuS0Qch/yhM6djFROg==
|
||||
|
||||
`protect encoding=(enctype="base64", line_length=76, bytes=22304)
|
||||
`protect data_keyowner="default-ip-vendor"
|
||||
`protect data_keyname="default-ip-key"
|
||||
`protect data_method="aes128-cfb"
|
||||
`protect data_block
|
||||
Ui6eM/iI8GM3oWjv7qcNG9+HIlM/q19t7rBZYgbEgznKJb+5Q4x8So13P4HujKs34OVePTN6mPt8
|
||||
p0OkJNwvtbx68pN+xXCgUu7oHdBbVhQDa5P4zRpIfibumScRudqo3MUJ+LBC9QPIiGTAVtvqkFZu
|
||||
n8vGTp2/y1Ywn9SZPqUVS5Cy4XNlW7/x4Lx5h4PySy7w4akj1ScskXSb5w3CdmFOItMiwj6ZOT/y
|
||||
wkTu0hLmxJHXoBtevll2BcSw9hhuGn5QOmsNVvw988siti6bOmOaGl6WtxzkVNwHRsrxD6Gza13J
|
||||
lGXVhXf1Jv/LN4QO8lr/LKaMw+pcUj30ZL+0bORlcJYW6FDyBdFYmsUH17IsbMMiLbFJ5U2Nh++U
|
||||
PaYCGQ9edj7vm4Uz4R9kCtsj87BsmJCnMqcn0gdcT36VvDjNZvflG7+/TVUTdOAHVV9puS07moj+
|
||||
Uev/7yMdjJnEs0iCE6HMPsYrZZfvnQLv8+rJF+ZOOPiv9h3VdIW/ZyupJlbdncb+Dr8/wMKhLNE2
|
||||
l42v9OKIJ2YSj31tSoFUw/Z3G3kO0fe7lsZNkEH930oFL11ZkypCWjpodH/lj9hp3YQVG4vwd77b
|
||||
FkJiMpLh+Tb9ap7uH/WXlJ30QnPCqgtdl5fNN302SS7IQHXNfFhyiXKmFiiuawa5wsZSmFliHvBT
|
||||
TnjWrH2voxShd9Bzk53856/4n6Snw2vMCqkpHM9hqvR3x8ReNPjojNFbMHmpCxZrv/XRNv2JX2UB
|
||||
vr4aqBhzDphPZhU90qXO29PN/hLaZGdgV9N8iX9IpDvCqeyKLLcGPrHW+wBQYNSGX0L18/7wDrQU
|
||||
GUuQtIzN8xxgQqgwFUmqxDcLAK+0SrTYNpezd/W8hM8Kmf5g2hMGSTolQMFcjb2z39QtA1QR43Eb
|
||||
aemg2zNK/6z1VPeNp4Bcxov5OGF3La/9jm420y8Lr78IXpB3vGjPYl2b3kRjF6+xuh3bAlk3yMrT
|
||||
PJr2NciNbVb1SlbYj4oL+8UElldOHXv8Z6ZLLpamSy3DISmhKSggtEq1yMka/5uMmP4i0LKWJQHc
|
||||
YySWcYg82kY95O/ePqy2wXPpi9XF0qeGmPvIw98iHJEv27P2lI9cx6I+/ESFzay2eSiNyq+D04b5
|
||||
qg5KvaAz1JRnRgubz6m45ushx17jfuZeFRKIIDJwCB8LshD5xtIXIcXnmahDLzZa41d5tRfxGSra
|
||||
ZrLUEvEVeCOChlJ6g2hOQOBaea1sUVpX9CBmTn7xAgONoBS4UKUE6uHhI0P3iyC2NEhEdkl4x4A0
|
||||
tsfw7C6f5LZyWv0D1JUW8IdyrdQKIDztQrgLOlNH6v5pp25hdAbVHn0c+WF3YSd1+2FhIWzr3qXu
|
||||
T310JmBiEPZ/EQjsW3WD1nGShu2pY5rUrUI9H5Tj9PeKDxircw4LvE6+OnHL29bh1vJsxCStY5lG
|
||||
Fe+O96mpxU4Tbux1w09bnXaeLUNW/tKUZ8dIq6027GBgX13ktLkh6HroCJZK81mJOJS8Gun76dKK
|
||||
5hcR9JjFA+/OQpqvr3Q9q+XP0yaaodqUfZGYSbNIWQTbFstubjNgYklHjI9W9OUdRJAYCl26889b
|
||||
tawJU/RM1endT/LeveFlxxHZqRtl4Fs86OSDAx68gHCBZeym7LFiG7yG/efnMYcuJUyTWV6YLOks
|
||||
gDcVcupEnPjFB9yNnk6ESOms1dMUogAT2TcCp39rtq1wXNEuRS3qhKAWBT0vAssaeP1OnzbTTvey
|
||||
3guhe8Ms82eC8mE5h+wZMzoADkbhzXfD888z2Y/OqWuc86fXnbpk0VOHxC7c2M+vMWaNB+Tzg2fI
|
||||
HQi00HAS+8zd/G4XIZopET+sbvFwmIcdb0QklInGme6sO/FUcjuzoMxeVSKAKM/8fBsis6b/irhN
|
||||
5X37H6iXfkI+8WpTRmYn7u6aJqFXvfzt8h+aPhRAatBa8OT4XIxn+kUNeV0fGJpXMcoXnBSlLVzq
|
||||
Mh5xQ+7knpOeVpKf+1g4HaJR4+zou0kcr9lRpdBCeYOA+gsH0vShbRc4pgKHwR+LmPXrqvTkjeZq
|
||||
m03lbVmKKg/SCGNVvLtDflM7AIH4JUuwEXk2pIDdnuxxXYCA79vsv56rTh0/eV6R2gAvrG4akNhB
|
||||
i1gE860sQlu/X/rM115IAjDVcZnMBLG88UJRuN6AlGKn1tU0tGP9wFDVIRkKJ89FTa7n8iOJ6KWK
|
||||
+L8l4XtwDLNyKCpqUhWLSfFy1pY7FU6ZhfQYSHzYSqC+F4vyJhtwVGgB3G3+ozMlE6tqXesMNEbu
|
||||
o92vq1IrmPO2rCcaU+42+CUvfNgtxJs9eTnOFhpy2bPQ2vBzUA+h5SgagSlK/t8pN+FwT0ksrB9D
|
||||
eJ4+S88Rf5y6ISEUwe9eRQPIHZlKrhxAl5nKbeJMaegpYx2kq3ZLXGiO6E2xna8AFBpsWwXtIBh2
|
||||
M0ELS/9FMaKqEo6TvhR+Gpo1YwDDslwfdUWkMKblhB1A9rsFfmEmqaIhqDk2Q8x13PfUNQqDpTXx
|
||||
dgBXYvrA6vbJ8lLsOhNCKvtspfqcKJRZQMF2LNMCKxG5ynKH9ULRTqV+irabuh6wGy7bv3tyN5St
|
||||
J8Bcg+4XKLJZbdS8PIrICrHdx3EMShBWnB791ttD8N1IC2HSHBVQM75LxMZgffYN/DYRhwpTk7BV
|
||||
GNgADS6k5sLzUFZU7+olx4rgOnG3NnO62hruj18GBZMCCz7vU0CCCcpAIiOhUfR8MC3MbKvINsZn
|
||||
4L2ybMUUBK30dq3cYkx/iuXebgHErw9tNLwmtePd456nYB5bWVL7i4mfX5AtMr6WgPNaPN2JLw5i
|
||||
GHgBeD5TH+hG8cMDCRVvnf1lLGD3VjieEFJJ25nZH6B6QXueMv9WeWBRH3UWKTUGGx9wuWKMoUHC
|
||||
eO1YV6UkgBixprP77gixEUoL+F9HJrhtMT69P4QBQPevd0tJaOAg5mNwxXvaskN1PrPR3GwkH1Ej
|
||||
JgWyzG9EosOJPcdXp5XpNfX/FSAB4aHPhy+N5a3S1NCjGwI/i2lNHcTgs5ckr96tPoQnGONkR1qf
|
||||
XGdzAyvC8ZRnRwRuB/KSWaX6YtmLdftE07dBOPl+EEmTlnQggQDhTRbyUVH7u2cElLpLtzzNofG/
|
||||
LrbFRp+CgrBm9HoQBmLAjAXdS/PNPvpDlv+Zqm6SSD0F6edn0vCxpTHDGuWb/dNZzOXGn8TwBRfe
|
||||
vgWpohE07CXzSFHydl66N82W+bxaq8t2srJFFxMHUFWjbXy70sizBM1BwzgmWza/Qu9afMGHoKh9
|
||||
LRmqgdb4Z1/A/pYUj7144l/z+3Lm1rCtyKdj4KHpM7c89CcTgxA05MqTFroBUfdJN4xM+r2nvmu2
|
||||
gJuYv6HsOChGJM1dL3Jl1XESh1b6FOt4AYiYsj0ZLedmQNDWfP0CkgbCwI1755q85xQd6JUJyEUL
|
||||
HcOMAq7tw5WY9l+oOaWr1O0HlUC5Ip0DvFKtp2jrA82XXGn7WLmtHNf3F2h5+UyWldtg9H0YBv4R
|
||||
UQtK27ST5t4O02ZMor3FuAuqTAteXx40RJ47BF0beNmgFTtHG8ZUPlrhMS9VEsNIMf4T2PLQiWaU
|
||||
fWLmvKqSWiwPulJWW8GUXVrk8zad8crO65nzFVtWyZmn4P6O2fVIbVLzXMmNWlvMEW4n6QDu8v66
|
||||
5bksmJi6bt5SESFBnH3tej9GnezWGOpOUhyGFLln0UA3d6vjcL9TZHXZHwZUVR5iyv9sWomkFB2R
|
||||
878t3oZpyL3U2aHrk3nEe6QBgVoRnYzZ8SuQAcn/wRtBOW5sl8Kr3hBE8cUXmxQlbM1B7SKASCeZ
|
||||
LFJ7vMN4frzefPSmgI78Nytuyw5CuTwfj9dQ7AA3NnZTkH0q+LuTIaK1LQShSiTY/sk/WRL7BMgA
|
||||
K/KSS/opQcUReClhSfdvQnWkbB3ScdndrFxtWx/kxwa8bJ1lmMxmqZV6NTGiWUUTJVCkh8gevQRS
|
||||
+0x/KmJN8POz3u7IPG4I4U4QU7+k1FwyuoRmfvf/OQHhUrPHeshW6cQLXjIj0yW59XB/MV4Cr5en
|
||||
te1ogqaulQabX2glQw6XJA3GvA9M0rrhbGValUJppmPmayRGXl21NXO7ezCpzBRBNjPBPoVlZOBt
|
||||
RfSBiJxbmIgw+q77yNqFzGf8lpyFsRqbdoJjHHQiFIfgRHgHO2/I89K1N/pZ37j9rTwDzL51gqva
|
||||
6CxvofAI4VzGyCjENlzAMrtO4JRZxXuQ1zl0ZTqgBkR9POnqUAMwx2OPXVGe70xpr8TcR9nPwdMb
|
||||
INTy//+EaFXlNp5/9Gm2Pr7/HCdnsX9YvHQkhiaX3/1sl0wnr24tOQZ1H2qZc0pIO8J5p9W1V0Q2
|
||||
8vJyhe/f1fGnu2XpmdOS8OhUGBDNJvzLMO7KL/wnmiw2dIp0JXleE85iYIMyvlaR40GPmSTOSgLb
|
||||
FrDn9AHpcIbp1iA2o4paHEnrjYcyJfB3NAA0ph9y6M5jTNrPNe+x5jR3o4EBTcF53x29aZwHuNLi
|
||||
arnJ0Ss+GSch3GHAv2maL/AD7SZn7pGNZd4h78dn9RrJRyo6VHHgV28INDff0Haik0Rc6YlT3+ne
|
||||
jWRbH7Onn2+oDFKWyP/1gYYyRoYBXd9Vg0vsru1ylfRUC8oD9z4SMzCo7dgVL3odjr1jBoeOy2+m
|
||||
jNtYdn0B/AWtNMQc3kTijmrb25KqawAzvlEHSFmENJwxEYWBZ48c2nwlAGBdI6Kxx/m4Sl0d5FEF
|
||||
Mk4LXsEVdIqvwlcHL9Axk7lE78VXoRppSDS5Pc3uDVZO8bfBxLCE1hBsfwuwS/1d9C4iNcBOLhcL
|
||||
NmsMc6GZD9PGGcXfzroCyB4SVyP38BcRJnzQ0mraWg+isH8+IK659WHhJA2Ahh8KcNTnHEvVJF/D
|
||||
bClcGYvz5b5ztVVoVvqLwSnurDIlcC+myAXyMGxg9Fk2JlWh+QpMZTCkh4tKBck642j7lv98UqeV
|
||||
Z9+UZtrtWSZniZIPgxep1ww9FJS+ZHRuP/+Yerf7IAbHFgI2d6ne4eZGVJNV+8/hBQQnTrO3enHP
|
||||
sxO288aeUEq8z0UB+0mrBPrEyqSbDgg45N59IgbR+3/JHywsGyFqd8Y3uuL1bShjaLFoLEWI5Mms
|
||||
aIR43SaFfvA12qEL4Z5eTgLBrvnVr++RXWlLMIxy3e3dJYLHOhedhA5yCNWUdZegxfJJH8OhRm+I
|
||||
E3ivA+XIA+DPrko8HHOniWjpx/cHynDzePtOwwnTCeSfgNVjPK7o4BJl35ZK1Nhom6TsykoqB2oB
|
||||
2Pc+NZlcCxggZCXLBuIT4w+pPZJxLhfyf4Tp/6NkaZmPu8Ommlvo4j4PKEQ+Ien9/oa8HmkeeF62
|
||||
TduvTA0/P7XNvVK7X0qddTnatrHAA7I9UVryWCBgQDsp44ZBtMDWh4qjrunjHkTmHOWiIxZG3XHH
|
||||
y4RVzAjz4DfNcqduqlmwvF07c/Va7wKx+VP00XjfspVOR3Mw2X/aIGgLqyHH8bLazkrG8YKkgrLL
|
||||
I3Lov77ps2XuF5z0kMqPhMGFl59JxIR0sybRtwTKdukRww4Y44l/dzI0KQkPHOt7UBZWs7TOZ9pV
|
||||
le1mciwCBWqW1yuwM7iORVYS+JBJpXyyS8XeqaGSTAyFooA9gc7EYLezeRM0pKbCsBRcIzg4wqtM
|
||||
h4q/bqi02FZtO39oJlnEQV6JNwjpMDWh2hNh+lXbdY33eKCvCAunF9Gq8n/qy9VUhiBY7rXHqZIt
|
||||
LLlv2sdqvnF1RQ2oyXl0jtZo9AGsUbdS1esGMQ+5YEjclOJWjPDkFsBrKnrKkYh6TIozgPL1Cm1F
|
||||
anNSefdl8a/LCrqbVQCoGRAkG27xRT5FLW6jgr7JL57DgVKo/sw2w/Ki4m4r2YUKgCcYO1N5q8Ee
|
||||
WT9SCKXULZBUP/uD56c92O/epylb9gAIjVSMFTP3hmhDfeU6vUcH43PUSkf+xuUQHdBCIwaLhADX
|
||||
LR/17eIWT18dsia5MX7zENUxeySJ7/eXLhpD13Uv1xDOymIMMYosZnhTRorjdl3bLOaPVEFV7PxJ
|
||||
xvw8BqJpZ1iTHZBc5zW1/BdEJKO+QFVBlrxWZ4TzM1cbeaKP1v7t8BrovDxLg0pLRa/Iq3b2rapC
|
||||
UmFTrJKzfiVUYaJ5DaZ/u0HPxG2E9w7B6J6VdjS24kRxkjcm6PRQwcYfaG6QRi0QbuDoNjqdSziT
|
||||
FOI1SsZXEXoprKbD93pBxbbFDyvZ2PKRd11tW7v6vW6WcTmmtXXGn/RnZjEZE0/GVFC1EsT2HsT6
|
||||
Lam3g7Vtm3ZAfqrareNoqNT4PcMIRhIrJCZxl57yxYCy8AEqzbWmxbAIBbU9EXVsXdRhB68wz2E7
|
||||
ANmx6Nj8j56dob44K8JKLCSDOuJqADzRAzznYB7iKndm8CrLzKNdRLTPRHgdmOVpB6gA0zK6K9KO
|
||||
hVjcnyIvrGAwJz9JA1YXevgaAnH2Ygf5l65iJzYuWAinbJdkDtq1jDPAy8lMjoHZinD1TBLdQniy
|
||||
hXPrQKidjUoeoHUZR6md/dvVgvE30pYc6ks49nPlVfkI2KAJPONZpFDnsGzaNr3iCsSBLrblGG1g
|
||||
oBKCZW0S7SZUPbj0LgzgSF2tDN7YvqRc1O5HGL2z7eqvFshfbmkMnZvKZm5HfWoi22cnLfrX9K5n
|
||||
u2xwSUVKJsHLXX9PBEMlySxK0+b98UZEXc1ZEeQ6+BvryLE/2Mq2v6lpyXVqK5+g1dKrp9mBxOOT
|
||||
4iDrwo8r3jhFjGJ0F/N+IplgAG6EKusxSExVy3Odwm1JRAEM8WKjAjmtK/GoAu+4dWTtdRvrRmZl
|
||||
GDAGZUyVWxTNClieJ1N71nQFVSomBpQYzotk4+LIAvVZDT+l9ZxIOqP4p0PdSd/saI0n1K9Cp7P5
|
||||
pbnCO9hNj0PZHZvDHO+H3VOB/wXE7xAAB4slGe+TcFHPD78AjGpgePMjyw7loGcrfbXD4HK+XYJ7
|
||||
QJpy1lctoTGASuO1JgbaPmgMH3096szDPGMR/ioA7Ai1GYXpzi5Wb82yDffaEVdaY5Ye2LGQUo4e
|
||||
R0L85IJNjhhAmGO26Sk1FSqtXbW0E+6RxOEgMSikmN9PLCt3o8cpGoLLZziJKUS7S2ypszYF5EKQ
|
||||
v8/8T3qn0azGV5cUnMYXirk/GxdKtLVA6mU6Pn2Dmc5KNOvp0VycGBD2eOad0AfTiyylHl4KqEpt
|
||||
EvH+zOUKbZXV2uFhC7Yl7wbxbg+7tlETWv6uWwqt1km2NIR1MjUzDEibMoB3o5VyJScMjcliGCoX
|
||||
4XXd/HVaIHVQ24lR99A5rSko9Y2OScVvcllMfgmu65LTutSQ7sua87FilA8LWhC69C0H+/LHSKCt
|
||||
PBSXxGA628Xma+KBry9aNiaW+PH387GWfdrCVbnRHV5URWYLqBje9DaOePFqL4nncKHN3p/ROtXr
|
||||
mYFIBzSmohuqF5IsxdokXa2UjcWpyjgHi7ZPTw9R9PYCDk3Ou5U37ke6jnW92gkg6vaewcI/fR85
|
||||
RbZttLd3y8mITstJA0SBVDls14KX2jkG2Fsy2+k8MhRo5xy4Dnazkw9aBxKssK5zlFzyHoMdh0sq
|
||||
loVbNdzLWnjtJvgXol7aFfrAhDLVr/KqJTRyZDHWUKTCo4srfQvLZlW6Ty5kkQiCsOqNm2J+BA48
|
||||
uUnwOR6gFkEO/H07GpYmzc7ENLrdU56VFWZU023aTkm9IIzakbgOwYQ9Q4TM79SzQSOxSVbx9YgZ
|
||||
mHUZccZG7wKoL/7mAPRzfre11Fbi0v8IvJQG2BDMPgOUm2MQtqTAf/M0cezVXiS98mOf8SvxywQQ
|
||||
zylnGO7vtLNmo34Nsg3rIjq7nN/q0arDQCxtUjTDu3POtU/ksSrbQo35YtsOGTwrXsEQu5X0ie6w
|
||||
kkYrEAz2lzJ2Yaxw+zsjBOEaUaevtg+1gcm0OI+EHKvNjgYWRHeHotzFMocF4RfLv+AJEusBzvNS
|
||||
qt7o3qqu99gqjxsnDMrwzz21T16DDjtjmdK5Dbu81/zPjJJG9nRG70AkwvNhuMc1DkfDjsi9a9fd
|
||||
FB3I99eRZnTterCpIHpoUweie5Z8h/pa/pY44xTNOAMGZPKBhoOSVeTt313oy39EUSGrFjn8PNQx
|
||||
/068qJ6wsynsOsNcrUq4fyus8KB1VTCMexFy3lgadzF0rHcgF/k4txNZfp1B09gTGI+LYmypx1RA
|
||||
yPARAxeMbFA+xv++PWTod3rB7p9ZiVQ0DtsdyTv3SGX+OzEW/+F2IzNt0GDaRdmvoyyRaHxzic+n
|
||||
Z5nyo+yV3ERClRtgxYcbXTICyckQFlJoZ5eDHOH2gSeCdxYApOLskOUyAhVJskJPTFg0hwBB3bYN
|
||||
UfPuR2sV8e7FjCQD5abxGqfl7FKgzbyoGHzxmiwv3iQ7b9blmZ0/uk+07eKLFHmVbJbfxRZQ1xOq
|
||||
BBMsAa7Sml3aXqTmDsIYKXj9gHUiTt7RUfvCL+OyLorChrNYCg52aRYuEDzpIw7J80hO9QzD95uP
|
||||
puwpW6d2v+FOLttzCREMtPM2MTqHO3nPRkDB47sYGf1sTDq2Ci0PjJuOCqhxB9NFQwLsirUzca/C
|
||||
XqBTBEfMXsvLos7x9Fdz3w6aM17JHmLYoCFW3oIfjb60JeQTWMbizCiB/eOXoZxePycZkjmK7ECq
|
||||
XQM399qE0i8CoF+5O8tiEtp8UfCJ8eBeGRyS3PX5ruSaHRrObthETz3l+W+u2fiIZwEHtHp7VDZe
|
||||
WC92jLcn0m0v78SUO7uxerBg/4x3nj+PlM26ReVfmG50AzUY3OtNRxaX5vbWrGvfsNKa97yYpMh/
|
||||
Xt5FC0jOv2G/+hUVZSPXINy0gBrP9p77UmeXXh1h6fef+m/bIcXhCMf/8IMCCcBgi0nEDwz1FUcT
|
||||
ebT0tNTjcaA7hNNrWHqaxDtRA24iMHmXUNozutWIRZd3qkey3TpRclVUbbeIVrhwqUmC2nCBQH/m
|
||||
h5cmmQNopZuAxw8KTml8K7RykUChneol+BFLgsuEemo1Ss4nKv4AtX3BR9i/nHQaR0RpH2STbupK
|
||||
0NcpB+x8SS+ALesFBBdTdY9ZcprsSL7N/6LCk6VUsR65yoLRpj/wk0uBDh0JcOs4zF5g2uzKlXP/
|
||||
XkIutlbBSV/XbuFrSKHsvpCVFXXT4DRXesqG2tuYPGiJSfl4p6aXdJK+527CSaK2XCdF1l8AOK8P
|
||||
svsiIJ3mxucvGL1T0mS7YsQI8jQzzvLaaGMgMa9WNryg3+5yJ6RRmowOa3ZNLY/lKoFnk2XqzDaI
|
||||
gYm73PGEhUttv0jY3d3us/3ILOQ3vvBBjQgdyYyPnAyriStCHyWMiXMtm944F2nk5cM4YwFzJcC9
|
||||
EIvyoVP7Bu2/bbW04PCKm98ESCsQkgdaD3wYqgTFGXhHXhDs5RupyFhOoBRHE1FxK59aFnsQKAIc
|
||||
qhwpIX1Piis/mmDU6TyFBHeElasORNzQNXUHzusFpiJ4KQPa1zpvZk80fFtv9v9PpB8h9JJtYFQa
|
||||
pltlNyL6K0aPSJVR7/QdnfqbLzShb/xb7HwzstK1sm7NWx7TJw6ztKLImasEDycshorJ7/Ap7eG7
|
||||
jlfyoYPfJgGvWS3Beyp+hW5qxBQWtP68v0GYU7rKXTUMCQriGJsgWxbSO327Cb88IyDGB3XxeER7
|
||||
ZgkCe54epAOqYwXQiLffaWZNkYzYYGlrmI/sBpWUQYReUNQabAUouOSXaZ89aRTN+LOJcbTeufsj
|
||||
CRDHPTWTgf97IZpuzpcMd6lKM9WVcExETWubqWWNbDCukMM72PKq/HGP5pUaQSbJVAwb3GGeyKQt
|
||||
3RU1FW830T7a7fTP8C3xn+ygC79bXKxk69xi2/7BzYs9Xq7Lb/oBVikpLRi5xxkTuniGwf5KEmYC
|
||||
2vAgtlSvEkEozfPcqA5+lH6XJUKWDF14aIA/uKQjKmcRW1aNHdHqqTe+ZMABjCh4V1mQ/DTdzMDg
|
||||
iIm78CObhooQSANZORMskE3O41fOELxTRElJ94jStoJQ9BWJTbtC2357PSn9EXBbs0uUyWrg+LRN
|
||||
V+8XJGGoe8T7FzASP3sfUvGhGRzS1TkR4wCbdYdqN0NXWLlTEU9xfXZ8zUywD9jsSNwiL7IMBJOO
|
||||
Gv0bkTm1sN57SyVEih+75iRwFb9WgRehRQ23MkHkbXo7VUy5QPtdMYfnBZkeUjq11f+z5TkzGFIJ
|
||||
7Sov5ckdEFfKSKC5QWcB2FzX0gvzsEXTfuPSuMhTH8Z1od4M1Q1bia/bN2Dv+maYF8BUhihBTbOI
|
||||
88uUSkeUeJXOUIFkGim9dQDx3RSAW7nUX3C4cDKFHRJCztzcb3qbqwn97uRYif11CSyUoftvhK0j
|
||||
f9K8TiIFRKX72c1SJ1Wcy87C+OGqRD+U7WaAVABQFWcpE35eCZTd0RhXh1TmfIFcQUVuPmdSD2HZ
|
||||
UuS0A6b2QxdBugYBUIiUsXGwxlH1fVvS1hAVVYEYWgUZQZrdhHbzx0aWexiuNqlnwTv3TUIMQPYS
|
||||
oIDaItjjnV4DAVbeWq1ggleXBs0E9H9pPxkWb7dEyWKTSr5JrYBy0bCQy4MISvZgHD08O5FBpj9c
|
||||
RPKYNOvQJip7LkPTt+gRc30BXAO9YIQwxpSskHUf9mLVkXna7hg+q9dL+W6RcNKmgD8B3WeQwpLT
|
||||
Bp8HKt312BxmREiovL+z1dVW46RdXKvt8Zv7bk7L279OadWIUEn7+YT2BI63AtIz5q4w3jpjTaXz
|
||||
QuFhlqlEmfondSdlVfiUrFFN3IFwUR8wU7kBIRDp7bnu61SfTdACh8/MwiZCNnfKhXQlD0C/CdVc
|
||||
ZBuIR0DdBVfHRaIQV2LTDda/cuF+FRJuABdRrOoUBiCk51PIE+7SxgPAPnwZX9IGTw4c0j1CXOAg
|
||||
Yi8Pwih2Tf6cPzlH5qyAcNwJ43BSbZEE0A2Wf7lQomQHBSxcaL2dzJr3GesCn44ahIYgLunJsTl0
|
||||
F8zf3BPyFIvTej4RpdrPOFOKwpXMT1QNcgGsGOc+zfTeCDXSKiVd5sJWSpxsN/lvdM1xchKc3r4k
|
||||
sf7nOCWOpezO9WWsHEb4mDuRAf/udIfkO5FGKsoNYJnKPo7qOkgpPhXJmfpup/VMMATnj4ZbQhIG
|
||||
bEpRy3Q1ajU+N8QizuuDgGN7KOyDR0tzGl+PVG1eTYQNXYUQxeCZZ+Cap7ftVMQwBBGMwC56QRas
|
||||
Jg4jn8j42ZMv2ODrqNf3StNT+IuWqIYTKbWa/Dbdk2QN58n218/UzZcQyEJdCdC5eKquXez2q7Ua
|
||||
l+rX7rL/+opYxljO9FcHb0kfDzKMmmkSWkK0+S2AY0sPTgTZwI0CTwiPi0X7qfsHNxofSw1fbUxz
|
||||
TAz2SgXqmmRSjI7jfnKXmdJPe8mQr0xe77QHOmqh02SqBGwSzGKPdiXcL5tqiDurHjInuKMjN1jc
|
||||
ufZ5DbEQr4bWxYCoMyIFv2COs0OApcmcfKTci795AahzN46o50T5dQ1675T3rGexlVRq/0vDvsT6
|
||||
fIMVjrkoXY0+SkjkGVRa6I2eDoIzqqHHbNl8iwyA/OdtVNjUV0eQYNHsTvmQv53YtpgxjQPTGclH
|
||||
xKKcdJnSo0XvyU1mOg0HapmU/b61j66TWsb0AFntDaWL1kilS0Kc1vnCLybCmgopHMTJkrgWcEnI
|
||||
Y9s4pIGGUVS0KR5uRYq0Iq+A4DSRsiQDCEF5AKYHpkcQN+CfT43/O9THKoGt4NvhBEdXHPWje1zz
|
||||
8eD123pG8dOHTpHf+T1eIu3cXNFBkz4s7ebTc6y8tZmGZlGuIE9b2rpTph3UAE+fazYPYkgeoail
|
||||
NfwU6zZ+FvZDcOCigJjeKI5cz6Z0osLVnR21NbAOW1w4kpfLw0D8zOuHI4qv8qJX/5u9sMueGBGw
|
||||
2U1ampSPPXTrn7CAgXbKoRLgrNs9TOW+Y1rolXbb+Okj7k1zSXZoozmaoKTiU8B8pJEhujYkUDfv
|
||||
ys4O15UYuI2BrXntM916/bFGP2IZ7EyFIIj9Fwgyr3CS+wZIXO7ECz2tH6Y8hJflfRL7jKOnMiy9
|
||||
3pGu31pjrqP4UGp8peMg7XKrqt6nvGyKHe7epfiHQ3miCofSKikQHyabiZ1Pnil1TW+Llqub5vlA
|
||||
r6D/qSG1NFgItL2wJkxX9+KtI7ERqSSeOIPJMC6SzNXMI362NFKlDh7a6nQbiwdb/gWrCr3f0Ap0
|
||||
/bmXJj+THlffXUWsWzrtXn1NKkoPIwIonl+pem3rNZHXgFGDvqV8fzb9LMiYpGnJRm8ZCCEQV8kb
|
||||
1S99wfGxHwRizuRxcBTI6eaGYP21g0TgTuYiYxLpaW1OzYcXzW4jGbabjFoR9Aa3OFcl4WpfDcuF
|
||||
Resyc9cFIYCLME7PYxowpGBoMx4sIr+ny2c2Zt1zmFaIlbhdTQLwrIl4vjSeGWEICOaBEes28r3o
|
||||
nJSv6aVZK3nIx+7N4K04E76mbFdxaia+c6Ya+kGyK28OaoVDmRVwtfKsgyV4+chPtZYrSM0cym1B
|
||||
OQL2mX+zDjqKWzfTytuuo9ITmuAhnuW+Yn3XvcjjPJog/bKb69HP4GCDD9Ec9ercsVg0fQ+LetNB
|
||||
YdpubK6q24hwJ71KDlQbpz04T8+YhA7mjosOp0675VwKwhnhh3OKSkJsOYTm+jO1kEYQLT0nEbQg
|
||||
CtWXzO7784mWtlp2/NXk8JH1hVAhWhe/gbKz3/Nmahrc9A85ZIAgtx9dnwst6Lin3xaoBmPaMGZP
|
||||
TQvkN7iyQ4mOzcNE4E0gBCSz9tRChPOt5Z6Ol5vOznWFFKZO3U5tK6875XvFg5TP6C+kwbp/SIWE
|
||||
kM+v2JK5z5ZSnvAFD/7XooCEucm2Oge8Q2KlINc24w5kpaI8Y1HIy6AAEEDBrRxKcwpCuNVPRbEx
|
||||
Q+k/BSxWJlB4AHrF6o+ToP/4Oebl7V5xYALuLK6wktBNxM+UC5tji+qQk+U4rS74SqTeZsiws/CD
|
||||
eTxztASDY0t/ZGiim/D5IMEd3EkycibBg3AsfNOMYJgFJw6AAXLGRgOLDXcRShpLdqGnfw136eDv
|
||||
MaDNZdBiiUjsvnHA7gJJNyEaQ9ul/GHj0LQcP+5DPpBK00SRDtbRMXWCKFyM0KUNauLkUcRI1G1A
|
||||
/XqaPYsEwCx3PvloCAjOaI4NParISIQuPFtPGdPyMYBgyFiVBoH7uxDMO9rDkpVRgYWeko/sEaYh
|
||||
fIpWtVaqbcsRoVsb9qcugVbp6R5FyAZSa85tCKQSySdjgPbQpAziiKn27s9/PpFPz4YKIJhlak/i
|
||||
3Y1CBnbYw4oxf5qy+ACxTW2YW64VGnpzF8dcvHwokgsZXDjnrJ2kQsKC39KxEctH99OIB40qfUcR
|
||||
0L4DShI0IKCEGJyRO0EUZJo29LLWOmTnL/AX4/k2nmbN47UnQNTanh4+99pOfsXv8xSeLi+ftFeA
|
||||
k1FdjrlnmMHZWpkmgTGFO49dYotttuqfQmAP/xjPPj/a82UP/Mef2cG6hqkZyoSlkwNx+qf3jQQX
|
||||
dVfKPbz61H9zKfAcX9tP5SSi92mslyIkvOqGUifi50IARPjy/bOiKVuNuO1zTUo8ueFwA+FcoM3M
|
||||
wiNhF5xWyQpiN26iIU5mlqrV7eQqvJNC9evkWPh5l53cy8QVo8+D0HHjoZGzE2vi6yOTaLNyR7Jl
|
||||
Vpb4syxyL7ohH7TorZ0y5gUSBK1s0K5f5UtF3LVKXiSSkxOWMN7nQcf+gcLRZ3TI9TFTprkIdCdF
|
||||
7da/hgyG7U1RvqLQla90WCw+n4AHLoyumyT1KOZ7OsRMfScBgkcm4Xx3xLZZJtrdmnEwHNTys4lM
|
||||
JCDlPn9AqgTabTqReU7YvIrkDA3e41j8Cw/idGhbGT9VvEtK/73O2R2pnEy1OG+l+evLTzhJMpBb
|
||||
EgXi2fBWd7tbWQXsK1qEZqIARuFNgkzH4DEsdwP0aMTl4mTmCXelSmCqUsCTd6ccNGqmgJtvoQl3
|
||||
G3Tk6KBpLNI5EiYjluPryoBjs1o8kTiVvQiwQMdkZOAWrrjlxpR/gpUzLtyt8TJtpcy7vkLL6L9b
|
||||
KYgE6v8+EDIkZTYxdfYSFfWpZEQyJWKK4oOn7BggWwI9Vh4d1U0wd3Dufh3UaMhYAe25dYhaCKS6
|
||||
OEm1f5D89qtFOUL19XZko4hMc1EhYdEvvLKMrYtog8o5VvJyFNciShepmcBqEWQR3tvFW9/b15nu
|
||||
L9ElACg30RbW2M7WQ6czOAfzp3CEJnmmLel+NCmLsnDM618OX0JnQaaSs0eV43I8d30Bj7hjQXT+
|
||||
/4IteD8UAihN1T49CcNlxxdCHs5zu/Joh/Kz4X7VrOaH94q+FsB3hsSSck70wB0SyI2QaFmfdvSJ
|
||||
voK7ft4rRY5HBYaRLmVo4lB8QOD3kZ0hkItmAnUZ3ZQ+ZCztsDxi/60ds6jaQsiZ3205dSez9+Ek
|
||||
qsvussMwQgbBD36zbm7ZzG4pxKtPe1/By7kUzwkIM5ku/D2c/tn+pwAonK7Gl9Pu7YZOfXIegbco
|
||||
MCW5RWycBJobex+3PG4cvUqdrg8vXhL89H9J9t17cu+xsuTy6RN5bw8P/MhJc7CblcdatXfxB4kK
|
||||
PP03awySrtTH64yp6VdzO6eIsTrqudvNvKaX+KYHLvJr8odHoA8MtwrCVlSoER891EWvWCsF6wp9
|
||||
m6xaxY4KyW6b0Zca6ZSfISxRkcyzHhj7mLAHENaIUu7kJpZbgnZASaCPuehUZXsCYJgQps1gSzDN
|
||||
nkO+YecWY3DyRMgIFa9JGE6/7LxTWHarHPpSDu7hwdmQXLNiCv/mJTp6Ciq/vxbk5kyzRijZf/HG
|
||||
8DyqMNt3QdF0ngmkn3IypKD8h9d2kNgHugxzq2ROzb0XUy/s/ZXK8rrxupiB2NMXQdmMbESwafnc
|
||||
8E2QFK0LmFPIn3h96mZSps5YSMiD4Vo6TeSbUZnMXlU9L6UtXQXE+Od+StvENWhaSKB99C/Ayfsu
|
||||
h7ffbg8ymAlCQRSFErmPn9cMHYHmAkVpbhSLZ9EgUXG/zyGsh0snpjsprNQPXyeMoUwHBt5Tfeuu
|
||||
7+vudrxSswuE3EnGMNiBzC0oBGyQqf/ofxyA6vS3a2sM6arJfoQh1F25nynWo6XJpYg6NEa2NQgQ
|
||||
YyJpEX78aQvAoR6ZRBCky9wPT1iZcBxgoMVVtRlK3hMN5HvCrlR+2vTArXhhh0NIReLdxyH0qDOc
|
||||
5cOk23JhbG0MVG/m3hyJu4Jy/ns7ZKtJKq9pEUr3REwec7QawEpsTdKYaExm+WjLSwSy0GzsRP0R
|
||||
pX+b2krNJwxMNJHyNihFyYc1UuhGZOmsTEN20q2q/4aj3MWFgdRmUhn9RlNj8hYpDfki8WxTokkM
|
||||
AVhy4J3QqXhf+Ce5Y3+a6Lih1SbQcLALN2BaliPRlt0mjFZkGpwUUPThUlPhLoDe979tPi70xn+n
|
||||
7ru2eh2w6CfSQDwXwK1/x2o4vQ02eUguvHLbQfn9rElYmLoFtSQiCAKMvkruf10gaq/bdFPes1a3
|
||||
lmFxdSeGThuLrZYEJjYM75uMTQUtdQh6XbVFFjXylfsEXYEFUpYqGWuD6mM/RfYgJfXhY51Q03J8
|
||||
921m8PJeVBm62o1cJgfeDE/dXjJyMXslzMoPIx8y2GLfavtcPK/X6wwbK3WYp8bkodBxTrlTlqm/
|
||||
KyuGjXCEBvzHIx6kJcD5olpCqABF1bUHv1ZXSv/kFN2o5bwrfNBykQ7BMC1/1LAPHS66BpUej/YP
|
||||
JULGv8wToxskB/j9wwd77vI4LuyXfnSJDB0VZnXS/4cZsdwMvDIt2Cu/e5IWE901FQjBFaUeEd5c
|
||||
PM9miCgKmVlLkc93rZLEqf/67y6FaW0tVRNOEk0g3TN0E7p+YAoTvTAUVzcXrmTEjzQEPAYF7M31
|
||||
BvC/voQqZYDjFTNrsI0sOvCl/9cLFCgufcoEsyNhvuUEddTlZPiX0gdypshAZUsI+BkJHy1Nru4u
|
||||
pbYN4X844/xD21B2o87T89UMplfg0SGBbBF+ErrTpC0wEXlkFR3ZAUfLzdVi9XmcxivE6oI/uOJY
|
||||
cVAskx4vUQfi9tFdwF/GXGheHQxswrujKhX/4fFrr5xxO4u+j2cD1yOQDK03ZsU1gmeh6doRgGnS
|
||||
mYoCsgP98EzJp1vIR44PeEtdCIvTkR9erPyJ3rI/JDREGHh5UrUz7YJbedxUHMbBNxxiJjfJ5P3H
|
||||
l/S3SID1/KjgIAehEpwUUCfeBvlE2hOSZO0Y/rpLH/RUg7fodgAOgKK3DoO3AQ8J3HGo+6RnnQvc
|
||||
gtBXH1cncdJYXi+49nalvNWNcWrhB2kCGHCvrxzzdbS1Yr8p0Qzj2PEL/u/4Zn/9dql06Eq9rmsy
|
||||
UqLTzc+jVdcNEwju0hTxM3BoKGwEk5J51oGNfNPPRdMzboA/AQlM9t0/gvDkMjpxybCR7kcxl/bJ
|
||||
7+MJ8IdjRLqbPJtqSMKW6WJj2VGEkAttFijkOAvIKqoRYwcq4dUoiQHYil/nOBEeP+cHNv67Yhyn
|
||||
EVWUSonYV29shIofEz06Ltsn3rcnUWk/xBIlvtabRnlDfrn1VzZCo68t6e0tmAXZB4bU4BaI6rkG
|
||||
hvUgpaJd4bWeR5qRQYM3+9LsgCe761Cbd9bGoy/h2doouHLdak0KkcpUnBvjcp2Z/zkAHR5MQnhS
|
||||
Q1cemoNKHRG966U43kxFP8y5UWEYYlHFjcIpSAZEA2YfZDgo7LjWPwRmZMXQuFLTrwPI3DO7i1mx
|
||||
IIfhCuiK8Fh9TCoCuyYEy1cZgmSkxh8nxtmhB3Ig7kcy8I+RpNBSJL55rRzeURoDHzT+A/+42yO1
|
||||
Ie1B5pYyWXdqRJTMtJbaQVl1a9UXoZIguOxWEb+ZrZZeRdXPKQvZSEGW/8di6dn87mw6cFeIJC5f
|
||||
s924eMNZ2A150jMasjXwaoNd8Mw7gbgtqtDjb7ElZy8I7SLpSVJoo4il1V+0D9cnnJGkPAiFzm74
|
||||
JCNvMFV2fA3cuyPKTdQKd2m/HLxn5RJU+5GjpDUas1jKIkBEWQaz6Xa/x9cMG+8R+75D4D2crNBV
|
||||
NbTTIF/nx0oRKiRM0CZAdt465Wbag2kt+k7wrvQXyVnqhAB0cqjFOylstddzjDCv/uHtkcqiJ9CM
|
||||
uYDGQTo/zwOB3OOZ0yfUkKQzRSmjm79GPGpASfU/GluBN+OB7rs+OmcvbiIw8quXvRxYmejvrKbY
|
||||
BzqxT/9VZdB7V3+kA6/N3DnMO/4VQ+Itnk66LY9YjUsW1Q+jIl40/TBdNe54LPlsrnPNznwcQMY8
|
||||
1yyzmYEX/CaxnzmLIEqYyHqs0lII+viTrKecEJGxgwK5+ORT6OK9eMm0Ps9UMa4kQDwvTBiyLgtm
|
||||
t/Miwj7+F0/MQHgiXV57OAStR8uYy+JD1ef57BnonYV9G84SRFUbMGodlviYdi+iiW25Py3a8V2b
|
||||
IJ0G0caWdCoSiTvhb1YQ2UtBfti8q/L4G9Wh2s1sNf8CmVq/LJeOAD8QzARHxUMqe8jE/ZNRDgmV
|
||||
i+QVZOUq50+LjIAhG48wQHr+UmiYOg5/Yx7FC62zqGso28PL6QVyXcibAoo1I+J0evB89a+Csd6i
|
||||
MZDiL4u/lkTpHbClEz1rTDNytxOasvhoR0/OOcQxw+tYzG5d5RJYnMJGE6a/iHaRshTkU3LFIUph
|
||||
NrUkaD/BoGdhe06zDCcmFj96wlpV3ZLqYAnAwf2obD7xGE9ilvPTW4m414UjPgRyD5U+IYsYT6kQ
|
||||
2dzW7f4QQT5PWqkwxohFkvcGUXI3rI4XO0GeUSktpymeoenSQzEYn4nMqi61a+8gEejbqagYOMOi
|
||||
ltREREXZJ4zIT6buWrMRaq99h2N0H2CaZCarxFDDcRGTP6gIhNxD2kDC3k1XiHSFUNmLBLL526VI
|
||||
W1d9SGjPmjS6S/MP1nKDXIGopzhcXNwBRYfZB6XtYL6RzGNEyqeLRJF3jNdDt7fzd21KWfiN8KEW
|
||||
9TQ9aY2041X0YTlAvJLSDXi8zXXcsQMH9K/SqfBne1b1Y/QRleajnjB9199l5TYwBRvr3U+Vjhgf
|
||||
wNoVB6lcvJhjJpHsaqKqgTvAvPzfqm1WdXG3kpIHi+QyekWbMFnzuTtXSN9C9K1pqeeAit7Xg2J/
|
||||
vmWZHZGk7wuO5pM7Ty71cOjmPweCZa7+PlcahFZHbOVbg1j9jW1XWySc7DTrOAHdsaxs0jWJ2TSd
|
||||
H7sk2/Uw6wJMo2zDQqmAKsDBy7UzahEsgW25jCal9CMIZLlFxpQ9pORWlTHorC+deDbvYFtxAnlO
|
||||
e/Z1etHXchxhtMQjuxjWnOKkAitwQ15wDu5XLYPtFUX4Qs5ARftSgCTdSKjsEoS7oJm+Cp2tru3q
|
||||
M+zaRMfl6z2GTj08PBcscYQ/Y7KWy7qfJ/w1uBkQnmpmVOI5oXUJOVbDjXTEjlQ1K2NCt4CDizGs
|
||||
42pax7SwyrK8r8v9BzLaBhU+YVIm1CGLqLPH7DQcrratucQ/5PQ9qlfCBH1G86RS7p0HFQwTVAUC
|
||||
9eU0M3OuO5InK30HFNczrW5tjjIyB9EJ0aYcPQQAxp03zxIAsVlvVLu1/aEeSwe1FBB98ToDnkov
|
||||
OSqc9JEfqyBWRHQ/rihSm2OgdE+dLJy1UB8isOIkRsNN2dZRZl+AMmngid9TiZNe5fpnJm9wWUVp
|
||||
F0j/nnKU3Mh1dKrIZ2LNgWinsvRO8OpOEmFrVRohRkqQhas51EzDoCVhuiG/0ChKwO48e5l0M4jB
|
||||
XNP60n1FXK4Jcal+FBOdzgZa41rlZrGkhLDcYqdR2keXql88DcYJsppWaRaFLOoUdL+/BcYFQVNz
|
||||
y9xUUjVtk3SK94Dioh5eJ9ghG82CBedZeZHcxm3mzkW7yVOeN8eoo4KR8G3XFQ5Mbr2nU138CG1D
|
||||
w91Tvy5VxeRzUhYEaQ8NIsxVValoRFolFtG6WcSwFRdTob8uClV9zqrZqBcQQn0gY3/P/3o6CpXg
|
||||
Y9dZ1WgcHiugCMWyIH51zA8MKs4ltzRwP5KrVlwALNfuLtKY/Lp/ciFpLjoBDlEAoBgjEAZr3E2y
|
||||
iokCd7IiXujW2wJ8LdUmvPkrJ8Fikn/1gjPg0DBIHD1njgYoTUJaE6Fk8R5SP2Lsfxo0Koxu7lLQ
|
||||
EFIG9Hg6+gUvLCtBz8Wvj/3YBVlRoMxDzg5nnlAGQF2tLk9dQXM26aPxjD5gbdGvjCxtYG4CJCq9
|
||||
AO6r3ouH63r8whNfT/sc+nj2KbpWw78ZKmAqY9D/MUX2jVN9jqvnjKWBOJWaU4SOs0dUOF88HxQJ
|
||||
uX9f4cg5YT50C9/ggfS2Ge2elt7GPdf5HjHn0DiIsgI8Ftb9O7asyk3q+XQZ8fQe9hHtFe1IsRDj
|
||||
PX+rAvI6kupE9gQiZWi54LtzRRUL5Xean58UOH9eBHuSAv7FntUt47eQtG1V9xlpHa32ouZq0T1P
|
||||
PYMKWuqzmIGSda7n6/wTTWs+Hh6h5DMzP/GfB6F1ZHhl3NtrY0/ScPfsGXoWpzicRP+fHy0tObvJ
|
||||
luu9io49Jnd9k3VGX4/x6qU52Mc4e2LkQC9Ph22W9rk2gJfbG/Cpv7Hiv08bkt/Bwng08MFLQgzg
|
||||
8/tboI0oXn+GVC8WTQwI1FRR2b8pMtfD7Q6VGnKoJ5OT9OcGoe1P64kf/uDLzXPiJyXOlyKq37hV
|
||||
0EoOGP9NpnsUnGRJhfeaROPM/eLc/ENSbbNpyKJ8m9tJJFEZaR7FGBq8phMk+FkX+c4hk6egvGK5
|
||||
6eH0JiEfff59R0aecipUOrCV+3zYuDr8QdSofTsQgW/KZre5EMBmZPsTnw2AAILckw7RiPjij1oS
|
||||
cL/5jlw+cmory1KFq4xMSfBW+LImeXbOiMk+NbAKax45DPb0SNHC8yOZQ4oiCgaMR2JlHBMZl3M0
|
||||
d/3/nLUeO34pEr76ky/KwpIyTFs0J2l9Vj3QFr9y4vvH1yKkqI1DRKcgAgymR8QMgJA3qE61Nu6y
|
||||
0mALEhdWuv415BKi4EgcAm4ptYLZYLBUbv4aDHVleQzPsxGtYfHBu3xEiLfW1F6mI2b/UcQNw/2A
|
||||
HJFAqEdXA/jGoMubPiXwMZbJrcBy0UEcoGAZa2+iPMi4LsYvpdHoIn9/HgvjIFqidKyIjSaCmlVh
|
||||
PL57SLF2bm9Nh80xsLTbAt3CpSm8L/FA94/PwQo1AykYwckwVEJraxBigZANqWnadsybVr7pMWZZ
|
||||
YLojBHdq0dh+kW4rPv842ecvPUbU7QpT8g3L9dem7yOIHkyZsoFgRgncxIfyiZl7bRsiUiJWkMlO
|
||||
uZn/1roCPIFZEnFHddciGLtHGP/rXNiaW9D2gUK3KNsAIc8wVsi5hAF+ZQnyX/MZts7igJrDWGZZ
|
||||
cuMUCY5d3qleOAcBHd7q/WkSSdgGa4YCr37GS/tsxuxAKv/jdj5z9t7+SuxtyILtDzRfr1Wmt5bC
|
||||
WYi/Ur3Q5YF6uBJE5KeetHP5CxJKDukyJm82Qg2IIasc3Rg+sDfumL38DjHBb3WUdfZWSFH5oQZ1
|
||||
mGSgQQDFkBhFMJq7Ve5mab8c6XyWQxQq7rDfRFj825QxMVPpQ3xZ9hWE1RaAp9E5Ik68o3LX4NxL
|
||||
rvLo8JuG+QFwlkR4gGC72Y95dhW/21IWG17AANR+LW7BPNIhG1TLvd3RBWrqd0fO/NATfODtTR4w
|
||||
h0Vdp8/M+PKpBwpIM2dE9XIzN7ikYHSqIAAUQX0+cR0LPKEd+urIt+Vtjes3oZNnreEuwTMdTVPr
|
||||
bX591wsO4a9glxFMVu8CxRv6NWRiqD2l8ClhmVBiueOxIYiNr2Z0KnlzQE00JUso801RXNznZge/
|
||||
FNUBMZLSuIhXxpn2OUSlRC++fJw8SFds8gUqvNu9TSd99n8xAnw3/9AZDZWCl4/e0W9INLnn+O4n
|
||||
bdeQp9BQkXYOgc59SUdN1KERzTgf1kEpLfdPmXr+qGFciZRFD0h/DPqwGvD3zIDzW3UTT7Bttd7q
|
||||
DVlmXvBvufC1/CV0520fLNNAAUTOcQcSNBl1Xrdvj/x0edo1ZWsUSd5RM47kff4Il1DERowVKjhd
|
||||
zSO1bOQKjEYUUSpt5jPQI+vVch40lGf8UAw0EcdlsFJ0COHrX7McCvs3hlLaq+/YiOWb7Qe+7pJl
|
||||
8LqpNoRt70euvXJ31ThIQTcMAVFo24EIG68QeLD6brspREdYGIjEiOkLes82tzc2R5W5f9YPPnz5
|
||||
X6eZUGo1g67z5Ai4WTuAN0WpdZRpdFRDflhJpP9RSdEZxUOVyg3e/oAHYYqpa8a/sa09HLH2FLxV
|
||||
Wnlxk9hBuT7P1iUPok0qcsZXzkbNvZ3qaHx1Ogur0XJcpBCXqAKS4gXoQ2eyHeGpTVRehrAbrJhl
|
||||
HFxzMJ7OkNd3kuq4Tnmg+qyBt4RWzKGeOZiyEL9GFFx3c2BIv+CsCxRVXkqQ8vBR2nAqhBsv015+
|
||||
Uz9/RVJXSN4+6v9Un04aIaqzOtZ9WEXZqflAipBVRv2PYzNclTOqGVwCKqIlZufV0HIJCTz66Lzx
|
||||
2n5PQ5YGVFrtJkXaWvj5tDcv1jbE4Ac3cFlIY7DSth2jxqYNj6in39qdOBYuVDpiqjNIRrJphtib
|
||||
iYbdY63MtDaUAo9grdRwWJZJc0C4G7IG5e2SLNrzIWsdNWozTZveY3HjbqlCxJsNXjaQxCPNt9ZE
|
||||
HRScqFhelBtE95pAU/iEb/bdsidlcrUtkfoitd+E8SHE//o7zKX1dQNa7b25Ucp9tKsEBaKE20HT
|
||||
tzckTp7SBg8wFdfOd3bK2H9SlXD/vFvKRBJ03qZETNKUN7aPausqiVGksfrzL7z3ZhyWNB4mAm2l
|
||||
nMjq0BAJYh2GIy1572qUhLQO8iKW34MhoGL9Q3H31LZXqsxc/tAtqUIvoOk/Lw6AhIj4CT5G4FRd
|
||||
d2/SOS1OkzYgLQ3fL9hxw31MCST2lB/xnb8vVaM61IfFT20/rqg2Q2ysVGP4+DfP+4ySHJ8FUKUT
|
||||
Ty73DvSEyIj0Hip6ymORY0esHv7WMa9847uuZviyD3E1/hkdiNYTEm8afQtE+TO9wK34aX4CmwZH
|
||||
0rQjctQAJfxrGQgYIP9jtXiulO4h9ASjgGitPc+kMJu7dFc2VqUjHFFoW1V8WobTzPBRzAshewKd
|
||||
U0Px+H2lfVmnBjOZd3tZOsAQmmpjsujUfC5ddv/er1jW8yfWHBXA1SyIURMD1JOS5ra8nR/4VgJl
|
||||
HB1mCP3s6TXeddB2maKcsYkv0obFZd5I83Xu3q3fl3zlTaudbWEauLOCHQr/UZKeubiyyA6+GReW
|
||||
Whxi0KsPccxThPUWB1IzA9sZVE9S4xEQNQPRNljjMRlTDg57mL33jQ3p+XvjWOlk0vCYAtg83np6
|
||||
skf4F4wwp3bPUGeSfLveSoh5+t9AaabDMpE+dVhyYEWzB3M4JZ8WIRHw3qcZxc0EB5PxcorKMuNr
|
||||
EBYSeXy2jiJMhyFHtx3tTqf7XrSsVr0Zfit1mVEmehc9sKtFCpl0fYkWGCHRxN0dYUxFyeqD/CuW
|
||||
wdb7nP/fbzNKqZtqYPU1wyvg2XlBDsx6P5r/Iq1qNvnyI3t7sjTh31C46F3gJ5pJs4JZHCzN3sEi
|
||||
U7ow5zN+vIp05kdZoGTmjJ7SeVVTfDN5PsXLAqOqcxkj9pnr+UMUFSXcAEMntATJhqZ29GaPsF2t
|
||||
VjR68svXvxIeya4au+AXTbh0hVvhyqoz2nk8opspD0LWUF7E9GOzwgc/wHLbhGUK5odOVl3tT59r
|
||||
zkhN8vQKcqWazKPl/+mSfkf84Gl0YFVjVjjTCGEPVwcjnA3ne39Yje/UFxXWamylLOVzbGFRegzU
|
||||
czpR08vVytivFFBSzSckZeRruYrfw8RADhJskvc7soNAs3CsKfRBTcfKiQG2ySAx3tOaUTfLlXkJ
|
||||
ebw0oUtQi/YVj46ukfyu6i3JvpZLvb6YPtpPYKYEkYsgBey+XtTswDrEOxkJ4cc6GZ4ZZSKk4b/c
|
||||
SyvQhqjFXlMmRQvV/olbMthyEM3wDiTpSTPJMBYHvVFFfA5gOC/y7/ywB5SrPGGoZkdputfHamnf
|
||||
dEUwet4skE7u87S3dPyFNhe8CbAhrO5DeyB69rhtEeorGRtNEi1WluIsjLU4TNUBWoJI3DuWM/Qs
|
||||
0C5mYFwFuozJ6pXh5npuEJDPB7JkwRps4rw5XedxDSmB6VP4hBpBRxQmd4xC8ZyXiwzBhc4hU7u1
|
||||
EIkQn1EEQv4w0KcnlH1fB4+LR8foLiw180OYxm3eoXFtXzljQVYDP4wLIrJ9RD2DWfbdy1c6x/kX
|
||||
pz6uEDnf+0+GdbGTe6KiDXJMznkCj4dJ3OZpifVs0T9tn6GrFW9Rb8pRyING/FgRQD1d+F8Pfn39
|
||||
3/ZCONKpz3YkvGxJMvOQTQoC61pRhx9rE3Gfo+Aq8L5v5Vv0s1GP4X3xix/+GxgC0wmSpWm1I23g
|
||||
D+HycikuG75KaWPePm/SNLYHdxX/VR4BbAUEGAb/xWnkvLWJB0fzGSTxhl/1GmhjLW9udvluyxRJ
|
||||
m/4UJV4enUfjaDIgby6rru4cfHLBhy4I7oNl6H6T/M79NYmznoQl89HGzIgIB5XdXf5ZAA2AQq1M
|
||||
PJlRuT3CPnGXM1Tz5Kbnt0AQ7M6ld4vAY8cJ3VTc/oKpjLUnLCu6Am76oyTbPyY7yEAX1PYG1Uj0
|
||||
LF8l96dzyy+asUTNzS/nBSnlgFXr7+eU199H8a9tcVp4q9zzC7uPf7X6cLrjgsNtKw3+uICCq4bu
|
||||
FK4OCELOE4wpmHr6N1z1d4D675d6KJ8U44EC9CBgQrTF+M612vVftBYDxOw6yLt8caTSFuE+LdR1
|
||||
X1bHSkabPPnzkgt+zJCrovRoPqjZOyl3SFlxheIDRgKuf/4V5EJKaJufglqZRpmK65w/yX5X0iTA
|
||||
uKkyl+NsNG/Ne2jM5aY3ZVfUTW1NWj7kuxIYwSTVKBvo9GyZDQlliU3xtgRwLO6xSCmyVzpgc5P1
|
||||
xen4DlrAbJAlTi1u2bKe/sM3Z00p4s31cI8T6U2T5oslgZl2cUo7YqYRoE81opniWz4yLhA2Dy+/
|
||||
HyG1hEzHTR/8sgawdVcWR0SCNPSc95Cp/DXNdwJn8YsoVR+u99Pbsplh/LJskTAALBQhwzvnUEJE
|
||||
ANb0HHOQYlh3AT57P/9pnbtZ95cnTzuLNPqjyGyZnyFVYZHnabwQlr25cytgTroS6i2AG7nyi40s
|
||||
2zVvV1JTYsjqmpq4pfxzZPjq7V1A0rvDiHc/3vtAGxFfYXBb9PpoNbcCyC8n7Vc8UrIJsgqIRU5i
|
||||
h+bNzvqjbqL1WniZABMu5kBY/V9m4a/cTjizzHP8n9Y1jTzoRcLXVPHd/JEC7PJj9604GFWA3LTI
|
||||
cSb4heaSStD61FJOCLtb7VmXu00yrQ4KEGCBaqeBaVelmXwDQUqcCz2+4u8eetyJj6JM/Ws+wOWY
|
||||
P0dTlU/LFd7eVcNWiXV2OXzFFm+qzRT6NIdM7daQu2Wio2bl+AneKdL/G9dX9EuYtWorXg619zk1
|
||||
0zDbNjBTqh+cdLmz4AN8V+/0RwdNL4QHuGhXUDasfmqIfKtIwMUU9yp/YX96d6bEaZHQEsEMD1xp
|
||||
HmkKYPKJH6+8f5RayFXsGmDlBVrOI4GkntcC5XKTaKspAeduA0/7Q5iSsMe7Zov96Re917qcl0Iv
|
||||
TvMZQiYzNZg9JhjBCRQDUT93A7Arz0Qct6KXriIfQ0jKkatxlUp1ksYpc/Ufw2XGg37wlQOJca/q
|
||||
NaABsc0Yleq3UFH9vGbhGvsQ7+sZbOeyRBflJ5ZbnDU3G03gs903JURzqwkagdSAixfZ2EO48Mxi
|
||||
LL9Y7uMYwm8zKO8v31F7ixbXANgrgVsZsIrzoIJTV5XXFSJvvA5KopJe0oQ74iX7cauVLV++t9Fs
|
||||
nSqwAQHTKP9JxMn7/Bh3ET1CP87w/Dt8at13iEuPgJhZe1zBQAMK21nxQRWF6zbntJ5E4OOnJzcI
|
||||
kQsQd4PIeNSM76f9RlmPvpRIEK2tJ5Xwqx6CeCIzleBqQYuGvOes1dT1ZUAiKIOQfWRfkkxZEaEj
|
||||
KEaLi5PhVUaSrxaqw5FX9s3UO2hOowOwtJfkB4PnOhftLxBKQZIPJIG7fgVVsaWU5OlHpDGM9B/L
|
||||
4adA7qXZZ29ewpd2iKDF5KMiC4rJKEP/KdbiGKmCxXIbj9a4UizfwZqA+qQYs3OFHHA/dlMoR2Ze
|
||||
/KZGem20u/jYhTcS2G3rOeS7I+W9gXdmJMpkb7G5txBAM2sMj44vZ1zecbAgmvN/OeOWGqBKWL1E
|
||||
2/MCRhLBjRQwhXvNmKP+LqU5bP+K4Rwv2AVWDoSwkNCcHzfza7pfVOEI0YR+TD4UApzJ4rg1horR
|
||||
Qgd3Zo6MSHC6oU6kBhymhtbwyNf8odTx9wdojVBJc+JZaSPqfpt97yPHD3q1PGqUsuH6frVdmFm6
|
||||
gSG+J/2fU8vPeIiKYapajLhwJXUDoi51hhVHAX9I3896jDK5qpMd/OHsnbCwlZQBUT1GZPmCm5G4
|
||||
79rov52QFgA8gELhAclyybsFQEQsn1hZ9pOxPPKmcZTXrudmuGAZBI/AgWr22Mx9+Zj7gzzCguSD
|
||||
G8JwHaI005BNa/jfhrekpDC7lTZ3vYTEpjpfiVaAJNv1/6uQEm0aO3PcGl6iKgZ2sKYhL8TiNses
|
||||
+ye9YdQE94XzppFJ7USh20hy3YxNAqGwXHvbX5wukjh1RmhNQnRyZjZgFZoahzoZwT4KCfNzhLW4
|
||||
zdC3BxNfZbTz7z9i7oPztfLBkjYknTHLZ1jeCBW3cU5bcFnCCi7iJQGsTHUvMq1HcxFOZeBiJ6ce
|
||||
rrHtnKpFnE0dHI/6tZr415p+f4S4QmCKlXkJFQYg2ChS++NuL7DjMRQYYnOt7q7+6cIWaH3rf8fF
|
||||
oWxvGb4oZ2gyx7Z3FO8879pk62NLEHKZSNUZqb/JtrxDYjtjCNy1EXEZt6CQKNigyQg+fwcHMmKW
|
||||
D5S/3biYZN50sp7pQFaClDOdrIidixWI7dyvHSC+p3A9CCf0uOmHg0s3vBvgqevPQOBpfYnU7UOU
|
||||
oK/rfB47YdSvPozctDPP9apAn/4Prbg6ZmN85VBi7na1e4R2VqwRdhJoSke4ma1YinNxT19iSG9B
|
||||
CnX4MANna3UWeQS0JdnXhZq+21immVA276Vmyn4fIA8aQ3+L9Ez54dONNaWvIM1/AxTvG8GdMSUl
|
||||
sgBVI6e0l5FHsDX4VJkI4jRyYmLQ9SGd4u192UDgKgURihBIIyLQQWedaZEQ/X76K8T65f5wTXxX
|
||||
16Pj+KkmMgbDLPxUXwNu9vosOPbqN1lZ394dMkMnx5Ojh51woDqwrbUNolt1GBJMmWDkW8/q4ji3
|
||||
6RntUHLmypOuNkaDfGwfoVw3L2ZokikP+24xz5rAcI3BiPac4S6eddWsQy7eIjXNGQT08LhCPvfm
|
||||
6XSbPsSHcFDQ9EHBEaPG6SgZXxlPj2lHUsoAaABCsFoYCU8uzDKO5+4m88eh7M5tzElsswYuKYSj
|
||||
cs0wv1Mx5kGa9/SevA/0yCY6OLtDYy83IrOSd8ZEBFKZDVmSr1NZKMV5RTr1sbSlJbVA25jX6yeS
|
||||
DWB7Pjh0vrAhLk/0H3EvbekD3kOqFmvRpstwb+ahk/G4ec6c4XrT7PMzu3LpovrFKOoprGG9xW0L
|
||||
js1ret8734v+YWZ6ym7MfS7nVZJXtrtz1z8OVEYr1N+Bgwt/evgveq6iIfQjGgh/UQyCRluR/XnL
|
||||
fp3XsQ+2XcAMN+4UCQRuwnJm0cKxRtScY2L+k4Mv1WvnSjYrMC+Gx2InRKLbZ78YrlihbV5SNhGz
|
||||
bG+oM2hkTvd3vM4NA0sHUi01TlIyhrZCaJALIaN7IpqS8hKmz8bFIIzv3IK3EYIaSlg3Q2O0g7vJ
|
||||
VVB1CscQC1qc9CR8VqpM8rsQgvw6ZY+QH+/F/JUn23SrxJVLXYEZ06ExZJwNbij2KxuepWsRsp/R
|
||||
dRnv1hDK8cKFvok+o/t85+46jaOfRu2xGKOz95r03CKzigVl8hClUzRd6bciVWAzZygYBLzfyg+T
|
||||
yWBlky+72Tmq/XiLKd2jPaX37h7mzXcljpvWk82Q0SqyhMd2EAZzS7LQXaA8BzLsDgGpaJiMM2jG
|
||||
AorkK0BTQSyLtutiAz5KSN1Gp9P+OA4w2ZtTBtgQWH9Qju6DhdWqsgUV74B5H1iGZjDtC/Bmf8ET
|
||||
KYuSsp/DOwOmIpE12ZjEXsS/yM+/QNW+wJnC7MBZ2LnUvEOPf2hUnsPQkk1hxZG5S90+tm+97Las
|
||||
c7AliaHX9zomYG8+hLce2fU3DA4vIvohN1scPkSItrKQWWzos36LwvqYdUVwBicr4Mba/D1bn/6v
|
||||
XQ96qIxQdKmT+d2RXIvGyambfc/Hp6745PHNrLO5tpGWgRZ6Y9nsAbCSJ927BcWzttdJ81TeR/dj
|
||||
DRbx1MVssg+47lOkLspmDiKF7kKjtwEU+jsM9lx3RMcIny/baIlteKgWbC5x56jsHlD53cpx3zOf
|
||||
pRnZfFaPC6zSgUo78RN3R+R6l0G2A6XikLYSCg4mSHCRATt5qLuHd7knQAPbXIUebsvgr5LtX9Y5
|
||||
SrVxbrzaTqZve3PrjFgeh2e+1kDHzV/Ednt+Fkp2UJI0BYHbQsBufNkLXl3c3ehFN1bsBGfknDmC
|
||||
yd+b9XQNIYx4V/FtYweSgFDErb9KlRMSreHFlwRpG6wgqjrftzkPlPHtZuUwqHZl/hA8f+xx33tv
|
||||
SYO+Aoxw/RBR6cUECiUW2alV6HGg62jhHNwMPT7SMim+J7vzaE70TOZMbQXIj2aZs99FbbJFMgaU
|
||||
b8KCVVbHiG3iYmN1iRvR7rUXBoR418tvkiaAIzoB7law3HbZHS7Xdmk45de9ua+YViuWKQ1qN9/B
|
||||
CJCjnwX0H0nLBZDKbBzYuMJI09/S7YMToyoTWHBJgrc1BPC+ypQny4hz5tFmJOCLUxI0VbPqmZr5
|
||||
tx/cMnTewjmi1IA7mFO/OU2WidNxzgYBX+82tdhdr4cwuns2Ed9WtY1QVKI5EyGaaQ6KkObQ81Q5
|
||||
Yl+B0KXlO7evIF0sOu2JBLScKkkjUoQaGzhE3MRvPVT3LAmX6fe7sHY3J9FaEzuNcATvDrvtEatW
|
||||
rfoM4J2/kbjarZ0YjZq7LIjtnKO8Ud0yZ3DiS+eIbgh9mn75OTJQNb5ZqBNQGZVWGLT6FQiJPMO+
|
||||
PqQAkOk8uCB/dpyecbFEkAihEJ3OHUWoYV1SoaF74ZDoISigOwfOikJdO/ylDlT4MakumyNy0MKG
|
||||
e12ARU8LXDzUi5u4lMF0Q8gj2T1kJ0IFxpuVhFyWcrNEyPKjhY/khwYgCOXwJQ8V8s6aOeLtAHiN
|
||||
qaRZCROMioh+xXRoUsbp8BcUWsRavBACWvVEf17X3SWRxqwh4CD8KmseucewcwyJEnylHn4ZQm7Z
|
||||
CxQv1Br1W+O60/YG33WvW0/mTYw232PT8o1ABM7ynMfTeqOuj62C41GXgjqtjf/TPjfKmqZa7lIQ
|
||||
wsrQ5ZOwTGrPWqrrNVC29ASKqAXndYN09BHBwVYUkDSDASvlprp1x7GgitA7T7+RU07FBXM7a2F5
|
||||
pm7nBRJCeVMrBB80bSAePiaNnJAfw/rMALZF97+6dAC40Pv+ln4vtg2LbpYVXf6zvugvT+6j+u4H
|
||||
N4Rms7l2ORnbXiBDd0Fl9VYe7p0t5WwCaLqHd7NicbQG/cEW840WXg+6zfRkVLHX+zweUOhAUryH
|
||||
F2ZQ3Wuk/AfIZ8gEd2MyeiSW5Lva1oIN2V7sZClEgHyEfd1O7moNTTQJodplKRHXL2yKhp392jiP
|
||||
7m50XUQSYCeRHd1wbhbieETBWpCAyhyPu4A6H0kN7T5RUZr9VIKYLWW+vTe1cjwcq/Dmsgp47tTX
|
||||
2+bCb5TN4kfQFPDjZoEFlmdpprBVMVL7pGVkTGxhcBdOrCFD68T1rlfPFBhN+NoXopLrHSg3kSOn
|
||||
c49A3x35jQYbigVAaMAflrluiOVgjkzpJ2OGIInZHcVLkWBbBfCh7sBwLy4jly137Ird//Fp4g5+
|
||||
Uu6+uxXO6gBWqUwEAPm4HD975+5E7iKAQTjjoqXBF8NBq4FriYniuUyt0/0qUGEAhPBEskGu0Hun
|
||||
hV2Gi5MMBki6tl12onCX4xIPOSLFuv/K4n5sKGrzm1hCiFL1DyLjkUxUvZ4/3W7jVjeeS41MncXw
|
||||
MIMtScKx/yD/pvPlzojXs3EG9Co58Iij9YlFDiuZeoh1pnYHHJge+jhT6rhgo0ajDmXZfopZQ+ED
|
||||
oN75eG1tjyMaYA+1GjZdreUL08CLQyZ1BtoW4t0hv87n989p4NdtAQWk5GMOUhwMRj37FtyyUkRk
|
||||
zSborNkpSNoBAvMEYxzNG6HAUa5fElzx5ZisOp0Of1aikWFqqJRAwYghvr3dSF8Bnk7wXvjl5jLn
|
||||
bXHBaLUchTZUTE22mpxQUR8TEoeMzTJv+QAsIQ/i60NZgHZlrezFkIPUb65otJPTHfpILrBNesL/
|
||||
ilO8K3ZDLH8vAnbfVqbfNkEE/2piS0JornbjTWT4G0vgV6jkBGrtvhyVTDrkoF9KFmwaL7wFW3WP
|
||||
uAGggFejuj0RIw2cFfKSfV/uPAg7mQOIlimrqEqRUam8KPYJryy2c/kLGMrIvRRB7S3CWGvdxUvf
|
||||
/GuifAn2DaOlCi5khqaElBVOOoNYq4Q8I3Gpl6lRX8IZ2dOGSPWUjhEvtyYITVhJ5RIoGy83QUNi
|
||||
NpRqHR1Vgsfq4px/tjuGLKwecxrLfqGzTvdvNz7hpZtTRMYVELUEta5OPfF5XQVIC+oZvoNz4+sV
|
||||
Sw4fvJ7EwOSeHFUU/7BDQurA7TkmXbzPu0GruUq+0pTpOeWvT7jxaUvpRQCPWVNkf/EFSnkUjbXZ
|
||||
+Q/v+kEiYE4ToolJFNK3R0Nwd+rL92SLxPKBizBL8bNNepj2W2piEJWTNVcg7E+gq8EX0wPVOk8m
|
||||
/gbXwRxR+R/Tn/yQ49X9liDGdYg2K3p46NXWnpberQLuYqlYwjJLrU/GfWuNxIbHE+6uBlJYVQjU
|
||||
FHnJUFeCc07yXq7J9uvBXq4=
|
||||
`protect end_protected
|
||||
501
src/hdl/fpga_hypernet_dir/src/gowin_fifo/gowin_fifo24.vho
Normal file
501
src/hdl/fpga_hypernet_dir/src/gowin_fifo/gowin_fifo24.vho
Normal file
@ -0,0 +1,501 @@
|
||||
--
|
||||
--Written by GowinSynthesis
|
||||
--Tool Version "V1.9.10.03 Education (64-bit)"
|
||||
--Tue Aug 19 23:16:31 2025
|
||||
|
||||
--Source file index table:
|
||||
--file0 "\C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/fifo_define.v"
|
||||
--file1 "\C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/fifo_parameter.v"
|
||||
--file2 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/edc.v"
|
||||
--file3 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/fifo.v"
|
||||
--file4 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/fifo_top.v"
|
||||
`protect begin_protected
|
||||
`protect version="2.3"
|
||||
`protect author="default"
|
||||
`protect author_info="default"
|
||||
`protect encrypt_agent="GOWIN"
|
||||
`protect encrypt_agent_info="GOWIN Encrypt Version 2.3"
|
||||
|
||||
`protect encoding=(enctype="base64", line_length=76, bytes=256)
|
||||
`protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa"
|
||||
`protect key_block
|
||||
pEDFkbO9CPeBHmxoSjfBM8Ig9QaKQoTtf/hEa9XTrNklNc/rtLSt7iBJZCVj25bVLxfOCCZCjH6f
|
||||
FwYOPkTpBXUlJwMJAdJFLBLgM2fg8perEzA1SGjn2Cy3mYHIqqjvh2H5RyDJYghFPgSqCPFsCb6I
|
||||
8PpXK+oqU1UfJi1a6+9GeWsFs/1mmhF86YGIq3l9Pn/cKzxD+KRtBKNL0J4iN55NGZHC9J7yicl2
|
||||
2Pwi1sexwWo2j6KkiNbwHBa2gUFLw+p74liX18GKplCfp9RVaR8wpqEgz+e+uOl1TeuR0H/J+pc4
|
||||
ZdRpGJduXefh+6e8HqlAw80Mnjac8vv3gUKQ1A==
|
||||
|
||||
`protect encoding=(enctype="base64", line_length=76, bytes=26672)
|
||||
`protect data_keyowner="default-ip-vendor"
|
||||
`protect data_keyname="default-ip-key"
|
||||
`protect data_method="aes128-cfb"
|
||||
`protect data_block
|
||||
Ui6eM/iI8GM3oWjv7qcNG9+HIlM/q19t7rBZYgbEgznKJb+5Q4x8So13P4HujKs34OVePTN6mPt8
|
||||
p0OkJNwvtbx68pN+xXCgUu7oHdBbVhQDa5P4zRpIfibumScRudqo3MUJ+LBC9QPIiGTAVtvqkFZu
|
||||
n8vGTp2/y1Ywn9SZPqVH4QcL3obF4dHyIdAF87mqTPPQq/uIJKstdig7ixyB/kBwCM7fBT2QAxmN
|
||||
tnIIHEiVqYL+5otL5jZvzA8CBZ2nuK4y/gzolCVP+iFJV/tpaIrmeCPVdAOHQt1UggFc6xL+90hZ
|
||||
FB7qVqLE8MvfEN0LhVXqWORhaBvQsTY3QjaxJU09d7dqwcvjl/Hhiyud93B9/fuI0zGWdZbj+bc7
|
||||
t/EbsTnGv3x067uY6rVo2/px9dfT7Inn4fRikSJ0TtNtQW9GEM6xYbCUuNRZLxbvCtG9i8s+l/DL
|
||||
kI5xO3BtTc/T3KIJEhg2GutAXQGASMeo7p58hUdVHFcg5ow7EN4JhL70bGr0ve7Np1y+pjFJs6zr
|
||||
u6knnhkU2aOpk/lcT8zJS+ROqGjMATKv5k7ZVXMGastHpjjS25pboXuCxEh/YHuTdFoZM2RlytKy
|
||||
2mSAEOA14i1lqHWgDvzgM84ADzVP7241JIYnW9XdVY1+tHbI6fMlN8h8Vwenvxl5/Jtww7DnM6Ak
|
||||
eq2lgu7kr/yHGjhD+uotDSUEsJhGp4QonzFd4BiXlqSL6/RBqzfuMfGNc5dV6PFkzVRK3rFX+ftO
|
||||
yA76RMOegx0UPXrBUjngoLdxKD5mzG3qL+1g2i+fEN8GyIH+dWG4u6QmYM9v8nwvvaBbnMhIvF0z
|
||||
V1k4r8wxHztRCTZUWfJoWl3W9c0fV/Hmq98srm4dsm/z6LE3e2/NTyx/R1qM2YxIcvTF4RNYl0n0
|
||||
BhcQUvX5sDPxKF0xy/f6zIyQR7WQZQ3QCaWv0CtnGndMY+itH/eyBXoVHJmpQwD3ZsJHVb4e1XSo
|
||||
MD4s/LMZmV2sT0QTsmhf3aT3GTJ3FdwSH1JLbcBd0AakCAyic1O8+nPOIsLpx6fUvOCRzThwFt0c
|
||||
0B0akuMkg1h4i8F5flTMgdy1AL3v7vZ0SxNJ+RuKCW49RaUaPwW8/WF/giFkznbUlmF464z0Xvfe
|
||||
2P6u/oqcHjcv5yuG74A1mQUc58PS+8gRqW67ms6oq5R6cf7BdzE6BNCbx7D//DuSEIh2IbCM5DBo
|
||||
7bPJjtuVN3zNcbgcePLhST1up73mr1otS7v+svfX57MBRpHJq2ODHAii8EQxDb0obLQWPA4cYY/U
|
||||
4gC3aYEBdRGbrmdRNbwFXVPLHhFkoIOlrh3/P6LInMvMVCy+M0ym4FZU+hjqS8B5u4Q73Pa8M1Zf
|
||||
5Ch8cTKFbVpyVyaPbKDjUHM79QVlyT2SEcK3V5kQEq7+CIVMX9Y7pU7GBEk+uUhOj0CaTZZ/rzM3
|
||||
aMd4lC7T8HUWT5adAiBExQLBGYz259lf8KnGybndhzLCl+lOg8Ysw/W4JfpeG8xyDulQsqwTxQZL
|
||||
vl1weKe/7T/2pegXQ0f/sASrLrs3BB1zY8P9M8/p6Snyo8+StfxJumznDYDTSPhTIhw3pCjTLKpM
|
||||
/spEFrwUpwYhTBuBow1kd0tRuwqYwzpISJV/XczqzOFgFtLJYvkTbIfDzXeIdU/6WJNlrw6ybefn
|
||||
2S3RpfyokcEEooh/aqW7AhF25rx06OlwV/92LflbSmyTew3jIMmk6Qi+bv4ZCXL2DtqEPWSTmL/+
|
||||
tVJ1P544kqVkkbEGMbXaQxwgnmmEmI6X3O4U7lo8d4lkQGjL4Cls60FoapJT5LAlGM6cUaeCMf6i
|
||||
/QX3sJhVNFZ2m5IPkMO/RUJfEL7ijfOg9ZbVIBdfya/6vaC/OaelNa7eeal0HuQEciMMiJdoZZQ5
|
||||
KhFdYdesaxY/cwCBkiLTByQu2TSsztYYnV0Fm6ACpu0rBhRIdQ+7Ii9hXJzsyCEixyR51TaK57qE
|
||||
XeuirfRLAj6CpzlgraTgUrZLGp6iysX2k3hTMBvUOZKwillUVseUgAygO9KKP07rPERjOmRUjSA5
|
||||
xmVzdh93C4nvTLtqqSTWcsslhU7GRVkO4MpE/Z4s7/+mmnc0erCopyb+9vGXX9wmwMeux1JLsi7H
|
||||
Poy1ObTRr/95QnmEqCwvVSVs5tbkFb+9nZRgMhTtjCK9o8Fg4gBMjDwO56K9ZG7jgmHI4fSMde+5
|
||||
H8QUXorB5GLn6ej522XMGHCdH9B9uPpA16xMQWrieHMjNd53dX+xYnKyN40kWGQo2HSr8besdpJ6
|
||||
i+nMyGIleiUdhTg1z8rmZSNiv0cI5a+DUHYdmMtW4UsrhF6q1PYBeC6DGNe4vMRkw6BpAtzjFGMv
|
||||
dy0CdT5LBthRxRr3NUXlLP/Y9RxptpfOmMIinVlt+AbN2kocLnE7kR2lI+gr+St14BjT8LfhQilr
|
||||
V+OLBxZtdMIh9Uah91afflDHPRPLLB5MrHE2D/cNbgzTLy0bjyhW0UeHZDX+x6ixIMSwfD08+5Dt
|
||||
jdnCn5dm6Jawaqq4bwsk7hkOdwxzNrcJf0zFhCdk+Mnb4bVQvFsqIpK2XzyoJRNhoNJw+7PqMsyH
|
||||
APoAR0PaSW2ilDRBsGXSoaGbYdXyCmXrIl4EWdXJL8qOrrfcN4upvRwfUj6WwBB9XswdJBVSl0Ep
|
||||
QOs3IuMg802m/MgI4a/aXlT2VRdNvcn5OOzeh1eW+tPZ8l9SLrJm2K8naF97UeC0u1oQViezANiv
|
||||
oPgyhJZ8wPKGnfFB1LG+Md80wjVhT/weSR7kVtqGWj5iWTWbF11znHW4gBS2syr6l+0yNrn8aIvC
|
||||
vHMUSPiAD/y6xauqHP93SQS3pUn5kSjqNb9m0EE73nub89E8PcalEH0U0HZOE/gDE60a3KbrNWOo
|
||||
nleC/8rn+pKrfa0GW/38wqTAJV711QjbrJ+U1Fr1SXGCxcrMHj/p1WidUNf8tNboLfSXXUMNVS2R
|
||||
Ml9EfV6nE4NVJSaNcVGPWBHItDhak1YptTixzGxyXBDa+ABbt8z1z8TmHfwsr+2Vs2JyA/kLMhKW
|
||||
wMBVwycC5C7zSOZ7s9LSpr42+Ou4aX6SGI6SkJLQkbasu2MC/pjHd3RckVBX/VtNTcc8OYwOBJAR
|
||||
V/e93dLtd5jhrEM1zk1irpxMVpJeYKjq+YP5Vo9OSYZlUK+byhy2Ebjl8uNa3eGdxbexSUgtD6HM
|
||||
VnkI1jLqTKQgNwe7S9XiEUK74Bpd/YrVmmTULU60Jnzofdp30UPtrtMFd70E5kFs0LALVO9hH7N3
|
||||
OV4KDqNxbj8Da3iUm8QWow1uAtWEM1LOsgQrln0bi4WULTTMYMX9gOfpRLv9S8GazQYanc2SuocT
|
||||
bpAZZQJaP7wIP4of0tQ8TMu+8g7KHBZCtJzPys7vcsI9tGSOe9JbfDuZk16jJoKlvr8c7ZU8an0w
|
||||
GXvFz1Fxj6HFC5Xh/VDP5+LhjOyQG0OmnLneijeYqegmsr6zDXexY5PEgivYbwznOniqu3Tz1gWn
|
||||
y5ITtgwe9iKYXTieBXo0yNktBKzEnn4NQ5YMpi0cZVzYRwEkNaSGaV/qywaxSIZFD/1sLEY1o2Rk
|
||||
MbzpI150FdcGZgEI3+/FoBHkBG/63GoCgjAgqN2CImPLAQfVNIHOZQpqsDLjFJKNu5gwr+7OTnbW
|
||||
INU2JwUwxkjowRFz9hLbFewkbkQ0lz2cA3Z8oVtDg0ck6ZJn0rmVeHDD2FNplADcdh8PkqvIGTWt
|
||||
jdp+49A+Xsv+53dXdcvtMVr9wsjfOeeq3kscD+IXgD3Bj08Jgi4vW5RQRgRx1b31lxMi5iZgB6+n
|
||||
RlGKevdet33OYS8KzWkIJ8NsopHT6zZ6T6/HrF6bvusLZaGaOsY0YxLVeMALZNfDoXPAwW5S8XC3
|
||||
Y0fzUjuWKeQ9lPoTqMwwm+y/IrUPSvNnd1bauZ2XcbB8GlybvEq4J7RI2tcpCsacVMcwm1bgcDhV
|
||||
ctPFseauOP18az3Ca5jKqPFE2tA/FvM1RDldV5WmGJ6ZUo6X1gIOWOqyi0xzi1ry6AbrWSh3YrAw
|
||||
0UjJIy4t4lhRlFgmZLK7Ne+xkroQMD2eDcr+Slyom6MJswjmHNt/DBdTvNQcxkkV626RdeeXFKlx
|
||||
4bBu7SBednctb4IzcY9okwb8ryMrFo1XxRJzniRokDxYJompp8E7ic6aNgBZDaGiEHMj0BvsNkgK
|
||||
wMFNVSJIYp6eEvvSH7uV/smvYfTlustQH5I/0TiojGJMvM5CTdrAdhLuTpCAj0gS866jBC4ocWGg
|
||||
lLNIXsy44oTgUEuW8iAZ550VF9CERqpK4ajjVhVqCDmaujRf8TujDERNRTKA1+XVpIl533eRxc/j
|
||||
frSM9pY8v5kTLRas3EdTw3qi3osUW4uwPZ50QTfXjP0WNQWqtnzTREBcGvx3VLJSzIMmo2QwxpB+
|
||||
JbvJEKM9f9+vydIJdiYvY7bHsp67YCg2hayUnGVfMVjQY2/HhMavicZXwJLUIu6ghHSqrTQeyhhl
|
||||
IhjYhkAKwtAtaIqTD4iMaF2BZ5pKZiJGwl1Bv/m7H7HJUmeRilKK6n3xDPbZO0yAxOdqQSUFjry8
|
||||
HBUR5kip69+zcvsN7vuztQ2JvFbTS39xjYt2TQlyAuyzw/ehAFysohbr5pjzT0gpipaofBYx/3K9
|
||||
myPckQW3nCj7DB9smQnc3KT7dhITjVDph9Bi/ydK5hnQraXLLaAfdV9nVS0d+Vfxz1CQ1ByQY8Ut
|
||||
RQjBN+xyb77hCW7s0OdQF3G0Lg5YOhGdbrKeQfsrLJryIfrtL1RCgY5RMV8iqtbHVenEJRbW5ud1
|
||||
VGcVolkalxYoXNVUfhPCPTlo9wuQBn/C9PB0IpM9l2WOJpUcww2n6YDFZ0Xa9oERgsStT3C9qzM+
|
||||
GIjeTCSIP6HMSkMe2VdC8cv9VyxMk/IaTMXUuIj9hCmc+pMzULCYTk4ZfXuKAdwNHt1wHqwcLhDG
|
||||
ILZitpOhiSVxz/w2Ivtk55ZtcXGjeu7sNPLF+W6CeOr/EiEbtLwpgDjQJP3HvpBxtXgH9wEptfcP
|
||||
vb3pYmwNd2/YkkZExcE3lB7gLQkK07yT2T9VhbIhjjgOfEEPF3SPh8I3cOAlU7INNjLFFFXLBKHy
|
||||
YtdRARZm31UaktZPZsNeyWd8J5I0zKofn3omDMT813MLcXIptAM/R+P0iUfEFlnIBBQBZaIsaSfZ
|
||||
pGUNKROkIvj20IoOx+iAkMuntrovwJ0zWwWP6Zx4TSRuoVqqs9maSrYOWY8In+cx4/JjJOmTM3ym
|
||||
3H2YrM/gJFp1bFYlR4ZLYhXmDPo6/USWDx8OzVPPXwed/Okm5BF5/CY6H9ejYWmve5QHuS19rr5u
|
||||
GAlB8SqDYTZTQK1zTNc/kCjmCTLmP+LvC7HCfH3bQK7n7mdv2bXE7Ki417q7ID5q3WpgStmYWIVz
|
||||
EfhNlgsGGLrdySutsHI7VJifTn1fVAkmHhR+yzl+2URRkw0MF2bQ6CygFkHUfp61u4KzoL1o7yKf
|
||||
hdFxd+UBlkqnEnxEJGA0HoDcy08Tigit3eooVnDEC0+3pAKMPPUtQKLmEQVKjJPOZqKA/iUc9Zwz
|
||||
b+cYJ6NH0ZN9fD7Y2sLw7J+NmlrKrRgvIMbe7LVfBlB4KFYnQp3/nsegRbKLz9eXkCNO0UVU3sXy
|
||||
t3HCL2S42mEXAJl8zHc5RrnJMRBToCXA3Hk/et7Gjrg92Mw264N3z+LD/+Mn7epaTXe1D5pzIv58
|
||||
84ihVGYKzx4F7hi+CYd22ILCpdjqaU37nXVRwf16sAL1EOGiFq5YSg0eG7kdtB9YHtBnWRgkjiCJ
|
||||
v/Z7GZNXbYBWG0A7xqXO2kl9qlty9XkgCiH5kCPWgbLSiW5XvAzM0w+nsq+sZkWbd5VYIl/fjQHj
|
||||
Ths+vqW/gN8wAf4uas+c9ypW1IbtO5P3OtATTlF+Dqe1790/SbMBvTk6uG7qmnOB1+B4hDebR3pK
|
||||
kwXPrv8W8dVVOWzYzyku71Y8EFCVX9daFyFqda1PpxSpv7vDeb4uPxOE8wyZMTk86fHhfwPVPvzG
|
||||
TvSDR6+QzaKPAP4iq6JgM9xBEZcZ6j8CCfWu0OcDOhpGX5WJco4nA9laFdD5lccxzzxrn7poDrFO
|
||||
EQH8nzCrhLWkXDXBX8K48EIrDkStVQy/sLR4TCPlk42qfvh4zNNTvpvnJRrQ7taMAedxh2pJvYba
|
||||
X2xdifXsIOYejfsqxuxI//XsqHQlD5N1+y0OPjykh0p0Zd1cmOfxPPTREdh0g9THyQoERUWT/PMg
|
||||
1FC7AzfL5lr6y2w8TFkZMjgxYsiMrCHZBIaFGKFk/nH3doF6HmcDky35gCHTeQEctnj9opjH0ExG
|
||||
HMyQEX10zZpNk5RJ0AWfdckkieOX+1YwFbBZWtdWyiKebC/D/bKUxV2ZNxNglait+R6bTJ3LcUM4
|
||||
NYBEl7WT3cqUV3CedlKoHsu4MekopgXfqceujJdv4MAAhs7osarYpmqc6zrmP/jB9QhPOLkLrbzi
|
||||
To8ZCU6GVSrpZJgQI0OJ05OpAdHWrruEsbQsIjBxV2PbO9s3f21XErxFJpQT4o9/yf00wy+lv2U+
|
||||
QPGJ6dUzONmndsub/qNIEWb/6njCmaJxUMUCh3roWBrTJLFVsyBq2JXh7hcSwTNxm2Sc6Crqc5T7
|
||||
MTfS2C8vIabOEQw3uLxTOUaZylmYmTljFY514kZVpepVm2Pgp4biYVG37lrfzmk0FDAwCoNsWAKc
|
||||
6k29S6kV4kSghMjBZk5U6wx5GfUuBAbSlqkYjQ63pLBASmc0qXMprSMCBFvhYoKFCuObAbXYbHYo
|
||||
U7qF51BJj+XqFz3sGU+2o6gu6O4CCdqe4zR/LqMsp2Wc3AH4NINNZnCWL+/MVSD4t2H+4chmDaBB
|
||||
0gRyJNxKqNZ2vPWl1NUzWS1Q45Q2CxyCuqcs4Oa8rtUT5xSEf7vWCLq65gnDdYbhM7zmkq0blzDL
|
||||
/HyPfrDPJlqJeGCc+QNySeEIPgF8LiauIhVtehxQ6Sn2Y7EZ6YolVqvk+J423vcAy3lQMpYk4nfO
|
||||
V0z/FL3y9Vqgt8mCLMM8sdbu0VaK98FVoQKLoEYHKXss4SMFaLQpRj+X3Kelfx/y9/cgsmfeoxsG
|
||||
cywSvb3p0bRTCEU4JEiQPoVkVxx9A19durwxPxZ1L/8ZT4TQx8HP0kvLC/1BPU8Ko0Xf+VMJaC1Q
|
||||
qo7fJ84Kqzq6yJFRYDykWEhA/qhoC9djJ0DsyjTSPG+owekZ0dBNahNf0xvCx01pKoiCn8odxvvG
|
||||
VNeMl4UF1GugUe5mr0FqudOol7v2AkATTpXTVa8I+iTmRVVnTNsNMfzZSg4d3aEB5qvezAyyx94/
|
||||
OXdT9/ZDNP3LOib2p6Q8yofEYnzQDjiIkPovR7rsCCkfqOohweOZuv3YgYQXneNFj2zqOAkefcBq
|
||||
l5FXO6Nw4JrE/1PbW6f2E4rC3ZyZ2T90TfQ22A/H/AQGHt8UjPoDbFYd14vO3RA4YcNCwMVZVGkL
|
||||
5XnEmrWt0jNa9LwtMTXsi3kZZvQocM2nCpZRnQi6pm30iw6HHy5JDqi1zZWrNCJ84dhvzmlHZsd4
|
||||
oOQaFv/wEPJSzf+YsjfamSY2Au33BE74rSk6Q2mwhcXdDx5C7STbNBcUbRchEKURmZBLmBqx+oGp
|
||||
QMLILfa5bq5CNCmYsZcrxotDvE1eurjfvBHtXKff0Bu9jmW3GP/kNZW7l6hjF/544fY9s7l60kzq
|
||||
7y41KSN+1aiaF94yE03E9lmquFbrKikvqHorUsr3ei65d0+woSzm8ipNdQTMLQBNODXCinWErhzL
|
||||
OTshyhK21qn6uSuJW6eXOxLN1FCp8ZHlU05bMXNQTSTCQ88umPvWSKHXDf4Xjb5taZ/5xj28gLOy
|
||||
zk9oUZwHy3alWq6zSNZ8iQ5ZTeqaP4DrtapCUehD1GuDlzp0cEaNJxYJN+GEgCfhAKUGBQ1KlJYv
|
||||
vDQAqmDfYyzL6585JyB+WJE8Xgm3D20BNR6BIGrD3CAlAA6PtIIinCBadKlVuK+MLO896GYSZTSp
|
||||
mmxSaWoqE3cnWCdlSsn9TvfCz6AO6cqiLeNCyyFLfsF1QDSLk+Yxv8M7GVLZqffckjZeQsN8IgSE
|
||||
Oq9vDYwIJp+tcSj1hycfpAmQHojtO+5THFkvPhv44aZ0rd6i1smLcIViVPRuECd8vvakKIuiYWI9
|
||||
P0USlqI3y0c0bVvoAJUzxE3Z74wDsMTgHs/kqhn+47jRk0Gs5GKDkrOB0P9uXBvhiB/j00lDyw0x
|
||||
fz4uZVRRlXGMwv9cvwdnAx31fV0a4aPIaerU0eOgsS1OsyChxFQB5a/b/h9+I45yIo733aZQYLlC
|
||||
iZrAHfy403WqhqKXdJ3Y/yrV99CNKFpjH9bq0q0Cz/5KieTiFB8/l6B7Gxk7zfkA/chZ+mcFPmfz
|
||||
VTDDRYZl4v8B9XMDt+mW4oULAXJS6U5KLWR/6AIW6cuS1geQzm+uDFflKZZA63mDugc76RF8BhsQ
|
||||
u1e2b47iAL8HkHkybSOOzOUK1YhjdLkxR/WJLsGP7pjqwy8eI+pVaCnTLfMayS8MReDmJF3P5ciG
|
||||
oQjtgw4cJ0G2tHSKfJsT9D2TXoeoSyHMKYvyc6Roq9GkE1GBpbErK6tHVQgp09OQrJL2yUra/1mq
|
||||
urultWmFAh5IlZB3ULQ7BvWs/ifpvByhoXaXS4pPRWuUPAJRYiaQQc6eDzDHuSqk7Yb2HFHQkRuE
|
||||
YgExeBrV59WZzsgeudmL89ulqJJKH/5VDdocE+SFsqzjUmoDJr2Mm60oK1cKwruNZeZc/IdWy4vj
|
||||
PAf3obElzDasxgxxjJfzspdV7bG2TBH5GifA7QjB0AveGrjhAUnmNfi+y3qjyoN3KGFUPiRazpVO
|
||||
pEgtNx8a66qafWrM73BN1XsVEQC+1O0BqXDd8v3bhOHeX3aaYd2gH6e/p8W80Hqf8epVuQyruHzi
|
||||
fZ54cmXlt7ntxMuu87+K8swK7rvI7xhgfHCZinu+LyTgJYrUvgKKVPcVh/j9MWfpUsYTUuhHcmkC
|
||||
Z7AZJQqw2+fIKftIMRVDaLG731pyAwdWVLmkWssdqR61l/GvbQ/MLr8H+rHt1wa0paSWLtHGXTDT
|
||||
FPCGHuaAf4sTRFgW95jDT8QW/rFaNtPrB0+JOvGSIPlhB6KX7hgogKfMjwvI5DTDaq99qvyKD9RU
|
||||
3NyCijjXgGvcWj9pmbB9i4K25GlVX7u1apOKbP7Y0QdOn3RF3D9NRXY1FAGdapV66jaRyw0MKjg0
|
||||
EXzQB3vsIkAkEcLmEFza9oiXlO33YBqyVXjrhZzpuKO1qt5XM+FcC2cxssF9SESwPqD1yvanCLnI
|
||||
DoePyoBvgDuqpwJS0NGW1/fwAe02EONvTD/nSsd5xBvJtEUm2z909In368E+3Fez32m94nU7CGR8
|
||||
W4JFwtHoLq1r3/ev1bjqE7DHIbixHySZ/kB5AoMsYm74yQUttkrPf6j/EoxRvHFoSUZ/Cyeaf6uE
|
||||
hOUlmEhixyehW2FtUsGIYZPGVo7nDAJxhWF5EdStk3jaE6E4X2QaBWXKR/k5zF8hPVM8wZF/A9r4
|
||||
sH01KxVvd5cxmd4SftOwBBJIz2bR7J/Mj9ubHEFy7vhzahF9boP4pQDZE8QSkB6fSzXibXEqKsW2
|
||||
KNrloymMH46Aiv489vei9gyPZKtKInOmjo9mrYoL6pLTX7uTHBmbg7d4MRoLS9Ieef3+P4/LtLMh
|
||||
rmZiBGJrdnbbNfMbuxqRyUT3OVIw3iFufqMQOu/rhzoZ2hxbJWE6MI0o034L3Vbvp7afPq/Uj/wX
|
||||
OU1MegB4GnbOTNq28NX9ChMJ76ZU50jYAzwREv5IG/Vo9cuE6JjJxKfh4S/kOcdhhg74ySqp86Tv
|
||||
k6oCA+COOW8JqGoQgFdomWzg3sgQxfFdwpBIf2qzbZzHxAKsUysP9vY1T9mZBAG70AM3u1m5MwcF
|
||||
+RfEhvfvPkDm0+nZDqbn+KVCv4WYyS8WlydZygp3u9kwgJxm4Z6end58Hf3KPQuA9HgJNFeL+ink
|
||||
B/5jiqA15iXn+noDUu2XOLKXgaDwmBf+6ILPCsjbkq/e1KO++pi9SMTzsDgUS5edM6M30WVq6MkT
|
||||
Wu21ArB1tMymKPTRBDnLifQ6NFaYV7MLtIB0CtgN+ewxq/IZdgB/RRDW1jGl0jXwHipivskklCSp
|
||||
lXSqlG70719GkDUUqNsgZPxlkw7/fpBKBbHeOKzRKijyMsbplh1Fbi3ynazS8qRiqKZAVyJgIddK
|
||||
sHfAgEDfZO9NRkf76LDj2co5D+aH0/iIryMnxWpyZidWlLnap9c7HTVSKvgbVTYiE4GGQ9ZnK4VW
|
||||
0uBxR9BMzLrL8twgoRK8f/1Lti4ubSqRuaZnicXAv/Z9Z/mk2OUxlk3bCgzRHbLTJXTI9KEil/2B
|
||||
zx0KVUFKsp/rzF6vsi4c/uyQf2QCarohXk9FVvYKL6wiaAYyJVInoncU/ivyv3cnbnrzts1sso4L
|
||||
FLGn3/S3NZcf5paIxnw6/Dn2VwQhAkTehsaTZqgHvtRRWD68Lkx7KdyzPNOEm07nwtFReFytXGHI
|
||||
HuPp0d6575sXuHY9dIi1n6P3Ki7KucIGL7jSp604aLs+t/+3cshLiqrPqmS4US6FLmLV2GsN51LG
|
||||
/Fw4AgONZhzA/AcyzVZ4HTS1Kd5BTQ31RIhewFIPMqz7CWYBCCwF8MvbRiSncuJacgNpQX1CZR1L
|
||||
2NO3v/rBdDWQMuJBTmWAAi04tfSfyeQeiYIgi9Y1jlSNu3IP6GtmTjoT/eCbIHJyiC5of8MIswDF
|
||||
ybuNMYph6ytmr1BGY2ypl6FEVSA2CSl2quh194MooZuU+L7Me3HeH7wB3wYVwgLUPgFVls+JJlX6
|
||||
ygh1b4PQUa6IPZ3chfqbdCnL59nxczw3Q3wonox6bJpkVvKpxjE5meTUGholFPtSeWxlJ97XKnCz
|
||||
5Jg5vmhlASUdTSKiRR1PWqeZRNU9vPs6V9qvRgw6jYwNWzKYl66lTajfmCP2mtGlo7Dv425KjTnv
|
||||
YytPDkqcdX7hH43XiMFufx+JA4ZcVmjfksVd/0cm2UHGFUdrpPUTpo7bFJe7xHLXvTsnppVJpEUn
|
||||
UzV+X9R5A7PoEvhALszrx2YnsavjyyhLvgl8zJuhCW2aNKy+/e0SHMmTxN45HFfn9ZismU2oVkaW
|
||||
M7nVf5nu4t3JPlcObMzwArjvnxFxSepPm5AGK8DlcTJhWPMNNy/wZacHGIqPl/ByaaDngX0g0eMW
|
||||
LXRED2b0pOVE2iPO9qyWhP3BjdW9Hv8OCQrrmFgLwWFUg09DcCbIK+x/5LihndReJW6EO2Gb0V1S
|
||||
IXIk7fDFc3WKFe1iYtYRO41pyaTGVDOjDYC03DSgb4uFFaZpqxrrQISi2V2G8z3PoNJAp6GYHnhI
|
||||
1n17PA1HatACY102ICBYxPXj5w2vjgvZYRsueyL88cX5I87XMyyJlGQczVIITVSf29WIPgxiPV8X
|
||||
DdOfASEAN4sRiB2zyYySH5BMPn09h4JNkndxbhkOPljQyq7dRxtyuW800WPaFrQ7pD3R702GkmrW
|
||||
aJrK4W85i4lDm4PjcmPkZcHkaK58Vr7YHq7lGW98q1HhQtx6EMo4TA53x1Dj1x2zSD0+/1zlCAEv
|
||||
vKi2TvpOnbNNRsbCEa777tMfYs58rXKx0yLVKzvbFX6qps2dmKB6hSCGC3EJ+PcXHh8/sbk0xxBP
|
||||
gkHc6jbtFNSEGflpkXaX26UTkocT3WHQHBtiZx9K1kj3ezOLW0qv1OHsFPxY7QP7R6Y6RcOYQ1ke
|
||||
biOpuVtb6VRmLlmipxR9pU6W/Q99jVQgh9he5qOhJEkrMuwsqWlJLeADVaymcJE/V0PJNnuIXXbr
|
||||
c/dC9Ys76zJrzvGaEDW0NtQXPccjfybctVhK8SJsn41cqTQVZjQOdtf3lAg5jR/0qaCyExdpnYMu
|
||||
LA7CGPk05y/yODKHq+N9iYumklqKhynCvTMepkFnZSEle3uiPwzbFoRji8IVaww5sL8suqP0hwzZ
|
||||
G6xjkL4xfPngcuGjYPKLXX2yFo60uf6e6Jw14cAR+G9lEyUr4Svvt4OLoL3rQl1K5vLbHP11gJjk
|
||||
Gtjc9hLoHRJ8eDhjYdFr9VcvND10HchH9I5VPFjdu/9ewD5PvdYZ6+5xo3ySyrDeqA8VmIwssMWd
|
||||
EQAdgmsh+a91h0+9CK32ubWO9GiF2C3G9LgsS3+k+XVsjv6uLICCfmeHhiYZz90VqJ5vroYB+MR5
|
||||
telC1FCdrN7JEGm+mSlNwhrkhZpyHG9SFuqgTTckt8L+JteDk3B2Xvn2M4pfaAc8L4WX+/GFkw+e
|
||||
Qg1o+KE/zR9diG23iZom100c0S9+Y2DHWSWwnbBWXz4ktyYMzzigs0qRIlfb6DPMy2nKyvhp9Lo8
|
||||
YSDWmhw+ETWoxlQw5vZNFCCrG9kcSkpSQ65GSX7vp0MvzXc6HB1Ny4P/79CklD7mOhkbtpQMRQwN
|
||||
zz+oqJalx3LN+mxLeFESOcAerzOHI7ru2K0ETG6eIPpCW0Sovq+JTc4fH/T+ZVnxcmfZNi+ASZMG
|
||||
GKPtH2gGAplmNYnuSu6/dl/edqbzKdno0qb2IqS95ICWyZWagbS4VlXNITBFRg8M9r4aVUXfIUx/
|
||||
Q846jXXnwqk8v4CMUxT6QjHdJlUVZY4IB/cQgYat15qedPW/FwDJlh0x6bIYy6y5LwULQv1Oaarm
|
||||
sLnS7AeQDAYyKFJLw6Ty08+qMFPOc3Bd2AS8pNXP7blCmLhE/OkFoMKtAP6I+NHenjlUK7fr4pWF
|
||||
oiLHZ5bx7OQtDF3cM9GMdTLzBu46XG6ydNBXRnnSbHKnxtzS2DQZ+WF4DWxSznWtIdWsGAFI/2RH
|
||||
t/w9ev71mxx5Oj6UGAY+aOOaJlYUPANAQ6z2ReK9x7QPGziAFmT5xURotQwj6TARd8KCyjsUzel0
|
||||
0hqzsh92C+8dTzT9cSOALNIIogv+kanW567vLPZBBEHGLfGq6J6NTStwn/aK3eVdEEaIn/pZlwZo
|
||||
ndke6rvxTLOot8uql+3oi7ILV49LCXsnD9wRLCW5/EKgYT2pjMlnTkeMrYR7DB85+t2yAPl12BVr
|
||||
U0PuOed9iM7QA2iidPC/QwZX1RHs5aryqFbCKYtQgY/N5xWrfcGRBRPqERELRgxzmR4kaech0BcO
|
||||
OeCE6YDRbdeJ1qOOU2uiouPc+bKFVLkb8kfcSR3N9d+3IGLTDIu1ls2MUGv731fgU7O7PFZpi5FN
|
||||
n7jXe2PvZWTG1q6Lx/w+yFn0Q2Ug1JqvIE+TIL1vr+3S3QCPYexFayyQ2KrFBYa68+kS5e9xT7YF
|
||||
KHoL6F2LpVqS0cK8LtyeMU6/NJQtojnyn4bTug67dakL5TjOV5+8VYNMwJAMEVTZN/vx4nIObWqp
|
||||
rVjmjwxa9QBoU3rYIc7BD/8wVNbl1tzl2avqrxNhQwu6rNgZPrJVtuNb3intqai41lJssNklfJ90
|
||||
Torx8h3JqLp8Uee8utanFc41XdJTCwr1gecimG3z/HaFHOTclA4OLSciGTGVULgY8j5Kp51lvDRQ
|
||||
BSAZE47+Sb5FkGl8SNAIC72lJiM+izSD3P06HsMAd3Pqw1+p9fQwat8ClStcfi1FxjLphLZy1gxk
|
||||
Z7qKsRvh3mxott6527OX2Z9hz5W9LYoODtagQj13A7vuSQxeGhFK+bpw/geQOpC7km54X2kZM845
|
||||
cduVmtiAGNzelaycGc6blgQwTIPn8ivqDJgYpI5A6D7CyV4MkCkS0dWZmPdntTiifKkO5wu51WyY
|
||||
xbS6RJdH7U8Rl77mx387SqdY9pRW6EDW4zJVrEewSxOg9UWDXiMqHaiIgYMZBsYkXhMd/0SRN6gS
|
||||
VXd3bEa3PsPRxV18e4mw8MMkGqYO8EuoBhe4hKzNIYgYDYT1X618jxPImboLRvg8cyihOGVlCINU
|
||||
nVrZJQvuXFGX7cFoJF88E3X+0anF2PqeQ5bXzpKG5hu1CBN6CYmBq27GSDEaG3RmtKVL2rtJuoVg
|
||||
Wb6V/BcfAP35Ds0UlbjjGwRvu3KGtJpk1ithbJBU6qFNItjmsCkMXGS+/HB6rTaJcoY8Pp1Qp9xp
|
||||
4PZB062UfonlOy7IBna7qdrIA9qqth/y6ieaJouDWKg64Wd1DHa2q6o8GkDZ2EXguKrdczIbzifw
|
||||
9AXss4tRKHU7PqJ5KRa/Kxf09wYKzm+/wnjZ0s+/abNbsqMIgl1aP3VhK4TInyebShk7YyZjfGiO
|
||||
o71ZC+bQ3vFUOsS2hJrCr//RqxSTcA1oRAPls3ESFXwiOjcSVT6I7PKhqJjCghuldCkXSJR/Yo0B
|
||||
pOveBntKYiRm895BsDl24nY+Ld72OAjXj7UNntUvofZxE5fuWukn2LcrzWNTgBgo9PAl+wRcArdy
|
||||
q3sjK0aOH7om32D6SDb6CTgbxZl0IvMKhWbVgVtCrGwSIvS0Z8nDW61grCj5DVQbaNuuwjb5oPEa
|
||||
KZySQBSYpgL7Tjvziq9s+KWqi50PuwGFHxx1SC157+rx95YN9OYYrklLwLQyGZ6+rIr5FT+BrldZ
|
||||
zJzwuMKsGhqrLjI90MOhUrrDY//9pWCRskK9jTCFkf9/dy2k9oeU27Ui/GPiGlCao0YfjXuldiBa
|
||||
s4u9KUUxvRj+u4yBvPxqhZjkLFH7U7/sUxW7QKb5eFA2/P3W2WZb4y1d7v9fQs8aDq90J3X7Ze0y
|
||||
GNlXYwNkSLbB+x+7PErsc3zRiKU6KqA5d2FX/HrRPhpriLDS+gSztY4JWbaAL/FgFmy/U8jaUYh8
|
||||
ud+qAc30GAKl7917gghvZDz82JmGJSV5560GPKj3GJ3soNfCXv+EbAdubdbLgpBV0AQf1ew4T7Bd
|
||||
0fnRO3pkEnyAKacoVuiSB0ddNqVEDTWjymSaOukcrTmXkplfVlBl1+sOl/72zCD9QPb1j3UzQHNF
|
||||
Cz2n4VwWzvUI0yOsI3P/YwYR8e52SNx6qy73J0mZE4pTM5VO4q1CBowA5Bu5Z/x4Tf9ukEFDiDqN
|
||||
TwqZhmoeufkszQTracCt6pRHRbBDalp6QPLeWIBKmsvSI7BWxdCIgy6i1PYoXiTYfWxHo3V3nosA
|
||||
6d32LUD1p61bSvMvsezfsBk1LvVPdDKpUpQa/FdYd7i2tegZ1GBqhNKyz3K5rQMGF5dMG2gFliKB
|
||||
Z0+JBguRhzIco+gBhRlr9fSTi0kqI8XrTxpD1rtD0k0x9xPYn1cQjKp5WOe8aSL3VlRP1Fexfk/v
|
||||
96dCMfMQKV6qg9Nr2vK8JNfgLPphnvlVVGM1h92Z6CrdQMScdekqMqW889xidYe4HuYmNxDMCvGE
|
||||
c/AO89J+42HuDXYxFFFgDUrueg6rvRTC0Q/MrxGTEX4EU7fKETFOrY8sKkRCpaSqVJO1larDssfv
|
||||
JO2XNPPxWsgDierly83asoq4l9Y2+XsMPlQpZ/TpP3svhyBwlfa1C9WyLevcIZ/ksLttBxl6o1pz
|
||||
DYSibHHfzWinXzcIbV0ZOMSMqqkBFy/ql7D47i4Ux1jkDOK74CN9UjaVh8MYEjXSQgNvWqWPjvuK
|
||||
fCTaBlY2l7LgbrC67S9eZLjPTrdjsVBoxHkFnmQTMjhM5dstsBYzwzu0Eis04fgnozgTBGnfWNfY
|
||||
jtX7sI7gXgA5eRlPQLeA5m+WIwzCsSEYDwxZS8pE3Zx6E5WmK+PIgewVVHmpqRnfnhtPXXl3XKiq
|
||||
NAPipiRzyKVeFv5bCfp080qm6k5iOrtUFfhwQYFoc2bNUWwE7iU/TsVrpkrrKy1HPlSceND1mBEK
|
||||
0XtIKUjawe9bkGSfKz61TcY39vYD8+DtRn88opQ/0geCqT8WKWsmLXUFhZD3+Mr7wUmnPY8iFrBE
|
||||
OXIddZTbwLH2ZRx3ndkShP3aKjQYqLmFNSLrDqMMARZjLjuI/whRYnGxVImVT8o52pE/CrOEkGFo
|
||||
8ZltYg7zT0Lwgpn+sKhEF56UOuLCZQV+0t+NY5cHQIvhOkG0AoaqVbieVWslK9PDPrBSe5UIHK2T
|
||||
BhlZHHOmtIzHhcQIdTnl1tXsiQ3Hxa/GX0Nb4J5HFnMFhZv4ugfz1H21gZ53oftKRlbbeR1STLUX
|
||||
gUhnuli7G7di1CALByUnDxSvKfGw+GU6zxkfgA+fuJ7uCYCVjqj/bPCGy/MuShDjJ83Sm680aqfv
|
||||
qU25Vy0KNVxv5geGARRc9oMLM5r6twYIYKguCSCDgd8Mx7tnj6JF0Tfba1JofjBBDV8qHynuLzuw
|
||||
Aoa0POQcOtRR4h5XqzvRew51Bg9/Ukzf0mO6jHgYkXl93oYdSFRS+Xkb6P0FR/AQkaNXGU3/9CyJ
|
||||
oc/0VzrMEgYuVGt4vIg4fVzOm23g9iTf8R+KLTI/0Hdi9mgmylP1q7N7Y47wG8iUXIXEJnlx89ti
|
||||
zrzpKYknku6HGY4eJlyMr5nWA/9n+FWml/wo7hbAjrOjD1MCjoKPIM9d9xN1oAxJe3SrrJROuT1F
|
||||
F+p+rzBS6iN5ojnQf55vF0t2jw8q5Vi52zsLL+bhgvSRAN5SC7QbGLtCpu8FhrtvorIilBTAqQo7
|
||||
gpego3wY7a0qRYifKdscRk1f0o0pU1TVX+H/Y6PS2nMPwVZdGemvaOtFf6E0T7pMhYA0599IuFXH
|
||||
w29gH3FT/CRKXyyIK7d+ZziOrGAA67p8eXcTdwXXjiV3X6FJGsQ2ekAo/YaCYJ+SvIhj8ktvNFSf
|
||||
tEJesrn8RXncl7Znwn640iltFElhKk8TY76FTiiPbtA+Rf/fwU+0BrvutHBreKNGw1koLuQJq2MF
|
||||
5zuzx4F7pecm1H9wQgI8cIcDnGJeHPMLeml495TPK1aM343MKGN+yWRUedG2F7WLZnbZBnlB7XQB
|
||||
77YyFS4hBMgyWpr8L3nAIBjNNZGgRt29ukClDfpeOsF2JipOPGI2Jk5APjKN1gfSLZkmmRXbMDGc
|
||||
J1ciAoXfx5YmMxLBAs/Ro5BHZIrhvbKBbhYogxFE1Duo+ekO9jlameVGSQIum90aE9qyhBKvIR7H
|
||||
94Ep60UXsBtjiC9kxMbdkYuW+1PRW2lY1HjDHV9ZvVQ884qonEhguYbc7XtVKVjJU44TrPRI2Wml
|
||||
gYL2PRVrFagTMHZ5bKvqbFdSuP8S3q3ztmXECJmMCMzQ3FmUK6dh2UKlmvakkQv4ctWUEOzS++2S
|
||||
w4RF8IP0KVR5Ae57C8/5vNIctFNVlQxdxuBIbg51kEjILX8o3cF7GbWS47qqFie47akyM2guaRVC
|
||||
9okLKpSe+pQBGxu3ERnIFqnPY5cQzs3IjiJdLmgYnE01JfcDUnRAVJJriK7DyrGVuqs58XheXB61
|
||||
ana6QCjdjvRS1IZbRUJPJX2+iOGkq59+W3SRHAGD7glsCPjvmKQlkIt6Yb6FerFuPLliZTkQB8qh
|
||||
gc3gLi63IIicFWn9zRnj+yArwaTlJzgBJU75poIVaJx67YyQvb5L95HjSFUaLESo+X+sHsVG9v4d
|
||||
wTG4AQV6Y7Yq4/TVsJe/8l2fZrLZ9H5csNh+Z3cKFQEXXdodNPPHfvzZCmGWZ2w+qi2fTTedLh7m
|
||||
WBOhslEmVJXtaESJ5j1t4308aHut80b5wFjhPSXN8+jOxogiejjy/MTHzNS5kGX7f3QkCrRvFHpY
|
||||
Te7mNgYPerrrInpmpZRDTt7zedEVrI877lIZZQKgRvrMxWiQC8xkn9VU5/Pm8YdbEk6F8Nbtvz3S
|
||||
PF7wMPrE9HgGfrJZE0gWcQUsvppfMRnCuwsPSHRRoqbipv5mEVS9haYH7yi3DCk5gdu/ecP4n24t
|
||||
Hxtw5xhCNE9FMxHefTr3GKHq2fcdQjmfr0cndCCT2B+5t6AnpUx6Oz9XSg0XFFgPvrXJh5dUK4Mm
|
||||
MGlxKh1MxqBVI2jDZpnTX6A8ArFSsBY6UGd7HiIJa5gmkdoKTRpPCm/e+hliu8aXU25VkH3ybu9r
|
||||
QwNumXuborNMkAaGeKueZ/rirQed1DxUakwWVE8AvaWyXA3loPGdu0XvMDL4k/PPQO2OY5U+E0rD
|
||||
BTfZocBsYs9QvJ1FOMUiTwvjBfRtR5JN2BtPtGZxdA9iHOafwP7eFS3Y6RVO4QUom4n6EduCSh7s
|
||||
hhzPoZGfLNx/cx2Wy1YmczvAnGvM5xcEjtoYhtJcfxgh0E9460Qd7lMeZ0nej4r6Sma88TIsOUTn
|
||||
GbvtrkVXXkFX7pier4M7kidWOp8O9GMlHUZLkveO0XCv32Ghq5a0nOTb/qXKBsxZchs3C243L8rs
|
||||
JNuCGWj7iRDVqOvGEBh5tcVPYw5vgthCauswhZQBVfK/ESPHcvIuqZ+2VhpbIfOVfIQTS8aWTt36
|
||||
ZbTr3RrBZcjctFHP2h02+Q6N3ilJHnsQQESd7MCAnfJdP729Lpkwohngu+/MBkV2KUbMsajPT2Ob
|
||||
kd0YX/cgVKu9pYQrSDB2uR2ya6Pec/6oxmPVwr6qThnkMcrthMqOpsBSGSUfelrgOWs77T9H4l9z
|
||||
jSKfQegG8+CHN0sIOUKQpzD30VXT+uiH7M1r3T6C1qTaSb8q5egBFGioI7xu1O7uas41KGHmN/76
|
||||
NPiVxRSa39txEaapemxFVd7DvGFC6ic4hohSnagRJnOIYjlcRQFnF1s2SVLYUjg+E6QK5oSPy26S
|
||||
AoV6eDWvbX3v53Dy151huRP+RQ6MWvqRrhnTcpG9QoLymepdsaGXFdGt36ETg+ipur0hcZd0Yoib
|
||||
idNlQVbdVF4nZZftbVvLVQ2zyujoiGGlckN2XfY2yqZcpwujFqanFG8v203PR8fRT9hfWBzR18GR
|
||||
44XLOHA2VkQv6kPTtSraLzEzUITUSfl874ktl3xGZ6BdKy3T7k6Yww3Zfaj2gPP9LAn0JWY0E9U5
|
||||
xrIoAAjjzv8DHjhKfSOnLM+yCP4Z8bUK3lyxYqkKkp9LjfuwzloQHUvAOa+mRGljbLuBCfHHxCcN
|
||||
3BR3/IHhfPbsNkK9lygFT1A6GQSgTFnLCz3FucQ3Bu/n9CQ6Tk8ObakNZ8m2wCRovlBFkpvjJlhu
|
||||
Cr5kcmCSj529G1jGbzGbWZrLwCJTbAAEzhUEi0MltZSSz4Unryk4z8L4BjYtwKBPkJ+F3o7aDofW
|
||||
RPQVtyv90hQa2/facbHvRDT2PWHf6g5Bp1Aae20YdpZd1UiHBpMlRc0/F7azGsCG7xBhBj5IdDBE
|
||||
6OE7C2NZkVEpJbTUgbHDHkijfLDz+sM8szx3pzPnfnZKjJ3F+JfMa8wUen9p8lUHKMox+awAWh1T
|
||||
CpwhbhgC+Z1Tmg9/WTYYvebs5beqr4ZrESe6r/+/wwwG9d+pvsgsTFUiOLQi7PDOMd0VJdQHlIRX
|
||||
LNaTSKqoatcNJ3sGyJJSkOGnGTB4x7xTlJFk6f2SabiVt+IlvDAc4EjqlJLhWhouE35lZ6t3QLB7
|
||||
kVdDtHlPbRQMzLT2VZLLN1Kd07xAeDpbKn7w7Bcq0QTjPPCohFnMPOa2Xfb5cat866wz4Xh2W2e8
|
||||
/1ni1XpgWFRGix1nBD8D4Tl3L9XW/oqoQjDy2eFOvN2Y2WnKBZaunZUMAdBADc9wtMPKQZygKrD9
|
||||
4qmp+H+WULw55yF7dsDi6/7cIpwohtFXmXRVNpiELMSexqb7hESNNDfzqwl5CP18/OAspbd7PhKQ
|
||||
oU14KQ8PiZHFelwqUiaM4OhCDOO6T2P66A28RBfttHk1+U5fidhgQRYOQufOaaHfJJiB3HauafKk
|
||||
9i68nRntEC1z8zaAuRUGK0dCA1Ull3+8v4CGfxVF2N434rfqwUlp27TkXsaeiuGguKoMchLqt/k7
|
||||
z1Vv8N3JoZwvASOWkBOjr9LZ8mar265iCdPRcrgwxF7NeCnMg4TFgXOe+9SqsIoC8qg0mtSiTj9d
|
||||
qqsJTeuEIkBkSH3UcnoT1X6UH4alVowb8229paEwXzHAHLGqUvvY4RvmuBbOZNNQEejRuD4m/qU1
|
||||
5DYfz0nQFoBWKA4e/Aabi3LIrLmw1is7pbN8tODoOJIoP1kIwbQGHk8C1EaMb4ms4J87kKOhEZJM
|
||||
htw3Lm8eaBwqfK+wiUwqGUfuwNXhbvsnv08EhzJIJdEPlOZTWBACmZ1UmQ2bBT9B0qRpsT5j25fC
|
||||
kdJtysq19S9uw20qoLeXTKvuav48IUuuevFHjCfqV91OYB6CtDG//6A5qk0D0DASfkvtCsBiTfxB
|
||||
zLdKXHhw+5oaJ+ruP8pQBBodrSQg1Cn4JXaND32aY2dRuq108p3Sub3Ebw9iuEaj7RajT17211Vd
|
||||
Y2oOQRMF0UUAXyXobfjnJTLTYVEgfO8RxrmWeBmWWFPKGq6Yx1REVU7LOSGscydsJFqIQw8UAE4s
|
||||
+Jvd4aykNQ+alhyttfHO5YZ+c0q722SGPVNcJbFAlYIs3JnrkZnddcdvDva45UAdX5+EPTzmamUk
|
||||
ubMqFAvXKPJirSr6XtG9kOV9H87asiI4ED1i+SpgkhVZ2y+kJdaLXKE78lam1XOfs9OkxbLFcfSr
|
||||
nYkBzXSnH8sxIDDSgEdPZnBJQoe8QN+HvsbHlG/6TD2cS3RBdrVr/9YDNyW667ElIXnVyAKKCkAw
|
||||
hF6+ci18dsreDNwltlvkniU1M1fAeIodD+KD3NAa4YKlbRfHsnVVTvHsgKAKAAIsAOJ8GNTTO+tH
|
||||
hhP0C+59q6cyKOMi9giArk5ek+JtIm+vqAjho5KObVE2IHoh20OsVE+szMyFj+xqL2qgB6RcoMJ8
|
||||
TsXmzAKNOh1trsViYT6dupp5LmVd6jItcCtZknDmTZ6f4v5wKZJuv9292++gaJ3AbGVaLG3GLT5L
|
||||
MgCIHryfU6O5wRnKdoRGbHvxlFopITGKHhFYZz+GOuCL6HdOlKId0KZA1Ha7eCLcTopxAXTufPPW
|
||||
/Ot+PPZ4jlmidHMyBYY7JKgrJSgp+vjhxaTBHvy1G33z/vMqvj9/ORLE60I21YliVwwcG4Ewf2lT
|
||||
mgPMNZpY59tQEtUgmoJddr/lM/TkWGsQi3qMvLvgA1f7clw9tG8dD2DhkxieZHsVreqgNy6pWBWy
|
||||
S+H1mLk1dWsqrdR3F5EHtnt48HOlYQQTHdGKAso+gyoMljSPdXJCYFZwHEjJmIsUhoeYRB6hqDOQ
|
||||
7QDx5BugUh0azCiIOzpG85rhO6eVvJkRaxcKJTocrhuojR3zxWbz8StuvbUJtiSu8EAA7XyRkkKV
|
||||
Tc4rkylUQ+sxxbvXEfYD3zo0/npuJnGiCrtDRXPnmrN2E1Ph9RHerUmQteyC+LBDX8Pserc2qGUR
|
||||
pI7sAtaJlwYkK8MrPMMcAKf69VJjkTPHB/sHiU4nWMe+fPU/HQamuFlmNAGu144hwnHfm4/LmL/H
|
||||
IlgFJ1bBtVGlJlheA2It5bdy058dxd+xts8EmVSnsqg7/q8m2+N1LUbSfibpeTfTBA18DVqe88cF
|
||||
54qXPOMmGRdcs2vK3Eu/bgCBpEpvHCprlRat0XgDsG5Vv9El6gNX73cx/PgODhQTtvWI/Tw/tOnX
|
||||
tWxAkrOSBmQOoIvNf+tKoYYZANKfNGwx2zcrJBoochTsWOoufH0edQZVVOG/Fff9Ev1g8XaI0yKy
|
||||
0HlYLf7ugFF3i3ijRHaRO4QTF/52TZ8/yCk5yQgiIytEIH9KY3XqO2DedahX5AkhdfZh2XEbSyln
|
||||
z4VU7kW28K3U2A1VvVVAvQdopDNBRGX3DDa/o/H808Vd562iCdjJu4SW5kg1SqzMLTiT/6ipL0sP
|
||||
sTivKa+5QOSRJ9cxcg6/R+FmRpqsDbx6A4sQcOZX0xjDYx5YZ/AL3pQMHnDiSzqkpVXhePCU3/Bl
|
||||
5ZYOkCyaTrkz9Z5krHoMjv9jKDkCSWGG29CLwdgAvPoQ1CJZjnlixRsIyaF9DO8Q3CvS+7LN3K1U
|
||||
TSUIgCmDx1FieQcIvvL8+Hqk3wE/TP26jLDYU7hkCCjePw9HwsyBsrQTQwi8oNl1us8nezGcg8DX
|
||||
k9rvY1Fbi87xF4cqOn4YyMn7stLQ+LH8IA7WizO6z3MniNRt+xgwXfJSJ4AuO4qAYGO7ZhPqGLuy
|
||||
ch11KcOr7N+vh/EW+sP8l3gtKeV6hecHAG2vHOfBNBNqesTZ/vRp6Os6xN6aCABHY+8H0Fl77NuU
|
||||
KpbPawXjREmHE94vEa2xQY5TS+lMY7zsqozYndMoBRnxjpqX/+uq0u21k53BSYvppnncJ/enVzVp
|
||||
RL36i4zUhpkWB65f9xaNBm2tq63IO8eLk5aFEhPs5pNNyn+R7oM/0OfXFALWMjY2W1Y4r3zxZ/z8
|
||||
xGSd67e6vpnHYQBA6KfKhnB8BJFSQex4AYzNH1oi+RmoigGEmKTPR9NRn8RvXfr3Awm44HxWlf9h
|
||||
w11KqzHXcJGtM2+U57aykIoAGxo98KlVIV+c30IoLZESczU4GJiFUDxtxNNRejxVSA+IIfQIZk0l
|
||||
J2wrlWF/wWFRtITYcORjzR9deDp8er/Jq4yoakpqHaYGw5EUsBn8Ck11/o15IVPERxV9oEo0fkNj
|
||||
2y4TLYJln5FJW9/td8QYRn80WK7dg6QKXE4UNjGFMtdTWZvNzfoOYLDSu/gEQ38UbIfdTtBAXUI3
|
||||
p+FcP4Yox2y1Vpg59ncY1iQ1SE6hutzhO1iWhpftNXlbFfyp6Yk/u6mV46aV2oJ+jAs+VT/xg4I6
|
||||
vU6mOCciBd5ckqcE2LPC6LBqFFEa16hejjEIXZcmXR1fgH0CpqgfierImUraRkNtQGvvPeZIGkHs
|
||||
je0t7ZnFAhfwwFfm7eGkoW+yAOgmm6FP4vEfz+adOBZHX2XY9cOD+vWoVslb+vPBmJr/2HegSRpy
|
||||
87KbXeOg9DMwOgYyaKS+xDcnvCIkjamtOWiGH4QmcUOybJFWAHEDSom+B267bsihuIsWbiUZewkD
|
||||
hUjaydBFAbu0NTCA3YByLI52ZU8g+1FgiaH321sOCbLfhS5ZFBo1OQmEyBG92x6IHHYls3dYml07
|
||||
C7HGRGQfrlCc4+LPjGXI9Y5q7SBDGVSGl6bojo1RZGL2Qle4aRgcJcXSvw0BISaU77KCVLS/nbC6
|
||||
sAM1CdDI3gtzryAJF9hOZ++KsIu3rBNwcWwD0pKRORvjaiyDj932b3XAXnembdgZ1sGeX+SRZeLh
|
||||
qc+BD/NLw5Fw4LLL1NvWtI8XfF5pk1WLcIyCvt+yExqtw2FarvN9wPJMpE/68MsPuI4L15mC3IwC
|
||||
aIWgEcLeWwfdlsbc6vpnVKjNjqdxtGzLGIR9adxWz2sqK3E/s3HMRZJED0PTYoiI+OlsqYI/cYIz
|
||||
UfaYb7gYchL62x89oTUBBWwI1HruxwMkqBQK5xbxIdxJsORp4ki6KUUyStP2Psrsiuc9WBzUFR40
|
||||
MBT7PPcPTNSyOemrIKokjAt1YwLPQvd3qC9LeDPxctzBbJzyiB7SXWdi0eA4UWg5b1xRz/ojRki9
|
||||
V/E9KlXd16WustS35Yhy95kK8J8SN8eBcdHONeH2QcEjH61U8IWN8W4Om3XjbOkPBtJxpEJKZGpg
|
||||
QpwfY0axQhVY1JHSyJjDOhu07yBXkC2H6s0+QbIBI+PogiMQc4rMJQsjVdhQ3O5+KFtRkkoyDL0m
|
||||
DeGb8Ns4QF+I66xe/vuLnh1tOYEt2Xj34TeuXJ+JHd2cjAufoYV7jSjUjK4HNs2sWz2tHjl/P2Z8
|
||||
1Je6plj07LqsxObqpBT2RYns1txRAL+oNzJvyFN4hibaPKrIyFbB4j+mvH2MPlHIYLpYuQA/fLZH
|
||||
oLGYBl7Klt+2su+KDy7wNWQ7pT7B1uTKung4P/RQjbll4ewRfKjWcmJNa7Vq3AkqNxTGFRpbYvop
|
||||
qCpfGzbLuhJqB7/5IjDdAFqx45H7s4couJ0fVUX+XHh/EjXh93pLvNk7EWkLID0AKb8gBehVWrbG
|
||||
c8y68W5H3e32bZ89A9EvUDOy/p3Z3u52G9hJ7GBYEvBXGkHQSerqT5jp02tMbGd0XfohXrooPC94
|
||||
M3+tV4iJbVUy7EIqZmQoCMfcsFfQFy5Ozah+c3PZ+cxIQFPLDXcq8lv8+zh3brOzHUazYdjQHEO4
|
||||
f1L7cPUTQ4rTzTbUkmvRct/coKILfOz+7B2tCa6U6kKtvZ7UqOJdOCJlnMKlj+TEkOz2f2x5JU9k
|
||||
5pZS9IkrIsy8U4aVtbBkl5OYHeMgiGBy/sFztVr0N1WuJwTIpAA0xitLIPnKnyj/DWE94jQE5fcp
|
||||
rn5pwMpyK9sD8FI95kymBZU5m8/ZEmZwToqALf+3WCtjQwZuS0ma2MAbDcmCr07I79UZm+ZrSk2y
|
||||
S53OytR6F7sO7CC/YC0f4ch7GFRIHwL0j+maV1lP6gOGJr3hEahunDcNnDDtxqmCoq8BK+d0IOxm
|
||||
e+xOTpSKwipLyqb+DmFBXhhf2wZeR6nQCoitV6/+gwmWw8b2+6ArDYcb0+BPAnWCwzColj60IwOS
|
||||
pO0jXhblCyLIShnq0w2SHVXq2e/qXBGegHyp0yKF/sjygwbO0u5ihfiWsocOvT0yQFqHfIsvo1Wr
|
||||
9z+vgPigya7np9xcd5pxVSf/XtQGmhdbgNbjAGVnAPEEWjfbzZWZuRStSLSJ0Q1INzlwEl4lfrfS
|
||||
CfycUiVAeTRYMxRlHIJhfR0MbL3YqxmbpQgjo/H9UiTuaGw/xup9V9zxcrq0LjH7a6On8SMv2yV6
|
||||
T7AGPQABXQUtsPEbZyjZu5aRImCiLl37F6kEBny6xofqr+/7fy/tII30UM6iMilgroWfq5tq21Uy
|
||||
Xh8ZBgRZv+rq+gcZTHKFsJpZ5Tm1u0dNrzYPIqZbuRgXfZKzYY2bQ6qqVGWFOWnOF6DGfqaUPuLE
|
||||
sqLgP1maV5fVpjnIr3IEUO5CNC+z0aj9w/9UCg42MFcEYedUYeHwk1gbjcyW0XHHzVsn8zWP7MY3
|
||||
Nq/NOJs+iRC69QBgeOcHJRvhpm9YEOfI/8BKAK4SYBH3r1tIE1UOWqQtI0EA5r5PTUtRLRHVy1oz
|
||||
03fTGMkfuGwNqXlPImfCFf0zsWICgO0jMMAAl86l2n3iUibgOdxdExTpBPdZrwIwhQeIiz88QVnn
|
||||
socZjHvKPFvGNWQaY20o+UTzaIMrHR8Cd5mQnAv/FWT8ge7DTY9ezZEfnPjxt9e9qNVbx+Sv2CMb
|
||||
1J7c+bRRYD5fnwH8l2tHLK6y+a2IbdwOiRZed6uhUpKHG7ma8PdpcMNnrcqT7+Wfvw0EAoOvAVFj
|
||||
rmIRCssz+OKAh0K5DyKqutDNMTJGSMVBSQKveNEamlA4zp+HZG2lcqyKJMPRJipzr9oPSLPp2iqR
|
||||
Aspem9Wu9U2fNlhkqj38/Hj08j7qSGNyXzLaNWEnQ9JGLXuD/h8bxGGtKFmPjDpjb8Vt6hpMGHYB
|
||||
ackcuWdn3LmdO4Nz8tzGEMGIWd4ZfgN6//a4p/pjoKhNW/Ih0NC+lCoftCLiWAYaKMOif3fyjraC
|
||||
QVM3TqGRS9fW03EslJqGqBmWcxt62iDiduDy2G0vbSpmg1rFzQw65jQ135pI+lbo2moYriGygX8v
|
||||
Fq1bxCqqf/Q2ni/DzGzo5NCehKZPbdMhudvwv2Ribi+mlEcSVNXJClHFe0lyGg6yJBAZP5iyw5GV
|
||||
Y+3fPOsBqq+/JF+YTudXI5u4AICslmosvCo07EXBFHk5PWtGJ/Tj9IFUdqgmNlSaSYa8QKJiFjcI
|
||||
ostto4QoL11ebpFbGDdpVQJs2X5EP8uQTSfGjMLp9ouV7kNSGF01CHpiBjB9bTucJQLnZvkAwRav
|
||||
kXHgGPUmLuDsNIoJr6WchOl7lPYUN8Ez5lxC0uRs+zTIy+C2KJURXcVhF4MfSN8VqGsr4QHLZwV0
|
||||
vmAnQOcFYFbnT2nEXfir5dx4VfGtN0PQZjKNbCC1wh06eArtk8XJ4iW+YPiLM0yedn1A06Li318c
|
||||
Ez3M3BFhk2W9G8dxtdptgPECPeM6vTlWj+kENZD9sTsKI1IljgmX1FhyrL/Nn2AzD2aca3+ON7iD
|
||||
PLA2pf8bwc9WdMGypqjRVARl3X22VO1X0J87fJbHibkSnjTf+4Ge8aYDWFDYJVM629ewlozpIOCq
|
||||
2q6ymrIScidz7XHQkTJ23cVm3TDiSwHOvZ0wrGpj5BTgi5NpEg0pfTTa7TSN6lyDBv7psqqQMO/v
|
||||
Z8cYblTNkIunOn8ZO7lvU0jPOYmTBEcSaoD3jCMNz7mfIOIjYbXRXVSBBUu9DttkvpVjJK3drcCF
|
||||
dzsPdBPtrLlxLmAWK55+PI9P4wTAt40LevXLpDcs+MOe5O0A4Lha1P8OsxlQtBmI3+P7GY7/Q5O+
|
||||
nKipwm4YTdQ5nk+KvlW51n20OKxXxU3/Biou/3UWYQ1upvkJ8FL+LDmw82cfaFqeIG5W2J0kKB2Q
|
||||
XYdSSHb5kafJR+CgFMTn5aVjyOQtmefMzD9+ppPKxF+SZneVrigS7e+CttAd6IqEh6fikws66Vh6
|
||||
K8fhDJTih8KzAmOwFcqTSjQ43PiqijqLdsAXpTnnQLBT3I4Z+axIFgr9FuEbkSya+vSuxwMMFPvk
|
||||
oJkw1MaUinoFsswwRpTPdVQ07N7dsmNU9OtzN4r+rB3pUbaOmKw17TTpGH68hkoEzrBQI+35ODrp
|
||||
TRXv2YakVWhbtVvD5ZrU9nEC4kKv07IwNhauv7MN/f9n0Bg8xW2UmybMY+reV3bU+PicU+kCQ2XD
|
||||
qiMqc2j6hdRUxaJymxglZcipEV27NK3k6NuHHm8UoEondLEJOrNzYopfcldu2GZgKTtjQ846LTKx
|
||||
OfloB+Csc5yMzSRipH/77CdG3RvJ5mgu4cwwNSz65FfftY0/IH5DKVdZgjuSKDA3cx6DEp3U6vde
|
||||
hDGbh2vn37Bocx34rs2vLcWqisD2EPfO4Kt4GFbwcMRVbhzQ89cLVlfuGzi/U4QlGvNjKS9lozNm
|
||||
pK5JjBSV08fXiIFpq/5HnyseOc48hp/AxFhNhzeeWttrPC4/NPpggoWDrHHkdcXppFBmReDMRHLe
|
||||
0JSM+NrBL+eoXcnxOVnKuDp2a1sO346/QHFVZvlRWDl2RDsJVtVpOcwN5XbZ1brSdU/AtHulY8NP
|
||||
dbMqyfoWrZabMjIPm3ZGydLzNaZlx3NRw0A026iGWCX5uaUcorUu43Escm5WLyNUfK4xfwK76rVr
|
||||
YKuDJ1G7isZsGV8kYq1XJToJ2HGi7vaOaUTbNIgNUI//O1vOnHkst/dvULxGPL16Nc1FSrQOK33v
|
||||
BoYJwXRXaDbqwDmrHUZUgce8hJiacKB+mi5a0Y3OvYoc9Fkh6nPyAsCfvl5bfehbjmcESS85vBuy
|
||||
zMXZK1vV8re+yPq08we28KE6Q25KmQfD7GhWQK7lhlGq2oFX3kbqSLKwMwhHHB13QfLaqdQqDdAZ
|
||||
0BKNWr2B21hIPIw7Zl1Ch1p8QrCnEamgErJ+j9l6WA2yfgMzupim9tC+nWwTY1pxkC5HeokPPwAB
|
||||
SKBj4VVvosEQnU1uPpjsNqFbExyZG7aET7lAm1M3uG1ADP4scUhV79psZv6imZh8iKf67pPg/TD6
|
||||
+gGUuW9cHhy2jCgoTD5EuuwPeDUlcdgcUmxkeAuaZHexbHWZfBC7YT7mhllTt3YXoPoZh2yb1IVx
|
||||
M9OzbcQ+3zeoPjvXnAo9PRkTAf7nvGJ8dEyY1TYMRjDQeeiZ8t1BHqhuDnci6DYnjXb9imoJRnj4
|
||||
w+chcNl6PgJ+zCBd4Cnn9TRNaHM/3imJk1tT/NArekcM4fU2Hd41yxbKb3lMVNNoGm0WDl9g+TLp
|
||||
6h4/m6ba5wL+Z4A45IRePEbz58lV9IuSVuHysEZQeAdd8DZeNFUG2P9eNlLujNtjxZAdnuJL2jPY
|
||||
WtGPTubotCdMbF+JF5ITLd/si9guTg5McWJ7rHQ4RDgwPV/ULAQB6vJVOX39cu+uck7ymtSnf6u+
|
||||
BPggNwUyNUwnydu1qvEz1cZa5FjIdiW3WVptJuVCBAs/+oIafuhdsMRfw9AaSqZkV/GnNy+ifAi7
|
||||
2EoVoCPLh5wZgYHOaICne9vpTzszCHB5WBvaAeQyxwD8xVpH9jsdfpuN+LJH3Bb26Ek9n2GGElzN
|
||||
VpGUea58+uyz5qI5rGcfLYLvRe5AnB1wC0hKiy3WG/+ORButhpgrdooBQ2knmHB+VngK5hYLKsWs
|
||||
WQnasFdLphnoL1BjCrT9RweUiJWrSPf93OXc/az5W2dqA1LJc+uCcBQZQjVv5pxkVMe8jqoyfZ7a
|
||||
tTf3lA0d6VbhIcww/h2oEiYazTC5L+V39aGWZ42P6Phc5OtxknGdSDl/aIGoXM49Xoy8ABaiAKrV
|
||||
l0RUWYzqtyDqp5BtbD/8EFzBaleOR/abDO+JmNzojqy9GdCzaLQpCG7B25lELzCMU5JPJJu7Cf8s
|
||||
AvsQo57OOuup582Ej/FS/iNdYae97stwV0/a9oQqAazRpKbec4yJtS/8Ek+tjz1hTfvhybH5D7/T
|
||||
H6U4SO0+iTo8AuDNRwyeg//YSglw6kf+TvJR9TMiQ1b+NrLjdwzGVf/5DAnr6BM5bMJ98cBeagEY
|
||||
X3bTxnD1XZAM1QbQcbRwf/zO3nkVTG/VUR+TGkwtMlIi+ELa4u5NzTkbM99WVN6RoRT/zXq2rLbe
|
||||
zKSYN/ngtImr0YWz9fuxAExj9UvjGpbbiNf6XikLySvbBGEqOUhtpca0ZuFWP3OWafNBmuvEkvBs
|
||||
0k8wy/ytJiFxAoHbwaF0c8SM6U9jcGAQ36CTF19UNJjGySo1EsHw1xHVaRDG6FxWDEmk8vLnGFiz
|
||||
KQoJT6CU5Lpea7GQMBI+ZqFgmBbLuyhLZJjsar8WU938q99jC7Kh55kWOIW4nHUY1TVcl9shcfuR
|
||||
Oeq9CvQa6ypec7MG1Egj//Hy77eI6q6MHMlYM7UhgUQ8el51HuJxoUZtQOC0lX7okyj5lqt4Z/x0
|
||||
ro8CdS+Th4ZqiDHGKTPTXDfcaW15FHYPsQ/aqN3ykXzFXix9u5QiJAi2NrLofsbKBYy00Xb0Osx8
|
||||
v+3f/oS79o4y9WfMo6MbdzA7G7ItZxAhggthsYZVHelw97cjb3L+yxGy1CLwG3eyc2QhULxxihnH
|
||||
rs/lZnwHJaDROHw9tjC5E+HzAw7zqjibxtX2Alm0PRoCcgIQZWrYFXRyg1F+bCVL3EIQ0Y7p7PFS
|
||||
xX06iU+ZJUwRvRXkAG0SiDELBiLLI6JFE5dgT+fvAAPq7VuVwiu5zQ3MF+7rx4sVlsa5GObeynHd
|
||||
hEpgmQvtA/zGo9yb6BDKS6a8cL3nJXdb3io8cfW8dpTm3cb70OfkBBgpySiI1/Pf5Ill5STE6ifh
|
||||
VRIh5jS/yBta1Z4hsFfIB+HcJa7AuOj4J1qL2GS/SYLbJM47W9Zz7lyAbgXiK7wFZ2wlToMDxvKn
|
||||
pEjiODMgkkpnfewsVLsJCI2xTmZSCe02V0dHD3JZbBjX8LNdvynjysLU6Upv3tq5yP9Zn1Glcm0K
|
||||
hvE+PB2KAUxz/71IkmJb3FY8vohN6A728A2jwZd8nrKoxWkVbsBMeCJDzOENC4DssVqnmtfRC/AC
|
||||
V7vT8/5gf/Sunigz7Y0nWVcBTA2GyPS+E/pMzAhCsdP5VNOqG4RLBYpI2o1dWv6YOInmvRKI9HvT
|
||||
iFm92erbmT380cvxCD1gTgAPt7Gkc0S1pO55+DxwLi012Zi9/sf98deZZny5ByJgEHKD00qhNsYf
|
||||
rSve6HqsGOTtGFhiBknka4aWTZ08GFszNTjPffWuHsK7cqh+dzLDAiw9w84XQXEYhRm/hbdC4Mgl
|
||||
YL4HXFok2U1Alkp4SraDuE9b5i1x6CxXixL9ybDhGUugGxM/vgW36nWKJBBaCQnV7mrDxEINRXua
|
||||
+/J5HhR4r7MVgFnvi3/MrPK6oczmOChQjB94B+n+fR12BthumHPuucdlX4oiPANI55woNrtcT/SL
|
||||
LtOpJVBcz4ln3XOmzpLJJ95j4NUbmHDLBF/mdrZVMfCwAj/Kzo8EpuCif7g8A93+tfFJf0mIux1R
|
||||
FZLcRa/ovZS776O2yQRoOe4roSLMfr2HtT/E+y2ERUii9NHUm/I1APQBI1ILDrCjzuF541wgQTwG
|
||||
42AJIrBYTaabptEF19Qn+p7+4gTrljpUC3ds7QQgirH3m4vDhsliOwI4ra+E6erXhMiAQ2RJqAmJ
|
||||
AqH6IqYJDSdl4ybgoGdAGLmz4Wrn3i0IG68YoFdCsz+MDHNeTZnw+l0QYUkdTLpotiOq/1lpez0V
|
||||
hSFx7G/IkI9iaWNadbHzcycKuTAqR0NMIxm8qWdHoCy1H2MRsv3c7tAoMqTcWNr2skHTHotrpfyC
|
||||
74rrp9Nkz/NQ+IKcqk/E1tDjyP+PtiRzvxE0NwPqfFMc05wi5HbRU0lKxlpO+05+L9HlIgHWRhDd
|
||||
oGh9USiGXz4VgTMNE/wZy2g+RQz97So6v7SIJq6+RaZI8lSXfUsGRBRHsT27Lkif60BG8XLOf/cT
|
||||
5zMjzozMCCp3WFi4j7+K0Mxv2hkl/XejYnO28bh7PxKiPgqW2uclj0BFknzERADpfET5GmfE1i6S
|
||||
ArjSDaqMo6Qn5O9qyrxqeotT9zwbUb6ZZ6ccYhpQ+SBTcaNlMteLHnC2m6C/RgMykKIk+O/PuZxt
|
||||
34NZjRvF2QBDPq+IBAxHFMj6SSUQpghVSZWE4GtWJf9neOu766sAVuzcxrNkAKTSnVBg60S0WkbY
|
||||
BKmE8RFqO+e5/nfn8197mjhfoaWTXoSHApxi7nWG4OB7PEVeLAp62goYnkwiy8bTX5HiPkW0gPyw
|
||||
1EbKmZhw4OfLO3lK3v2R7WPHBgT8R5DG9iOp01hHRhqc68S5+YbIgs7SiQJnIgMAWZHO6dwW1xsh
|
||||
Kh0pFn9hXI4akB8acYfFE5yHSS+LNbxTrFwOKOMIx5FFDLYA+y7cp7np1vagCILFXGrI9kcHC1En
|
||||
1GTclx08PCI35gtS43DNzX/eUNvxh3WDuYahuox6gTcrXuuYGxSza7kdZZFzZdiUHSbZc6FOYKGn
|
||||
hjjZNwAnmgZ5XHW6mLSA9xoYQZmEDXn0R7YCRrptSiBd3KkDUXAd40N+4PB8L+mZDaTL09lbgH0b
|
||||
v4n5ie7oj6bWpEO4/Z4/Sv8yyICY6UAN727/NcH1x39f72iwTPgpB/9dYCHDVqd5A/iEkJj+SBQk
|
||||
duHTbfLt5Pazf/wPpsq050Vin2OvF4KPqLG6v7xkuwerANRK5Wbzv2gKmIuJTO08F2Aa4MeNrCZB
|
||||
QgOTq/e7D4p+B9KxWXPYc2fWjiQ9xzMZg7N+60qAO9YndbEowkbz5IWm3uOoQ84NrmBc+fIv/vx7
|
||||
Bo1t/M/WkZ3lxyTPzhZYcpC3ezLLLJGVThlPJOwrmkA3cdVuKzxg6s/0aKHt3sh7EYpnVa+I+Gxq
|
||||
BcF94j7t8iMAIA4S+A3eqLIYzFhm+xcdMW8Brc9zTEiuD3doaG65lWASJk4bj0eb7H7m52dg7e0Y
|
||||
ZpO7D9UCpCoW685GXajag0wzxBl9KraluEh2i7ANFzBD4wDfeojOy5AwXMFQ5A5N7GsSK3+aAZGE
|
||||
PGA8MvGwrCMgrUJyc0Lc9AhyBrXAvu/WyvY+8ASqySpWY/gSB/kf6GoX1HmVXefEIvlIKYtJShCr
|
||||
Y3jl7XspOiU/yzVNXfoS1WwyCNQGalOKib+NFQXiRBNM+76gKwKh/v2qY2PPJm/cGUh4zoLhrk7a
|
||||
oA/Jbs4lGG2/FbhAUAq66BX1xCQIMl7YCdNWb5ZE1yaC/9amFZhZBbjqF/WWsFovMUiCc96fu12J
|
||||
B0oALledsDo/GMQxY6DJwGyoaA7vdlDdkmnm6l5fE4t7nv1KuTG7EvpZEuz/lG3B+j/2Cg0/Zbgv
|
||||
IkcERzP1/VcyzTkqSQqDoXf8I7x8N79YX1bnLvow6kXMHCCu9C/n6a1xSCL3P8YxexAXCMiopkQG
|
||||
jpypcfXUZJ8WS9JKLB2X49eAxvOIzCltKl+g/FD71ajCIjOGAZzal+5bl3YpvYLLc987X2+d4/4X
|
||||
Jzi9xqiB+coGW5hFoqzgwN1Lm6LtKHVwRYEvOQjiGPnvg658SAkkLf8dNAX1Ve37upfmQVTgFNSl
|
||||
gh3q9xWILAZn81utPsLb1IQd7DYdpCt8aXmgTo3K973w/L2azWUSiqRIwb+fE1eKT3wHbOPmw+4m
|
||||
2u/lAQqxB5NiamSQUN55u+zZvRPoZZVqv3nM7ccqr7ZNyuGs2gbs8p5+AIyBefXO7+ZkMPWUxidF
|
||||
DqNj0T5zPvKR2KqPv9ICeJsbVzkt7KjxJm1EqNTgYzCIHMupOJO0+iR1AJa/AW+k8H+ilrLecfKA
|
||||
y0PIrBgEhG+UAdCg2fcKJKpMxI4DJRDdVCPEyrlJUzM8YZCVb16LmIiO0Gid+oCzg3aZBTlRyJoH
|
||||
KyWAN6cic1Kq7FBNHg023YElaCByDvyt4AuffH0KXySe5ce/yCgc9K+EOd57hl94hWsMnaNz0/Kl
|
||||
3fNqlHDInI3wh/n+fk8ooJPfx5QenSsdonXk/mcGy3R8poBAokwV63E/+A3SdUMRbRthpG2gykyk
|
||||
sbFPPOqo/v9ZjwPNp1gA0nZaOnCrn69ob+2a+0dWEI+pIINaf+f/SosO5FbGlEuFHqOulslC83ss
|
||||
NBe7BWgW1XJyMUuig8mtjRFfGh2Ops7YCDNRXTZEe8ztucctA02bhXp8LDtGaNdhi1QK5gAU3nQE
|
||||
/dPJQXDK9JUYnkJnkUN6/uJV9Uq84/xml3xwbE0C1mrfaT8ez0LGAMRMdgXxbcVMrPsO2xDxiVUc
|
||||
e/lDGx7wUsvBmleRU0Vxh1AOnJvVWuhvmMhwANp9rMW7bIkmvOsB2PLYLkg1Cxy8xjZNYEmB8QTq
|
||||
10CFpahXmbmK8Eya8uEddUtNXfjhktTdDGhhfvz83647/AE8Bh0hkhaBRPhhhT+um8/4IiY0qYxV
|
||||
aL+sfbKelMlzxqQ/OASBxUd0iQCZmjiyHoOk1eMTlW/UuoTXJ0WiUbhosohJWe//TI/cbrhmASRl
|
||||
JOD968yvBQVrh1qfAAl2AOUzMUe8fp7CpNfvdYkEd+DPBYeOg/DhoxXAYVu/Pt9e48ZxT73ZivVU
|
||||
mXaUetjLyYVXkkCnB7Bwr05MQxf1DUQ8UYD/mMHRmD/6aEHNgiim/VAR2lhgtaYSZbzTP0D9z1Qh
|
||||
h5qn8voFsbamYJQzS3jjWOJexMd9TJnJQ5mV8fsFND5MLaGlysplNS2l+lsYcQnZfQqPkLHlB6HZ
|
||||
8jp2fQi5kxXrOFBdyBCqezFEmXVCCTyuRabTT7pFuFDM14XE8eQQw1MzDAM3Bj3z1Ei+BSw7ccB3
|
||||
xe3+EIDlZrsmEG7ZLj8/Z/52Yl9nDOXESW+0U4jdBsUPy3P8zI7iYG1N20LdtyUEDcykrhJ4TUQ7
|
||||
UXxevB3o8xtIzSnJE81npUoYHGKoy5oXxGTzAGBKhg9Uc7zfNOT54PkYqsR456s4xE5VXYiguZZS
|
||||
OGa/v2JMsIkqTdW1yOqIkuzkokL8C0RNFbJ+wfvzNqYZBaR2mOLyniDBowF9VvSyHZbXDITl4JGP
|
||||
MYraXsJuf2tGh6f+KmpSOlebS4UargLWkqQsmnCZLbZN8EOKXAfBKyg6NxBnrc7+StPXzkMiEsKt
|
||||
vTBRUwPtPU+9RoNR8T3R8CMJJNfnDdjTP75/EMCfOl43uRY00BmCVANETqCOixEnnyXvzT0poKDO
|
||||
aZjeIgrMlj6uKziKmuT4RBX+wh38vxK3c0qFlmAGpaEaNzMoU5OrT+ewoNbQFk/OEE1QuM6IHpye
|
||||
EcO3lUjgt07uAakKgRlRUkdlNQPDSdEgMoZ/BaLgVst+E2mNjMjPkh70jC/AqDa6QmOZ2fm8cg14
|
||||
ytrCZugRP4VW99QObMkInuYN9taEyGTWnnpP6z8gmUdgHIdfxKVldSIh6mhu3j3IWD56uSG64S/j
|
||||
hL2CLQ4gHMFGZh5F9wvHmOWntqvNPslQu78saH6n+w3Qiwz1oJG+/QfXn7e71nNa1D2HXM7hE472
|
||||
xvnTjcKnJ4z98CZNDpKGoBLbxYGBuNbFzpTv8yEaKi+nBe6X61WHX912aIJlpQxlGgGWJrsrfu66
|
||||
YbfcWVzTdXkdcVvViqiP2xj7s77lsKoVk1lWurjrP+fi14isvV5PjJ1gkJut6DCnA2X2C2lWCbOB
|
||||
BrIRXQNN7a4aeytF83l+VGlwu0wYQaV/oNGDneu7s81wcSCi0IGkrv7E55r9l1aQYAVyuZod+LhW
|
||||
lsW+ax94t23VQT8Nlk4zTY54dGzRZylBykkLSZzLeKYu2FhKW2XgJnMVtC9LoTrQ77fhQeThwpj1
|
||||
7cvcjPWkKtrpIAJQbYfy1cGTOglkqmHVt0CVzaK0PwTH1QKCCCsIBe1XaXWGoHwkgdaBMBsDBIpH
|
||||
lWA+w1lSC+TQcrNAll5oCxX9V84UtzGkJdLOKuRLKSnb150rG5gtdimsnz+1s8SXCjEtozr3cq7z
|
||||
y2LaMuTucBAfo87KOjTbCcc9yyEnYxZPc2Nl3iGxzh0aKID8MzXJedQDSpXYqlFV91zA7k+U2ave
|
||||
8xO+Ht/5ypq1odWQOdbC/t/iz4a+DF2K3u29Q8wBlHjAcWFpYmedO9ZJm2t891etqyuOrU2flHbT
|
||||
QRVF/ZlCv6y7tRwVubob2CHbrowR/7rsKR7co+knE4IgFfTGnGbjv/pSpdDRLSTB+nuu3482ASD5
|
||||
9NXrivUHtAfLYKblBVoIghxTqDhxql0TukL4Y9OT0QgPhYtH1dXd1h9PBdUbFYium41qkS0R6I3c
|
||||
MlAt88JteqDITUIckXlPX3l2YXjt2Nv8RVUIzBzn9K4XVojV9m0cttUvIGioi6znooTWG32BMTRy
|
||||
I+Pc1LE3L5Z/IrAX1hbRY0NSUhhPm/wpSmwxc+8oMuYhiCPJ6pF3KDDC6EMRpXS1QGsIJqilALJb
|
||||
NFxOSdd8z/rAISyZkp+ZgvIReu91agWu2abxlJgO6+BxC6G4ElnNlgvfz1xOgeDYreGDCc67rB69
|
||||
GVCy9Mi5vpgSVCAkHzS0mYiG+e7L0V5SFwqtPgh6a0NKQS3mdkE2AfvvXNNIpk25iDZw1+v6AmV8
|
||||
Eq8Q4GS7XkgNZZl1JXycbggxT4gZ/230n3y5tNIbEVHzSVUdnlqoJrw3mSWmdO3ZPjo83soZzBKn
|
||||
KgAeoBAHPvX6EPHq8XTE5laDyfa4WVw0dpfUMe32z9vK6ftq4vWeaMqyTvuJq2M+0iN1Xe9gq/Zs
|
||||
cnN0oStE5UBC1GYAZOLumfHwqG8tFK7CH8kttui7FPIcd+KLLv7tEqw38Kqq4HmaLFcUVYHvp10w
|
||||
caWziAgHXBKhrkHKNjE842QTt1PGPIQo3voPnAmefRm4THhD16kOyKx51NwYs5HEqBgljEssP75K
|
||||
aht2piCScnSfTqI5dc6nC8+e9GC2Lf6Buo7YeOcPf5FHbfN4qlXMQyFgUOH4zF18ip1JySCOaoot
|
||||
QrymwQnKIHYu+Hjs8zqI+DNRxV2godHf1gLhNPfyhaHH5C3+gLel2WQ3OqSBiGoBaK5/h17RLlE9
|
||||
4ZzoTcwAZ5MHf8ufqhkO64VT45+wPNTP8XUq+bHA0hCPPi2Wc54Et7uNuw8oxAdRGKlIOw58ynUC
|
||||
JawMJQPaSExZMx+vFDzEs/mOd2MYe3rU7kw5gyUPEOHeJFNfvO5JiTHTUA5fln6hHpeDdgpILYIJ
|
||||
fNbjPwetWb5IPXuQy5kdmYql6GADhre5KOk85ctxDO3W3QVq+OlrJ7OgCh9X2SDkcvhNBBcROBGR
|
||||
5fDL7xmzV1l5fI0b12VsW8G2I0PNBxduS21ZiRiVCWqhuPE84U51ub251L4TzFvYDXpI8fSuYLoz
|
||||
p3yzgv2mrYKlCKZGHzSqKPHqdT6yxSE+gJJLL4KKCPePlw3g+Ru+09AwwIpr5dODmtCvUdb4fMXF
|
||||
kfgWXSo5XpV4VDjemG7oWZ74/D5Af/6CTHt5eUQTTtR7Y3V/5bnvBmfUk9U9rMCF581AVJM=
|
||||
`protect end_protected
|
||||
902
src/hdl/fpga_hypernet_dir/src/gowin_fifo/gowin_fifo24.vo
Normal file
902
src/hdl/fpga_hypernet_dir/src/gowin_fifo/gowin_fifo24.vo
Normal file
@ -0,0 +1,902 @@
|
||||
//Copyright (C)2014-2024 Gowin Semiconductor Corporation.
|
||||
//All rights reserved.
|
||||
//File Title: Post-PnR Verilog Simulation Model file
|
||||
//Tool Version: V1.9.10.03 Education (64-bit)
|
||||
//Created Time: Tue Aug 19 23:16:31 2025
|
||||
|
||||
`timescale 100 ps/100 ps
|
||||
module gowin_fifo24(
|
||||
Data,
|
||||
Reset,
|
||||
WrClk,
|
||||
RdClk,
|
||||
WrEn,
|
||||
RdEn,
|
||||
Almost_Empty,
|
||||
Almost_Full,
|
||||
Q,
|
||||
Empty,
|
||||
Full
|
||||
);
|
||||
input [23:0] Data;
|
||||
input Reset;
|
||||
input WrClk;
|
||||
input RdClk;
|
||||
input WrEn;
|
||||
input RdEn;
|
||||
output Almost_Empty;
|
||||
output Almost_Full;
|
||||
output [23:0] Q;
|
||||
output Empty;
|
||||
output Full;
|
||||
wire Almost_Empty;
|
||||
wire Almost_Full;
|
||||
wire [23:0] Data;
|
||||
wire Empty;
|
||||
wire Full;
|
||||
wire GND;
|
||||
wire [23:0] Q;
|
||||
wire RdClk;
|
||||
wire RdEn;
|
||||
wire Reset;
|
||||
wire VCC;
|
||||
wire WrClk;
|
||||
wire WrEn;
|
||||
wire \fifo_inst/n23_5 ;
|
||||
wire \fifo_inst/n27_3 ;
|
||||
wire \fifo_inst/wfull_val ;
|
||||
wire \fifo_inst/n193_3 ;
|
||||
wire \fifo_inst/Equal.wgraynext_1_4 ;
|
||||
wire \fifo_inst/Equal.wgraynext_2_4 ;
|
||||
wire \fifo_inst/wfull_val_4 ;
|
||||
wire \fifo_inst/wfull_val_5 ;
|
||||
wire \fifo_inst/wfull_val_6 ;
|
||||
wire \fifo_inst/wfull_val_7 ;
|
||||
wire \fifo_inst/arempty_val_4 ;
|
||||
wire \fifo_inst/awfull_val_4 ;
|
||||
wire \fifo_inst/wfull_val_8 ;
|
||||
wire \fifo_inst/wfull_val_9 ;
|
||||
wire \fifo_inst/Equal.wbinnext_0_9 ;
|
||||
wire \fifo_inst/Equal.rgraynext_1_6 ;
|
||||
wire \fifo_inst/rbin_num_next_0_9 ;
|
||||
wire \fifo_inst/arempty_val ;
|
||||
wire \fifo_inst/awfull_val ;
|
||||
wire \fifo_inst/rempty_val ;
|
||||
wire \fifo_inst/arempty_val_10 ;
|
||||
wire \fifo_inst/awfull_val_9 ;
|
||||
wire \fifo_inst/rcnt_sub_0_3 ;
|
||||
wire \fifo_inst/rcnt_sub_1_3 ;
|
||||
wire \fifo_inst/rcnt_sub_2_3 ;
|
||||
wire \fifo_inst/rcnt_sub_3_3 ;
|
||||
wire \fifo_inst/wcnt_sub_0_3 ;
|
||||
wire \fifo_inst/wcnt_sub_1_3 ;
|
||||
wire \fifo_inst/wcnt_sub_2_3 ;
|
||||
wire \fifo_inst/wcnt_sub_3_3 ;
|
||||
wire \fifo_inst/n141_1_SUM ;
|
||||
wire \fifo_inst/n141_3 ;
|
||||
wire \fifo_inst/n142_1_SUM ;
|
||||
wire \fifo_inst/n142_3 ;
|
||||
wire \fifo_inst/n143_1_SUM ;
|
||||
wire \fifo_inst/n143_3 ;
|
||||
wire \fifo_inst/n144_1_SUM ;
|
||||
wire \fifo_inst/n144_3 ;
|
||||
wire \fifo_inst/n4_6 ;
|
||||
wire \fifo_inst/n9_6 ;
|
||||
wire [3:0] \fifo_inst/Equal.rgraynext ;
|
||||
wire [3:0] \fifo_inst/Equal.wcount_r ;
|
||||
wire [3:0] \fifo_inst/Equal.wgraynext ;
|
||||
wire [3:0] \fifo_inst/Equal.rcount_w ;
|
||||
wire [4:1] \fifo_inst/rbin_num_next ;
|
||||
wire [4:1] \fifo_inst/Equal.wbinnext ;
|
||||
wire [1:0] \fifo_inst/reset_r ;
|
||||
wire [1:0] \fifo_inst/reset_w ;
|
||||
wire [4:0] \fifo_inst/rbin_num ;
|
||||
wire [4:0] \fifo_inst/Equal.wq1_rptr ;
|
||||
wire [4:0] \fifo_inst/Equal.wq2_rptr ;
|
||||
wire [4:0] \fifo_inst/Equal.rq1_wptr ;
|
||||
wire [4:0] \fifo_inst/Equal.rq2_wptr ;
|
||||
wire [3:0] \fifo_inst/Equal.rptr ;
|
||||
wire [4:0] \fifo_inst/Equal.wptr ;
|
||||
wire [3:0] \fifo_inst/Equal.wbin ;
|
||||
wire [3:0] \fifo_inst/rcnt_sub ;
|
||||
wire [3:0] \fifo_inst/wcnt_sub ;
|
||||
wire [31:24] \fifo_inst/DO ;
|
||||
VCC VCC_cZ (
|
||||
.V(VCC)
|
||||
);
|
||||
GND GND_cZ (
|
||||
.G(GND)
|
||||
);
|
||||
GSR GSR (
|
||||
.GSRI(VCC)
|
||||
);
|
||||
LUT2 \fifo_inst/n23_s1 (
|
||||
.I0(Full),
|
||||
.I1(WrEn),
|
||||
.F(\fifo_inst/n23_5 )
|
||||
);
|
||||
defparam \fifo_inst/n23_s1 .INIT=4'h4;
|
||||
LUT2 \fifo_inst/n27_s0 (
|
||||
.I0(Empty),
|
||||
.I1(RdEn),
|
||||
.F(\fifo_inst/n27_3 )
|
||||
);
|
||||
defparam \fifo_inst/n27_s0 .INIT=4'h4;
|
||||
LUT3 \fifo_inst/Equal.rgraynext_1_s0 (
|
||||
.I0(\fifo_inst/Equal.rgraynext_1_6 ),
|
||||
.I1(\fifo_inst/rbin_num_next [1]),
|
||||
.I2(\fifo_inst/rbin_num [2]),
|
||||
.F(\fifo_inst/Equal.rgraynext [1])
|
||||
);
|
||||
defparam \fifo_inst/Equal.rgraynext_1_s0 .INIT=8'h96;
|
||||
LUT3 \fifo_inst/Equal.rgraynext_2_s0 (
|
||||
.I0(\fifo_inst/Equal.rgraynext_1_6 ),
|
||||
.I1(\fifo_inst/rbin_num [2]),
|
||||
.I2(\fifo_inst/rbin_num [3]),
|
||||
.F(\fifo_inst/Equal.rgraynext [2])
|
||||
);
|
||||
defparam \fifo_inst/Equal.rgraynext_2_s0 .INIT=8'h1E;
|
||||
LUT4 \fifo_inst/Equal.rgraynext_3_s0 (
|
||||
.I0(\fifo_inst/Equal.rgraynext_1_6 ),
|
||||
.I1(\fifo_inst/rbin_num [2]),
|
||||
.I2(\fifo_inst/rbin_num [3]),
|
||||
.I3(\fifo_inst/rbin_num [4]),
|
||||
.F(\fifo_inst/Equal.rgraynext [3])
|
||||
);
|
||||
defparam \fifo_inst/Equal.rgraynext_3_s0 .INIT=16'h07F8;
|
||||
LUT2 \fifo_inst/Equal.wcount_r_3_s0 (
|
||||
.I0(\fifo_inst/Equal.rq2_wptr [4]),
|
||||
.I1(\fifo_inst/Equal.rq2_wptr [3]),
|
||||
.F(\fifo_inst/Equal.wcount_r [3])
|
||||
);
|
||||
defparam \fifo_inst/Equal.wcount_r_3_s0 .INIT=4'h6;
|
||||
LUT2 \fifo_inst/Equal.wcount_r_0_s0 (
|
||||
.I0(\fifo_inst/Equal.wcount_r [1]),
|
||||
.I1(\fifo_inst/Equal.rq2_wptr [0]),
|
||||
.F(\fifo_inst/Equal.wcount_r [0])
|
||||
);
|
||||
defparam \fifo_inst/Equal.wcount_r_0_s0 .INIT=4'h6;
|
||||
LUT4 \fifo_inst/Equal.wgraynext_0_s0 (
|
||||
.I0(WrEn),
|
||||
.I1(Full),
|
||||
.I2(\fifo_inst/Equal.wbin [0]),
|
||||
.I3(\fifo_inst/Equal.wbin [1]),
|
||||
.F(\fifo_inst/Equal.wgraynext [0])
|
||||
);
|
||||
defparam \fifo_inst/Equal.wgraynext_0_s0 .INIT=16'h0DF2;
|
||||
LUT2 \fifo_inst/Equal.wgraynext_1_s0 (
|
||||
.I0(\fifo_inst/Equal.wgraynext_1_4 ),
|
||||
.I1(\fifo_inst/Equal.wbin [2]),
|
||||
.F(\fifo_inst/Equal.wgraynext [1])
|
||||
);
|
||||
defparam \fifo_inst/Equal.wgraynext_1_s0 .INIT=4'h9;
|
||||
LUT3 \fifo_inst/Equal.wgraynext_2_s0 (
|
||||
.I0(\fifo_inst/Equal.wgraynext_2_4 ),
|
||||
.I1(\fifo_inst/Equal.wbin [2]),
|
||||
.I2(\fifo_inst/Equal.wbin [3]),
|
||||
.F(\fifo_inst/Equal.wgraynext [2])
|
||||
);
|
||||
defparam \fifo_inst/Equal.wgraynext_2_s0 .INIT=8'h1E;
|
||||
LUT4 \fifo_inst/wfull_val_s0 (
|
||||
.I0(\fifo_inst/wfull_val_4 ),
|
||||
.I1(\fifo_inst/wfull_val_5 ),
|
||||
.I2(\fifo_inst/wfull_val_6 ),
|
||||
.I3(\fifo_inst/wfull_val_7 ),
|
||||
.F(\fifo_inst/wfull_val )
|
||||
);
|
||||
defparam \fifo_inst/wfull_val_s0 .INIT=16'h0800;
|
||||
LUT2 \fifo_inst/Equal.rcount_w_3_s0 (
|
||||
.I0(\fifo_inst/Equal.wq2_rptr [4]),
|
||||
.I1(\fifo_inst/Equal.wq2_rptr [3]),
|
||||
.F(\fifo_inst/Equal.rcount_w [3])
|
||||
);
|
||||
defparam \fifo_inst/Equal.rcount_w_3_s0 .INIT=4'h6;
|
||||
LUT2 \fifo_inst/Equal.rcount_w_0_s0 (
|
||||
.I0(\fifo_inst/Equal.rcount_w [1]),
|
||||
.I1(\fifo_inst/Equal.wq2_rptr [0]),
|
||||
.F(\fifo_inst/Equal.rcount_w [0])
|
||||
);
|
||||
defparam \fifo_inst/Equal.rcount_w_0_s0 .INIT=4'h6;
|
||||
LUT2 \fifo_inst/n193_s0 (
|
||||
.I0(\fifo_inst/Equal.wq2_rptr [4]),
|
||||
.I1(\fifo_inst/Equal.wptr [4]),
|
||||
.F(\fifo_inst/n193_3 )
|
||||
);
|
||||
defparam \fifo_inst/n193_s0 .INIT=4'h6;
|
||||
LUT2 \fifo_inst/rbin_num_next_2_s3 (
|
||||
.I0(\fifo_inst/Equal.rgraynext_1_6 ),
|
||||
.I1(\fifo_inst/rbin_num [2]),
|
||||
.F(\fifo_inst/rbin_num_next [2])
|
||||
);
|
||||
defparam \fifo_inst/rbin_num_next_2_s3 .INIT=4'h6;
|
||||
LUT3 \fifo_inst/rbin_num_next_3_s3 (
|
||||
.I0(\fifo_inst/Equal.rgraynext_1_6 ),
|
||||
.I1(\fifo_inst/rbin_num [2]),
|
||||
.I2(\fifo_inst/rbin_num [3]),
|
||||
.F(\fifo_inst/rbin_num_next [3])
|
||||
);
|
||||
defparam \fifo_inst/rbin_num_next_3_s3 .INIT=8'h78;
|
||||
LUT4 \fifo_inst/rbin_num_next_4_s2 (
|
||||
.I0(\fifo_inst/Equal.rgraynext_1_6 ),
|
||||
.I1(\fifo_inst/rbin_num [2]),
|
||||
.I2(\fifo_inst/rbin_num [3]),
|
||||
.I3(\fifo_inst/rbin_num [4]),
|
||||
.F(\fifo_inst/rbin_num_next [4])
|
||||
);
|
||||
defparam \fifo_inst/rbin_num_next_4_s2 .INIT=16'h7F80;
|
||||
LUT2 \fifo_inst/Equal.wbinnext_1_s3 (
|
||||
.I0(\fifo_inst/Equal.wgraynext_1_4 ),
|
||||
.I1(\fifo_inst/Equal.wgraynext_2_4 ),
|
||||
.F(\fifo_inst/Equal.wbinnext [1])
|
||||
);
|
||||
defparam \fifo_inst/Equal.wbinnext_1_s3 .INIT=4'h1;
|
||||
LUT2 \fifo_inst/Equal.wbinnext_2_s3 (
|
||||
.I0(\fifo_inst/Equal.wgraynext_2_4 ),
|
||||
.I1(\fifo_inst/Equal.wbin [2]),
|
||||
.F(\fifo_inst/Equal.wbinnext [2])
|
||||
);
|
||||
defparam \fifo_inst/Equal.wbinnext_2_s3 .INIT=4'h6;
|
||||
LUT3 \fifo_inst/Equal.wbinnext_3_s3 (
|
||||
.I0(\fifo_inst/Equal.wgraynext_2_4 ),
|
||||
.I1(\fifo_inst/Equal.wbin [2]),
|
||||
.I2(\fifo_inst/Equal.wbin [3]),
|
||||
.F(\fifo_inst/Equal.wbinnext [3])
|
||||
);
|
||||
defparam \fifo_inst/Equal.wbinnext_3_s3 .INIT=8'h78;
|
||||
LUT4 \fifo_inst/Equal.wbinnext_4_s2 (
|
||||
.I0(\fifo_inst/Equal.wgraynext_2_4 ),
|
||||
.I1(\fifo_inst/Equal.wbin [2]),
|
||||
.I2(\fifo_inst/Equal.wbin [3]),
|
||||
.I3(\fifo_inst/Equal.wptr [4]),
|
||||
.F(\fifo_inst/Equal.wbinnext [4])
|
||||
);
|
||||
defparam \fifo_inst/Equal.wbinnext_4_s2 .INIT=16'h7F80;
|
||||
LUT4 \fifo_inst/Equal.wgraynext_1_s1 (
|
||||
.I0(Full),
|
||||
.I1(\fifo_inst/Equal.wbin [0]),
|
||||
.I2(WrEn),
|
||||
.I3(\fifo_inst/Equal.wbin [1]),
|
||||
.F(\fifo_inst/Equal.wgraynext_1_4 )
|
||||
);
|
||||
defparam \fifo_inst/Equal.wgraynext_1_s1 .INIT=16'h00BF;
|
||||
LUT4 \fifo_inst/Equal.wgraynext_2_s1 (
|
||||
.I0(Full),
|
||||
.I1(WrEn),
|
||||
.I2(\fifo_inst/Equal.wbin [0]),
|
||||
.I3(\fifo_inst/Equal.wbin [1]),
|
||||
.F(\fifo_inst/Equal.wgraynext_2_4 )
|
||||
);
|
||||
defparam \fifo_inst/Equal.wgraynext_2_s1 .INIT=16'h4000;
|
||||
LUT4 \fifo_inst/wfull_val_s1 (
|
||||
.I0(\fifo_inst/Equal.wgraynext_2_4 ),
|
||||
.I1(\fifo_inst/Equal.wbin [2]),
|
||||
.I2(\fifo_inst/Equal.wq2_rptr [2]),
|
||||
.I3(\fifo_inst/Equal.wbin [3]),
|
||||
.F(\fifo_inst/wfull_val_4 )
|
||||
);
|
||||
defparam \fifo_inst/wfull_val_s1 .INIT=16'h1EE1;
|
||||
LUT4 \fifo_inst/wfull_val_s2 (
|
||||
.I0(\fifo_inst/Equal.wgraynext_2_4 ),
|
||||
.I1(\fifo_inst/Equal.wbin [2]),
|
||||
.I2(\fifo_inst/Equal.wbin [3]),
|
||||
.I3(\fifo_inst/wfull_val_8 ),
|
||||
.F(\fifo_inst/wfull_val_5 )
|
||||
);
|
||||
defparam \fifo_inst/wfull_val_s2 .INIT=16'hF807;
|
||||
LUT4 \fifo_inst/wfull_val_s3 (
|
||||
.I0(\fifo_inst/Equal.wgraynext_2_4 ),
|
||||
.I1(\fifo_inst/Equal.wbin [2]),
|
||||
.I2(\fifo_inst/Equal.wbin [3]),
|
||||
.I3(\fifo_inst/n193_3 ),
|
||||
.F(\fifo_inst/wfull_val_6 )
|
||||
);
|
||||
defparam \fifo_inst/wfull_val_s3 .INIT=16'h807F;
|
||||
LUT4 \fifo_inst/wfull_val_s4 (
|
||||
.I0(\fifo_inst/Equal.wgraynext [0]),
|
||||
.I1(\fifo_inst/Equal.wq2_rptr [0]),
|
||||
.I2(\fifo_inst/Equal.wgraynext_1_4 ),
|
||||
.I3(\fifo_inst/wfull_val_9 ),
|
||||
.F(\fifo_inst/wfull_val_7 )
|
||||
);
|
||||
defparam \fifo_inst/wfull_val_s4 .INIT=16'h9009;
|
||||
LUT4 \fifo_inst/arempty_val_s1 (
|
||||
.I0(RdEn),
|
||||
.I1(\fifo_inst/rcnt_sub [0]),
|
||||
.I2(\fifo_inst/rcnt_sub [1]),
|
||||
.I3(\fifo_inst/rcnt_sub [2]),
|
||||
.F(\fifo_inst/arempty_val_4 )
|
||||
);
|
||||
defparam \fifo_inst/arempty_val_s1 .INIT=16'hF400;
|
||||
LUT4 \fifo_inst/awfull_val_s1 (
|
||||
.I0(WrEn),
|
||||
.I1(\fifo_inst/wcnt_sub [0]),
|
||||
.I2(\fifo_inst/wcnt_sub [1]),
|
||||
.I3(\fifo_inst/wcnt_sub [2]),
|
||||
.F(\fifo_inst/awfull_val_4 )
|
||||
);
|
||||
defparam \fifo_inst/awfull_val_s1 .INIT=16'h8000;
|
||||
LUT2 \fifo_inst/wfull_val_s5 (
|
||||
.I0(\fifo_inst/Equal.wq2_rptr [3]),
|
||||
.I1(\fifo_inst/Equal.wptr [4]),
|
||||
.F(\fifo_inst/wfull_val_8 )
|
||||
);
|
||||
defparam \fifo_inst/wfull_val_s5 .INIT=4'h9;
|
||||
LUT2 \fifo_inst/wfull_val_s6 (
|
||||
.I0(\fifo_inst/Equal.wq2_rptr [1]),
|
||||
.I1(\fifo_inst/Equal.wbin [2]),
|
||||
.F(\fifo_inst/wfull_val_9 )
|
||||
);
|
||||
defparam \fifo_inst/wfull_val_s6 .INIT=4'h9;
|
||||
LUT4 \fifo_inst/Equal.wgraynext_3_s1 (
|
||||
.I0(\fifo_inst/Equal.wgraynext_2_4 ),
|
||||
.I1(\fifo_inst/Equal.wbin [2]),
|
||||
.I2(\fifo_inst/Equal.wbin [3]),
|
||||
.I3(\fifo_inst/Equal.wbinnext [4]),
|
||||
.F(\fifo_inst/Equal.wgraynext [3])
|
||||
);
|
||||
defparam \fifo_inst/Equal.wgraynext_3_s1 .INIT=16'h8778;
|
||||
LUT3 \fifo_inst/Equal.wbinnext_0_s4 (
|
||||
.I0(Full),
|
||||
.I1(WrEn),
|
||||
.I2(\fifo_inst/Equal.wbin [0]),
|
||||
.F(\fifo_inst/Equal.wbinnext_0_9 )
|
||||
);
|
||||
defparam \fifo_inst/Equal.wbinnext_0_s4 .INIT=8'hB4;
|
||||
LUT4 \fifo_inst/Equal.rcount_w_1_s1 (
|
||||
.I0(\fifo_inst/Equal.wq2_rptr [4]),
|
||||
.I1(\fifo_inst/Equal.wq2_rptr [3]),
|
||||
.I2(\fifo_inst/Equal.wq2_rptr [1]),
|
||||
.I3(\fifo_inst/Equal.wq2_rptr [2]),
|
||||
.F(\fifo_inst/Equal.rcount_w [1])
|
||||
);
|
||||
defparam \fifo_inst/Equal.rcount_w_1_s1 .INIT=16'h6996;
|
||||
LUT3 \fifo_inst/Equal.rcount_w_2_s1 (
|
||||
.I0(\fifo_inst/Equal.wq2_rptr [4]),
|
||||
.I1(\fifo_inst/Equal.wq2_rptr [3]),
|
||||
.I2(\fifo_inst/Equal.wq2_rptr [2]),
|
||||
.F(\fifo_inst/Equal.rcount_w [2])
|
||||
);
|
||||
defparam \fifo_inst/Equal.rcount_w_2_s1 .INIT=8'h96;
|
||||
LUT4 \fifo_inst/Equal.wcount_r_1_s1 (
|
||||
.I0(\fifo_inst/Equal.rq2_wptr [4]),
|
||||
.I1(\fifo_inst/Equal.rq2_wptr [3]),
|
||||
.I2(\fifo_inst/Equal.rq2_wptr [2]),
|
||||
.I3(\fifo_inst/Equal.rq2_wptr [1]),
|
||||
.F(\fifo_inst/Equal.wcount_r [1])
|
||||
);
|
||||
defparam \fifo_inst/Equal.wcount_r_1_s1 .INIT=16'h6996;
|
||||
LUT3 \fifo_inst/Equal.wcount_r_2_s1 (
|
||||
.I0(\fifo_inst/Equal.rq2_wptr [4]),
|
||||
.I1(\fifo_inst/Equal.rq2_wptr [3]),
|
||||
.I2(\fifo_inst/Equal.rq2_wptr [2]),
|
||||
.F(\fifo_inst/Equal.wcount_r [2])
|
||||
);
|
||||
defparam \fifo_inst/Equal.wcount_r_2_s1 .INIT=8'h96;
|
||||
LUT4 \fifo_inst/Equal.rgraynext_1_s2 (
|
||||
.I0(Empty),
|
||||
.I1(RdEn),
|
||||
.I2(\fifo_inst/rbin_num [0]),
|
||||
.I3(\fifo_inst/rbin_num [1]),
|
||||
.F(\fifo_inst/Equal.rgraynext_1_6 )
|
||||
);
|
||||
defparam \fifo_inst/Equal.rgraynext_1_s2 .INIT=16'h4000;
|
||||
LUT4 \fifo_inst/rbin_num_next_1_s4 (
|
||||
.I0(Empty),
|
||||
.I1(RdEn),
|
||||
.I2(\fifo_inst/rbin_num [0]),
|
||||
.I3(\fifo_inst/rbin_num [1]),
|
||||
.F(\fifo_inst/rbin_num_next [1])
|
||||
);
|
||||
defparam \fifo_inst/rbin_num_next_1_s4 .INIT=16'hBF40;
|
||||
LUT3 \fifo_inst/rbin_num_next_0_s4 (
|
||||
.I0(Empty),
|
||||
.I1(RdEn),
|
||||
.I2(\fifo_inst/rbin_num [0]),
|
||||
.F(\fifo_inst/rbin_num_next_0_9 )
|
||||
);
|
||||
defparam \fifo_inst/rbin_num_next_0_s4 .INIT=8'hB4;
|
||||
LUT3 \fifo_inst/arempty_val_s2 (
|
||||
.I0(\fifo_inst/arempty_val_10 ),
|
||||
.I1(\fifo_inst/arempty_val_4 ),
|
||||
.I2(\fifo_inst/rcnt_sub [3]),
|
||||
.F(\fifo_inst/arempty_val )
|
||||
);
|
||||
defparam \fifo_inst/arempty_val_s2 .INIT=8'h01;
|
||||
LUT3 \fifo_inst/awfull_val_s2 (
|
||||
.I0(\fifo_inst/awfull_val_9 ),
|
||||
.I1(\fifo_inst/awfull_val_4 ),
|
||||
.I2(\fifo_inst/wcnt_sub [3]),
|
||||
.F(\fifo_inst/awfull_val )
|
||||
);
|
||||
defparam \fifo_inst/awfull_val_s2 .INIT=8'hFE;
|
||||
LUT3 \fifo_inst/rempty_val_s1 (
|
||||
.I0(\fifo_inst/rbin_num_next [4]),
|
||||
.I1(\fifo_inst/Equal.rq2_wptr [4]),
|
||||
.I2(\fifo_inst/n144_3 ),
|
||||
.F(\fifo_inst/rempty_val )
|
||||
);
|
||||
defparam \fifo_inst/rempty_val_s1 .INIT=8'h09;
|
||||
LUT4 \fifo_inst/arempty_val_s4 (
|
||||
.I0(\fifo_inst/Equal.rq2_wptr [4]),
|
||||
.I1(\fifo_inst/rbin_num [4]),
|
||||
.I2(GND),
|
||||
.I3(\fifo_inst/rcnt_sub_3_3 ),
|
||||
.F(\fifo_inst/arempty_val_10 )
|
||||
);
|
||||
defparam \fifo_inst/arempty_val_s4 .INIT=16'h9669;
|
||||
LUT4 \fifo_inst/awfull_val_s4 (
|
||||
.I0(GND),
|
||||
.I1(\fifo_inst/Equal.wq2_rptr [4]),
|
||||
.I2(\fifo_inst/Equal.wptr [4]),
|
||||
.I3(\fifo_inst/wcnt_sub_3_3 ),
|
||||
.F(\fifo_inst/awfull_val_9 )
|
||||
);
|
||||
defparam \fifo_inst/awfull_val_s4 .INIT=16'h9669;
|
||||
LUT4 \fifo_inst/Equal.rgraynext_0_s1 (
|
||||
.I0(Empty),
|
||||
.I1(RdEn),
|
||||
.I2(\fifo_inst/rbin_num [0]),
|
||||
.I3(\fifo_inst/rbin_num_next [1]),
|
||||
.F(\fifo_inst/Equal.rgraynext [0])
|
||||
);
|
||||
defparam \fifo_inst/Equal.rgraynext_0_s1 .INIT=16'h4BB4;
|
||||
DFFP \fifo_inst/reset_r_0_s0 (
|
||||
.D(GND),
|
||||
.CLK(\fifo_inst/n4_6 ),
|
||||
.PRESET(Reset),
|
||||
.Q(\fifo_inst/reset_r [0])
|
||||
);
|
||||
defparam \fifo_inst/reset_r_0_s0 .INIT=1'b1;
|
||||
DFFP \fifo_inst/reset_w_1_s0 (
|
||||
.D(\fifo_inst/reset_w [0]),
|
||||
.CLK(\fifo_inst/n9_6 ),
|
||||
.PRESET(Reset),
|
||||
.Q(\fifo_inst/reset_w [1])
|
||||
);
|
||||
defparam \fifo_inst/reset_w_1_s0 .INIT=1'b1;
|
||||
DFFP \fifo_inst/reset_w_0_s0 (
|
||||
.D(GND),
|
||||
.CLK(\fifo_inst/n9_6 ),
|
||||
.PRESET(Reset),
|
||||
.Q(\fifo_inst/reset_w [0])
|
||||
);
|
||||
defparam \fifo_inst/reset_w_0_s0 .INIT=1'b1;
|
||||
DFFC \fifo_inst/rbin_num_4_s0 (
|
||||
.D(\fifo_inst/rbin_num_next [4]),
|
||||
.CLK(RdClk),
|
||||
.CLEAR(\fifo_inst/reset_r [1]),
|
||||
.Q(\fifo_inst/rbin_num [4])
|
||||
);
|
||||
defparam \fifo_inst/rbin_num_4_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/rbin_num_3_s0 (
|
||||
.D(\fifo_inst/rbin_num_next [3]),
|
||||
.CLK(RdClk),
|
||||
.CLEAR(\fifo_inst/reset_r [1]),
|
||||
.Q(\fifo_inst/rbin_num [3])
|
||||
);
|
||||
defparam \fifo_inst/rbin_num_3_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/rbin_num_2_s0 (
|
||||
.D(\fifo_inst/rbin_num_next [2]),
|
||||
.CLK(RdClk),
|
||||
.CLEAR(\fifo_inst/reset_r [1]),
|
||||
.Q(\fifo_inst/rbin_num [2])
|
||||
);
|
||||
defparam \fifo_inst/rbin_num_2_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/rbin_num_1_s0 (
|
||||
.D(\fifo_inst/rbin_num_next [1]),
|
||||
.CLK(RdClk),
|
||||
.CLEAR(\fifo_inst/reset_r [1]),
|
||||
.Q(\fifo_inst/rbin_num [1])
|
||||
);
|
||||
defparam \fifo_inst/rbin_num_1_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/rbin_num_0_s0 (
|
||||
.D(\fifo_inst/rbin_num_next_0_9 ),
|
||||
.CLK(RdClk),
|
||||
.CLEAR(\fifo_inst/reset_r [1]),
|
||||
.Q(\fifo_inst/rbin_num [0])
|
||||
);
|
||||
defparam \fifo_inst/rbin_num_0_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.wq1_rptr_4_s0 (
|
||||
.D(\fifo_inst/rbin_num [4]),
|
||||
.CLK(WrClk),
|
||||
.CLEAR(\fifo_inst/reset_w [1]),
|
||||
.Q(\fifo_inst/Equal.wq1_rptr [4])
|
||||
);
|
||||
defparam \fifo_inst/Equal.wq1_rptr_4_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.wq1_rptr_3_s0 (
|
||||
.D(\fifo_inst/Equal.rptr [3]),
|
||||
.CLK(WrClk),
|
||||
.CLEAR(\fifo_inst/reset_w [1]),
|
||||
.Q(\fifo_inst/Equal.wq1_rptr [3])
|
||||
);
|
||||
defparam \fifo_inst/Equal.wq1_rptr_3_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.wq1_rptr_2_s0 (
|
||||
.D(\fifo_inst/Equal.rptr [2]),
|
||||
.CLK(WrClk),
|
||||
.CLEAR(\fifo_inst/reset_w [1]),
|
||||
.Q(\fifo_inst/Equal.wq1_rptr [2])
|
||||
);
|
||||
defparam \fifo_inst/Equal.wq1_rptr_2_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.wq1_rptr_1_s0 (
|
||||
.D(\fifo_inst/Equal.rptr [1]),
|
||||
.CLK(WrClk),
|
||||
.CLEAR(\fifo_inst/reset_w [1]),
|
||||
.Q(\fifo_inst/Equal.wq1_rptr [1])
|
||||
);
|
||||
defparam \fifo_inst/Equal.wq1_rptr_1_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.wq1_rptr_0_s0 (
|
||||
.D(\fifo_inst/Equal.rptr [0]),
|
||||
.CLK(WrClk),
|
||||
.CLEAR(\fifo_inst/reset_w [1]),
|
||||
.Q(\fifo_inst/Equal.wq1_rptr [0])
|
||||
);
|
||||
defparam \fifo_inst/Equal.wq1_rptr_0_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.wq2_rptr_4_s0 (
|
||||
.D(\fifo_inst/Equal.wq1_rptr [4]),
|
||||
.CLK(WrClk),
|
||||
.CLEAR(\fifo_inst/reset_w [1]),
|
||||
.Q(\fifo_inst/Equal.wq2_rptr [4])
|
||||
);
|
||||
defparam \fifo_inst/Equal.wq2_rptr_4_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.wq2_rptr_3_s0 (
|
||||
.D(\fifo_inst/Equal.wq1_rptr [3]),
|
||||
.CLK(WrClk),
|
||||
.CLEAR(\fifo_inst/reset_w [1]),
|
||||
.Q(\fifo_inst/Equal.wq2_rptr [3])
|
||||
);
|
||||
defparam \fifo_inst/Equal.wq2_rptr_3_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.wq2_rptr_2_s0 (
|
||||
.D(\fifo_inst/Equal.wq1_rptr [2]),
|
||||
.CLK(WrClk),
|
||||
.CLEAR(\fifo_inst/reset_w [1]),
|
||||
.Q(\fifo_inst/Equal.wq2_rptr [2])
|
||||
);
|
||||
defparam \fifo_inst/Equal.wq2_rptr_2_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.wq2_rptr_1_s0 (
|
||||
.D(\fifo_inst/Equal.wq1_rptr [1]),
|
||||
.CLK(WrClk),
|
||||
.CLEAR(\fifo_inst/reset_w [1]),
|
||||
.Q(\fifo_inst/Equal.wq2_rptr [1])
|
||||
);
|
||||
defparam \fifo_inst/Equal.wq2_rptr_1_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.wq2_rptr_0_s0 (
|
||||
.D(\fifo_inst/Equal.wq1_rptr [0]),
|
||||
.CLK(WrClk),
|
||||
.CLEAR(\fifo_inst/reset_w [1]),
|
||||
.Q(\fifo_inst/Equal.wq2_rptr [0])
|
||||
);
|
||||
defparam \fifo_inst/Equal.wq2_rptr_0_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.rq1_wptr_4_s0 (
|
||||
.D(\fifo_inst/Equal.wptr [4]),
|
||||
.CLK(RdClk),
|
||||
.CLEAR(\fifo_inst/reset_r [1]),
|
||||
.Q(\fifo_inst/Equal.rq1_wptr [4])
|
||||
);
|
||||
defparam \fifo_inst/Equal.rq1_wptr_4_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.rq1_wptr_3_s0 (
|
||||
.D(\fifo_inst/Equal.wptr [3]),
|
||||
.CLK(RdClk),
|
||||
.CLEAR(\fifo_inst/reset_r [1]),
|
||||
.Q(\fifo_inst/Equal.rq1_wptr [3])
|
||||
);
|
||||
defparam \fifo_inst/Equal.rq1_wptr_3_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.rq1_wptr_2_s0 (
|
||||
.D(\fifo_inst/Equal.wptr [2]),
|
||||
.CLK(RdClk),
|
||||
.CLEAR(\fifo_inst/reset_r [1]),
|
||||
.Q(\fifo_inst/Equal.rq1_wptr [2])
|
||||
);
|
||||
defparam \fifo_inst/Equal.rq1_wptr_2_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.rq1_wptr_1_s0 (
|
||||
.D(\fifo_inst/Equal.wptr [1]),
|
||||
.CLK(RdClk),
|
||||
.CLEAR(\fifo_inst/reset_r [1]),
|
||||
.Q(\fifo_inst/Equal.rq1_wptr [1])
|
||||
);
|
||||
defparam \fifo_inst/Equal.rq1_wptr_1_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.rq1_wptr_0_s0 (
|
||||
.D(\fifo_inst/Equal.wptr [0]),
|
||||
.CLK(RdClk),
|
||||
.CLEAR(\fifo_inst/reset_r [1]),
|
||||
.Q(\fifo_inst/Equal.rq1_wptr [0])
|
||||
);
|
||||
defparam \fifo_inst/Equal.rq1_wptr_0_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.rq2_wptr_4_s0 (
|
||||
.D(\fifo_inst/Equal.rq1_wptr [4]),
|
||||
.CLK(RdClk),
|
||||
.CLEAR(\fifo_inst/reset_r [1]),
|
||||
.Q(\fifo_inst/Equal.rq2_wptr [4])
|
||||
);
|
||||
defparam \fifo_inst/Equal.rq2_wptr_4_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.rq2_wptr_3_s0 (
|
||||
.D(\fifo_inst/Equal.rq1_wptr [3]),
|
||||
.CLK(RdClk),
|
||||
.CLEAR(\fifo_inst/reset_r [1]),
|
||||
.Q(\fifo_inst/Equal.rq2_wptr [3])
|
||||
);
|
||||
defparam \fifo_inst/Equal.rq2_wptr_3_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.rq2_wptr_2_s0 (
|
||||
.D(\fifo_inst/Equal.rq1_wptr [2]),
|
||||
.CLK(RdClk),
|
||||
.CLEAR(\fifo_inst/reset_r [1]),
|
||||
.Q(\fifo_inst/Equal.rq2_wptr [2])
|
||||
);
|
||||
defparam \fifo_inst/Equal.rq2_wptr_2_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.rq2_wptr_1_s0 (
|
||||
.D(\fifo_inst/Equal.rq1_wptr [1]),
|
||||
.CLK(RdClk),
|
||||
.CLEAR(\fifo_inst/reset_r [1]),
|
||||
.Q(\fifo_inst/Equal.rq2_wptr [1])
|
||||
);
|
||||
defparam \fifo_inst/Equal.rq2_wptr_1_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.rq2_wptr_0_s0 (
|
||||
.D(\fifo_inst/Equal.rq1_wptr [0]),
|
||||
.CLK(RdClk),
|
||||
.CLEAR(\fifo_inst/reset_r [1]),
|
||||
.Q(\fifo_inst/Equal.rq2_wptr [0])
|
||||
);
|
||||
defparam \fifo_inst/Equal.rq2_wptr_0_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.rptr_3_s0 (
|
||||
.D(\fifo_inst/Equal.rgraynext [3]),
|
||||
.CLK(RdClk),
|
||||
.CLEAR(\fifo_inst/reset_r [1]),
|
||||
.Q(\fifo_inst/Equal.rptr [3])
|
||||
);
|
||||
defparam \fifo_inst/Equal.rptr_3_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.rptr_2_s0 (
|
||||
.D(\fifo_inst/Equal.rgraynext [2]),
|
||||
.CLK(RdClk),
|
||||
.CLEAR(\fifo_inst/reset_r [1]),
|
||||
.Q(\fifo_inst/Equal.rptr [2])
|
||||
);
|
||||
defparam \fifo_inst/Equal.rptr_2_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.rptr_1_s0 (
|
||||
.D(\fifo_inst/Equal.rgraynext [1]),
|
||||
.CLK(RdClk),
|
||||
.CLEAR(\fifo_inst/reset_r [1]),
|
||||
.Q(\fifo_inst/Equal.rptr [1])
|
||||
);
|
||||
defparam \fifo_inst/Equal.rptr_1_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.rptr_0_s0 (
|
||||
.D(\fifo_inst/Equal.rgraynext [0]),
|
||||
.CLK(RdClk),
|
||||
.CLEAR(\fifo_inst/reset_r [1]),
|
||||
.Q(\fifo_inst/Equal.rptr [0])
|
||||
);
|
||||
defparam \fifo_inst/Equal.rptr_0_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.wptr_4_s0 (
|
||||
.D(\fifo_inst/Equal.wbinnext [4]),
|
||||
.CLK(WrClk),
|
||||
.CLEAR(\fifo_inst/reset_w [1]),
|
||||
.Q(\fifo_inst/Equal.wptr [4])
|
||||
);
|
||||
defparam \fifo_inst/Equal.wptr_4_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.wptr_3_s0 (
|
||||
.D(\fifo_inst/Equal.wgraynext [3]),
|
||||
.CLK(WrClk),
|
||||
.CLEAR(\fifo_inst/reset_w [1]),
|
||||
.Q(\fifo_inst/Equal.wptr [3])
|
||||
);
|
||||
defparam \fifo_inst/Equal.wptr_3_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.wptr_2_s0 (
|
||||
.D(\fifo_inst/Equal.wgraynext [2]),
|
||||
.CLK(WrClk),
|
||||
.CLEAR(\fifo_inst/reset_w [1]),
|
||||
.Q(\fifo_inst/Equal.wptr [2])
|
||||
);
|
||||
defparam \fifo_inst/Equal.wptr_2_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.wptr_1_s0 (
|
||||
.D(\fifo_inst/Equal.wgraynext [1]),
|
||||
.CLK(WrClk),
|
||||
.CLEAR(\fifo_inst/reset_w [1]),
|
||||
.Q(\fifo_inst/Equal.wptr [1])
|
||||
);
|
||||
defparam \fifo_inst/Equal.wptr_1_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.wptr_0_s0 (
|
||||
.D(\fifo_inst/Equal.wgraynext [0]),
|
||||
.CLK(WrClk),
|
||||
.CLEAR(\fifo_inst/reset_w [1]),
|
||||
.Q(\fifo_inst/Equal.wptr [0])
|
||||
);
|
||||
defparam \fifo_inst/Equal.wptr_0_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.wbin_3_s0 (
|
||||
.D(\fifo_inst/Equal.wbinnext [3]),
|
||||
.CLK(WrClk),
|
||||
.CLEAR(\fifo_inst/reset_w [1]),
|
||||
.Q(\fifo_inst/Equal.wbin [3])
|
||||
);
|
||||
defparam \fifo_inst/Equal.wbin_3_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.wbin_2_s0 (
|
||||
.D(\fifo_inst/Equal.wbinnext [2]),
|
||||
.CLK(WrClk),
|
||||
.CLEAR(\fifo_inst/reset_w [1]),
|
||||
.Q(\fifo_inst/Equal.wbin [2])
|
||||
);
|
||||
defparam \fifo_inst/Equal.wbin_2_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.wbin_1_s0 (
|
||||
.D(\fifo_inst/Equal.wbinnext [1]),
|
||||
.CLK(WrClk),
|
||||
.CLEAR(\fifo_inst/reset_w [1]),
|
||||
.Q(\fifo_inst/Equal.wbin [1])
|
||||
);
|
||||
defparam \fifo_inst/Equal.wbin_1_s0 .INIT=1'b0;
|
||||
DFFC \fifo_inst/Equal.wbin_0_s0 (
|
||||
.D(\fifo_inst/Equal.wbinnext_0_9 ),
|
||||
.CLK(WrClk),
|
||||
.CLEAR(\fifo_inst/reset_w [1]),
|
||||
.Q(\fifo_inst/Equal.wbin [0])
|
||||
);
|
||||
defparam \fifo_inst/Equal.wbin_0_s0 .INIT=1'b0;
|
||||
DFFP \fifo_inst/Empty_s0 (
|
||||
.D(\fifo_inst/rempty_val ),
|
||||
.CLK(RdClk),
|
||||
.PRESET(\fifo_inst/reset_r [1]),
|
||||
.Q(Empty)
|
||||
);
|
||||
defparam \fifo_inst/Empty_s0 .INIT=1'b1;
|
||||
DFFC \fifo_inst/Full_s0 (
|
||||
.D(\fifo_inst/wfull_val ),
|
||||
.CLK(WrClk),
|
||||
.CLEAR(\fifo_inst/reset_w [1]),
|
||||
.Q(Full)
|
||||
);
|
||||
defparam \fifo_inst/Full_s0 .INIT=1'b0;
|
||||
DFFP \fifo_inst/Almost_Empty_s0 (
|
||||
.D(\fifo_inst/arempty_val ),
|
||||
.CLK(RdClk),
|
||||
.PRESET(\fifo_inst/reset_r [1]),
|
||||
.Q(Almost_Empty)
|
||||
);
|
||||
defparam \fifo_inst/Almost_Empty_s0 .INIT=1'b1;
|
||||
DFFC \fifo_inst/Almost_Full_s0 (
|
||||
.D(\fifo_inst/awfull_val ),
|
||||
.CLK(WrClk),
|
||||
.CLEAR(\fifo_inst/reset_w [1]),
|
||||
.Q(Almost_Full)
|
||||
);
|
||||
defparam \fifo_inst/Almost_Full_s0 .INIT=1'b0;
|
||||
DFFP \fifo_inst/reset_r_1_s0 (
|
||||
.D(\fifo_inst/reset_r [0]),
|
||||
.CLK(\fifo_inst/n4_6 ),
|
||||
.PRESET(Reset),
|
||||
.Q(\fifo_inst/reset_r [1])
|
||||
);
|
||||
defparam \fifo_inst/reset_r_1_s0 .INIT=1'b1;
|
||||
SDPB \fifo_inst/Equal.mem_Equal.mem_0_0_s (
|
||||
.CLKA(WrClk),
|
||||
.CEA(\fifo_inst/n23_5 ),
|
||||
.RESETA(GND),
|
||||
.CLKB(RdClk),
|
||||
.CEB(\fifo_inst/n27_3 ),
|
||||
.RESETB(\fifo_inst/reset_r [1]),
|
||||
.OCE(GND),
|
||||
.BLKSELA({GND, GND, GND}),
|
||||
.BLKSELB({GND, GND, GND}),
|
||||
.DI({GND, GND, GND, GND, GND, GND, GND, GND, Data[23:0]}),
|
||||
.ADA({GND, GND, GND, GND, GND, \fifo_inst/Equal.wbin [3:0], GND, VCC, VCC, VCC, VCC}),
|
||||
.ADB({GND, GND, GND, GND, GND, \fifo_inst/rbin_num [3:0], GND, GND, GND, GND, GND}),
|
||||
.DO({\fifo_inst/DO [31:24], Q[23:0]})
|
||||
);
|
||||
defparam \fifo_inst/Equal.mem_Equal.mem_0_0_s .READ_MODE=1'b0;
|
||||
defparam \fifo_inst/Equal.mem_Equal.mem_0_0_s .BIT_WIDTH_0=32;
|
||||
defparam \fifo_inst/Equal.mem_Equal.mem_0_0_s .BIT_WIDTH_1=32;
|
||||
defparam \fifo_inst/Equal.mem_Equal.mem_0_0_s .RESET_MODE="ASYNC";
|
||||
defparam \fifo_inst/Equal.mem_Equal.mem_0_0_s .BLK_SEL_0=3'b000;
|
||||
defparam \fifo_inst/Equal.mem_Equal.mem_0_0_s .BLK_SEL_1=3'b000;
|
||||
ALU \fifo_inst/rcnt_sub_0_s (
|
||||
.I0(\fifo_inst/Equal.wcount_r [0]),
|
||||
.I1(\fifo_inst/rbin_num [0]),
|
||||
.I3(GND),
|
||||
.CIN(VCC),
|
||||
.COUT(\fifo_inst/rcnt_sub_0_3 ),
|
||||
.SUM(\fifo_inst/rcnt_sub [0])
|
||||
);
|
||||
defparam \fifo_inst/rcnt_sub_0_s .ALU_MODE=1;
|
||||
ALU \fifo_inst/rcnt_sub_1_s (
|
||||
.I0(\fifo_inst/Equal.wcount_r [1]),
|
||||
.I1(\fifo_inst/rbin_num [1]),
|
||||
.I3(GND),
|
||||
.CIN(\fifo_inst/rcnt_sub_0_3 ),
|
||||
.COUT(\fifo_inst/rcnt_sub_1_3 ),
|
||||
.SUM(\fifo_inst/rcnt_sub [1])
|
||||
);
|
||||
defparam \fifo_inst/rcnt_sub_1_s .ALU_MODE=1;
|
||||
ALU \fifo_inst/rcnt_sub_2_s (
|
||||
.I0(\fifo_inst/Equal.wcount_r [2]),
|
||||
.I1(\fifo_inst/rbin_num [2]),
|
||||
.I3(GND),
|
||||
.CIN(\fifo_inst/rcnt_sub_1_3 ),
|
||||
.COUT(\fifo_inst/rcnt_sub_2_3 ),
|
||||
.SUM(\fifo_inst/rcnt_sub [2])
|
||||
);
|
||||
defparam \fifo_inst/rcnt_sub_2_s .ALU_MODE=1;
|
||||
ALU \fifo_inst/rcnt_sub_3_s (
|
||||
.I0(\fifo_inst/Equal.wcount_r [3]),
|
||||
.I1(\fifo_inst/rbin_num [3]),
|
||||
.I3(GND),
|
||||
.CIN(\fifo_inst/rcnt_sub_2_3 ),
|
||||
.COUT(\fifo_inst/rcnt_sub_3_3 ),
|
||||
.SUM(\fifo_inst/rcnt_sub [3])
|
||||
);
|
||||
defparam \fifo_inst/rcnt_sub_3_s .ALU_MODE=1;
|
||||
ALU \fifo_inst/wcnt_sub_0_s (
|
||||
.I0(\fifo_inst/Equal.wbin [0]),
|
||||
.I1(\fifo_inst/Equal.rcount_w [0]),
|
||||
.I3(GND),
|
||||
.CIN(VCC),
|
||||
.COUT(\fifo_inst/wcnt_sub_0_3 ),
|
||||
.SUM(\fifo_inst/wcnt_sub [0])
|
||||
);
|
||||
defparam \fifo_inst/wcnt_sub_0_s .ALU_MODE=1;
|
||||
ALU \fifo_inst/wcnt_sub_1_s (
|
||||
.I0(\fifo_inst/Equal.wbin [1]),
|
||||
.I1(\fifo_inst/Equal.rcount_w [1]),
|
||||
.I3(GND),
|
||||
.CIN(\fifo_inst/wcnt_sub_0_3 ),
|
||||
.COUT(\fifo_inst/wcnt_sub_1_3 ),
|
||||
.SUM(\fifo_inst/wcnt_sub [1])
|
||||
);
|
||||
defparam \fifo_inst/wcnt_sub_1_s .ALU_MODE=1;
|
||||
ALU \fifo_inst/wcnt_sub_2_s (
|
||||
.I0(\fifo_inst/Equal.wbin [2]),
|
||||
.I1(\fifo_inst/Equal.rcount_w [2]),
|
||||
.I3(GND),
|
||||
.CIN(\fifo_inst/wcnt_sub_1_3 ),
|
||||
.COUT(\fifo_inst/wcnt_sub_2_3 ),
|
||||
.SUM(\fifo_inst/wcnt_sub [2])
|
||||
);
|
||||
defparam \fifo_inst/wcnt_sub_2_s .ALU_MODE=1;
|
||||
ALU \fifo_inst/wcnt_sub_3_s (
|
||||
.I0(\fifo_inst/Equal.wbin [3]),
|
||||
.I1(\fifo_inst/Equal.rcount_w [3]),
|
||||
.I3(GND),
|
||||
.CIN(\fifo_inst/wcnt_sub_2_3 ),
|
||||
.COUT(\fifo_inst/wcnt_sub_3_3 ),
|
||||
.SUM(\fifo_inst/wcnt_sub [3])
|
||||
);
|
||||
defparam \fifo_inst/wcnt_sub_3_s .ALU_MODE=1;
|
||||
ALU \fifo_inst/n141_s0 (
|
||||
.I0(\fifo_inst/Equal.rgraynext [0]),
|
||||
.I1(\fifo_inst/Equal.rq2_wptr [0]),
|
||||
.I3(GND),
|
||||
.CIN(GND),
|
||||
.COUT(\fifo_inst/n141_3 ),
|
||||
.SUM(\fifo_inst/n141_1_SUM )
|
||||
);
|
||||
defparam \fifo_inst/n141_s0 .ALU_MODE=3;
|
||||
ALU \fifo_inst/n142_s0 (
|
||||
.I0(\fifo_inst/Equal.rgraynext [1]),
|
||||
.I1(\fifo_inst/Equal.rq2_wptr [1]),
|
||||
.I3(GND),
|
||||
.CIN(\fifo_inst/n141_3 ),
|
||||
.COUT(\fifo_inst/n142_3 ),
|
||||
.SUM(\fifo_inst/n142_1_SUM )
|
||||
);
|
||||
defparam \fifo_inst/n142_s0 .ALU_MODE=3;
|
||||
ALU \fifo_inst/n143_s0 (
|
||||
.I0(\fifo_inst/Equal.rgraynext [2]),
|
||||
.I1(\fifo_inst/Equal.rq2_wptr [2]),
|
||||
.I3(GND),
|
||||
.CIN(\fifo_inst/n142_3 ),
|
||||
.COUT(\fifo_inst/n143_3 ),
|
||||
.SUM(\fifo_inst/n143_1_SUM )
|
||||
);
|
||||
defparam \fifo_inst/n143_s0 .ALU_MODE=3;
|
||||
ALU \fifo_inst/n144_s0 (
|
||||
.I0(\fifo_inst/Equal.rgraynext [3]),
|
||||
.I1(\fifo_inst/Equal.rq2_wptr [3]),
|
||||
.I3(GND),
|
||||
.CIN(\fifo_inst/n143_3 ),
|
||||
.COUT(\fifo_inst/n144_3 ),
|
||||
.SUM(\fifo_inst/n144_1_SUM )
|
||||
);
|
||||
defparam \fifo_inst/n144_s0 .ALU_MODE=3;
|
||||
INV \fifo_inst/n4_s2 (
|
||||
.I(RdClk),
|
||||
.O(\fifo_inst/n4_6 )
|
||||
);
|
||||
INV \fifo_inst/n9_s2 (
|
||||
.I(WrClk),
|
||||
.O(\fifo_inst/n9_6 )
|
||||
);
|
||||
endmodule
|
||||
@ -0,0 +1,44 @@
|
||||
--Copyright (C)2014-2024 Gowin Semiconductor Corporation.
|
||||
--All rights reserved.
|
||||
--File Title: Template file for instantiation
|
||||
--Tool Version: V1.9.10.03 Education (64-bit)
|
||||
--Part Number: GW1NR-LV9QN88PC6/I5
|
||||
--Device: GW1NR-9
|
||||
--Device Version: C
|
||||
--Created Time: Tue Aug 19 23:16:31 2025
|
||||
|
||||
--Change the instance name and port connections to the signal names
|
||||
----------Copy here to design--------
|
||||
|
||||
component gowin_fifo24
|
||||
port (
|
||||
Data: in std_logic_vector(23 downto 0);
|
||||
Reset: in std_logic;
|
||||
WrClk: in std_logic;
|
||||
RdClk: in std_logic;
|
||||
WrEn: in std_logic;
|
||||
RdEn: in std_logic;
|
||||
Almost_Empty: out std_logic;
|
||||
Almost_Full: out std_logic;
|
||||
Q: out std_logic_vector(23 downto 0);
|
||||
Empty: out std_logic;
|
||||
Full: out std_logic
|
||||
);
|
||||
end component;
|
||||
|
||||
your_instance_name: gowin_fifo24
|
||||
port map (
|
||||
Data => Data,
|
||||
Reset => Reset,
|
||||
WrClk => WrClk,
|
||||
RdClk => RdClk,
|
||||
WrEn => WrEn,
|
||||
RdEn => RdEn,
|
||||
Almost_Empty => Almost_Empty,
|
||||
Almost_Full => Almost_Full,
|
||||
Q => Q,
|
||||
Empty => Empty,
|
||||
Full => Full
|
||||
);
|
||||
|
||||
----------Copy end-------------------
|
||||
25
src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/FIFO.prj
Normal file
25
src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/FIFO.prj
Normal file
@ -0,0 +1,25 @@
|
||||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<!DOCTYPE gowin-synthesis-project>
|
||||
<Project>
|
||||
<Version>beta</Version>
|
||||
<Device id="GW1NR-9C" package="QFN88P" speed="6" partNumber="GW1NR-LV9QN88PC6/I5"/>
|
||||
<FileList>
|
||||
<File path="C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/fifo_define.v" type="verilog"/>
|
||||
<File path="C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/fifo_parameter.v" type="verilog"/>
|
||||
<File path="C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/edc.v" type="verilog"/>
|
||||
<File path="C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/fifo.v" type="verilog"/>
|
||||
<File path="C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/fifo_top.v" type="verilog"/>
|
||||
</FileList>
|
||||
<OptionList>
|
||||
<Option type="disable_insert_pad" value="1"/>
|
||||
<Option type="include_path" value="C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO"/>
|
||||
<Option type="output_file" value="gowin_fifo24.vg"/>
|
||||
<Option type="output_template" value="gowin_fifo24_tmp.vhd"/>
|
||||
<Option type="output_vhdl_file" value="gowin_fifo24.vhg"/>
|
||||
<Option type="ram_balance" value="1"/>
|
||||
<Option type="ram_rw_check" value="1"/>
|
||||
<Option type="vcc" value="1.2"/>
|
||||
<Option type="vccx" value="3.3"/>
|
||||
<Option type="verilog_language" value="sysv-2017"/>
|
||||
</OptionList>
|
||||
</Project>
|
||||
@ -0,0 +1,9 @@
|
||||
`define module_name gowin_fifo24
|
||||
`define getname(oriName,tmodule_name) \~oriName.tmodule_name
|
||||
`define EBR_BASED
|
||||
`define Al_Empty_Flag
|
||||
`define Empty_S_Single_Th
|
||||
`define Al_Full_Flag
|
||||
`define Full_S_Single_Th
|
||||
`define En_Reset
|
||||
`define Reset_Synchronization
|
||||
@ -0,0 +1,8 @@
|
||||
parameter WDEPTH = 16;
|
||||
parameter WDSIZE = 24;
|
||||
parameter RDEPTH = 16;
|
||||
parameter RDSIZE = 24;
|
||||
parameter AEMPT = 4;
|
||||
parameter AFULL = 8;
|
||||
parameter ASIZE = 4;
|
||||
parameter RASIZE = 4;
|
||||
@ -0,0 +1,53 @@
|
||||
GowinSynthesis start
|
||||
Running parser ...
|
||||
Analyzing Verilog file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_fifo\temp\FIFO\fifo_define.v'
|
||||
Analyzing Verilog file 'C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_fifo\temp\FIFO\fifo_parameter.v'
|
||||
Analyzing Verilog file 'C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\edc.v'
|
||||
Analyzing included file 'fifo_define.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\edc.v":14373)
|
||||
Back to file 'C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\edc.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\edc.v":14373)
|
||||
Undeclared symbol 'Reset', assumed default net type 'wire'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\edc.v":14373)
|
||||
Undeclared symbol 'RPReset', assumed default net type 'wire'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\edc.v":14373)
|
||||
Analyzing Verilog file 'C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo.v'
|
||||
Analyzing included file 'fifo_define.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo.v":553)
|
||||
Back to file 'C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo.v":553)
|
||||
Analyzing included file 'fifo_parameter.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo.v":553)
|
||||
Back to file 'C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo.v":553)
|
||||
Analyzing Verilog file 'C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo_top.v'
|
||||
Analyzing included file 'fifo_define.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo_top.v":1)
|
||||
Back to file 'C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo_top.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo_top.v":1)
|
||||
Analyzing included file 'fifo_parameter.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo_top.v":56)
|
||||
Back to file 'C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo_top.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo_top.v":56)
|
||||
WARN (EX2582) : Parameter 'WDEPTH' declared inside compilation unit '$unit_fifo_define_v' shall be treated as localparam("fifo_parameter.v":1)
|
||||
WARN (EX2582) : Parameter 'WDSIZE' declared inside compilation unit '$unit_fifo_define_v' shall be treated as localparam("fifo_parameter.v":2)
|
||||
WARN (EX2582) : Parameter 'RDEPTH' declared inside compilation unit '$unit_fifo_define_v' shall be treated as localparam("fifo_parameter.v":3)
|
||||
WARN (EX2582) : Parameter 'RDSIZE' declared inside compilation unit '$unit_fifo_define_v' shall be treated as localparam("fifo_parameter.v":4)
|
||||
WARN (EX2582) : Parameter 'AEMPT' declared inside compilation unit '$unit_fifo_define_v' shall be treated as localparam("fifo_parameter.v":5)
|
||||
WARN (EX2582) : Parameter 'AFULL' declared inside compilation unit '$unit_fifo_define_v' shall be treated as localparam("fifo_parameter.v":6)
|
||||
WARN (EX2582) : Parameter 'ASIZE' declared inside compilation unit '$unit_fifo_define_v' shall be treated as localparam("fifo_parameter.v":7)
|
||||
WARN (EX2582) : Parameter 'RASIZE' declared inside compilation unit '$unit_fifo_define_v' shall be treated as localparam("fifo_parameter.v":8)
|
||||
Compiling module 'gowin_fifo24'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo_top.v":3)
|
||||
Compiling module '**'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo.v":553)
|
||||
Extracting RAM for identifier '**'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\FIFO\data\fifo.v":553)
|
||||
NOTE (EX0101) : Current top module is "gowin_fifo24"
|
||||
[5%] Running netlist conversion ...
|
||||
Running device independent optimization ...
|
||||
[10%] Optimizing Phase 0 completed
|
||||
[15%] Optimizing Phase 1 completed
|
||||
[25%] Optimizing Phase 2 completed
|
||||
Running inference ...
|
||||
[30%] Inferring Phase 0 completed
|
||||
[40%] Inferring Phase 1 completed
|
||||
[50%] Inferring Phase 2 completed
|
||||
[55%] Inferring Phase 3 completed
|
||||
Running technical mapping ...
|
||||
[60%] Tech-Mapping Phase 0 completed
|
||||
[65%] Tech-Mapping Phase 1 completed
|
||||
[75%] Tech-Mapping Phase 2 completed
|
||||
[80%] Tech-Mapping Phase 3 completed
|
||||
[90%] Tech-Mapping Phase 4 completed
|
||||
[95%] Generate netlist file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_fifo\temp\FIFO\gowin_fifo24.vg" completed
|
||||
[98%] Generate netlist file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_fifo\temp\FIFO\gowin_fifo24.vhg" completed
|
||||
Generate netlist file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_fifo\temp\FIFO\gowin_fifo24.vho" completed
|
||||
Generate template file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_fifo\temp\FIFO\gowin_fifo24_tmp.vhd" completed
|
||||
[100%] Generate report file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_fifo\temp\FIFO\gowin_fifo24_syn.rpt.html" completed
|
||||
GowinSynthesis finish
|
||||
@ -0,0 +1,371 @@
|
||||
//
|
||||
//Written by GowinSynthesis
|
||||
//Tool Version "V1.9.10.03 Education (64-bit)"
|
||||
//Tue Aug 19 23:16:31 2025
|
||||
|
||||
//Source file index table:
|
||||
//file0 "\C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/fifo_define.v"
|
||||
//file1 "\C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/fifo_parameter.v"
|
||||
//file2 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/edc.v"
|
||||
//file3 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/fifo.v"
|
||||
//file4 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/fifo_top.v"
|
||||
`pragma protect begin_protected
|
||||
`pragma protect version="2.3"
|
||||
`pragma protect author="default"
|
||||
`pragma protect author_info="default"
|
||||
`pragma protect encrypt_agent="GOWIN"
|
||||
`pragma protect encrypt_agent_info="GOWIN Encrypt Version 2.3"
|
||||
|
||||
`pragma protect encoding=(enctype="base64", line_length=76, bytes=256)
|
||||
`pragma protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa"
|
||||
`pragma protect key_block
|
||||
TGlgWL1ZZx8z8nfZoJDbLm7KPJ5JB8112cshEW9bM0dtSGLQb7iSIqBRBEGTwOeLIRjVPjlan6Zu
|
||||
mLReAnGaWJj4ruoB36N+LnkQtVwa4yn/NBZn6lOaz+nLxQopwwXXqxip+cb80/PcvetkdFWlfk4j
|
||||
GY3C6AbjZB9CHDwGKHsea8g4XSqaoxbiaVR+Sz4MDEU/sTjCIVOITLBroLm5RyvqX6kOkZmKpSua
|
||||
vgq/shpBbif2CULbxE7HCyDEl0vzDYRpd3OVv80ppqiDMFptajfSB9HuNHpVucUHtXy2SiM1jgOH
|
||||
lMrzxtEuHANBUKqriixIoOVXv5Z4lCsls+5zCA==
|
||||
|
||||
`pragma protect encoding=(enctype="base64", line_length=76, bytes=19216)
|
||||
`pragma protect data_keyowner="default-ip-vendor"
|
||||
`pragma protect data_keyname="default-ip-key"
|
||||
`pragma protect data_method="aes128-cfb"
|
||||
`pragma protect data_block
|
||||
Ui6eM/iI8GM3oWjv7qcNGwxMwQmzZVhtvJ6EEXwm1C6wNqbd9yw1iPK6BMbvgIdX5IuWeNYT9Olm
|
||||
3g5Vkkkrp44tEZOmUJ1rptCd4Z5uv2UtMNQ8G+DP12cC3HPh58d33QzJrl4Xb9/6Xn5rTfsMtm7P
|
||||
Zo+1TSQfQUvWJ/5eE+a/Rye0gKGqL2dOzqHKKK1eoTmH0keb4Tnbm07RCj9T2jpVSW0MCMSDRh6t
|
||||
k4RWGLGcKUc4PIYbbskXjs2c+v3R6Afdfu55ulgEVSkpERMrOsDRyVx93r79BmE1r6NLogYOznUU
|
||||
wcXkJ6ynJ9zm8+tDjkOQtZC7ZBINoJ7VanVM81PTscz6jY1CENmKfU0wooSf0DMlgoxDvzHBRAaP
|
||||
jXlJOBRq7pKrsLvY7dNEKubtNivAQXkqRAnHMbbaM1P9mjwpbiH3QthUEFaEYa3j3NH3FQuhW2Pk
|
||||
iiYsI0x08ukFv+tHZhtly8pZEvsZuubUC1UilTVwW2WfCx3h6wU2/nLHavsw83uEQuLny6dRq3CU
|
||||
sWHHlhhVjMlpBT1WYDKM1cyKmfyO7IZjEsjNR/mc4yHD3W7gxTWSDlKQ4TnhftAzjTlL88KcMbK6
|
||||
F1poIDzjMtLVdPhW78JKpFDcWI9dU6At0Jyur9xK50aSmRVaWPQlylWQhCM/cXSNPE3aq5mp1+hf
|
||||
QoGlcRHH+8n6X8yRspuO7iiRrqTM377nfy87SKvaF8rf3r4UzLwKoVlK1TPST8FwYhSConv8O+FH
|
||||
pHwWSXp+IRUKyS7LdhsxY4dGf9VTN6bOM60qyYTuwlVvHxZUVs+UyoWl0U7/ToQZ7N+71TKooXOk
|
||||
H5UU53yBQ0otpc9wDqVCy/GBHdWkOxfJeBgj6atfGco5MHsXJcTvA0OAvv6XbE0Yl0b2NVEnAtk2
|
||||
CClbMUPO0v6w2lsODF6DyjFIcOdO9KDLPVRngpiE8zVfiULW1RLK8Jr+3ZkdDf53ePw4w69fKcuv
|
||||
VqfxV+Oznb2iMZath9NrtnQTZqBAYBXPfF92IEVn40e/YE3lXZivOqTv1w+fQY0p0HIzrlOXf7vi
|
||||
NPJnTTwWE3kIwPoZ1bEhlSS54VHTDNCqcbpMdjqZ/H1oRlOe3Uq/ZRqPNHhThlxjXecOUPi0FGkz
|
||||
J9cddxkqfo/KNis1j7A61YNkhMFK8YPAcEZ7BSl+K5fvuIa47P6figLMY3W8ufmlCy79+Kgm11BU
|
||||
KAVvqpYJ8xlCordm5R5njfkcHEUqROv0Z8ROtza0CtdBDE/XcJjkv7jsTGb4M4oVZUi5OLQCI5a5
|
||||
EIq2pp4LLzmW0nfPCn/SCuuRYYKURTJGmRmkdsztPIncBgK4Zl7/ZtVCJ//yP92sVwA8X2CrbRLp
|
||||
9MfyC7yDWso50+BWdPHJZvRFjlhqvoMNJGBP6tXJXmG/UtvsWPCCUyRJ1smEUY/S0qCuwMyfhYu7
|
||||
0dPrncyPOAsvnVV958WGo38LEPbDGkNF+HKRRp0c8QywVcvGY+1Zz9ocR/qsjOKoaiXxH0aEOVix
|
||||
M4RqP649vt+TVtVNrE7AAOtIj7g+dIfk9bNKifFVJeD6dIdsKnQTvnEqTtLGVQod/0nbP3AEl3fw
|
||||
a5wQSI/g7PR2AFobzQTad6kwJloZi2ORehjS5QrvXHAbIV7072dyNPH4r3JW4SC80LMg1WYwBS8d
|
||||
k8AUxeIxe2yseAOJNw44foiAkfE+m5RmO/pVABQY539dPB/cAqajO4apxxeiplrKAN3BKdkbt+VR
|
||||
paegbj0CdgAnPUlgeXtoqpyA60VT8esufNJSjKF0sAcB+G/aFfvZo5JM65WATPs1chbIYXfAXwwL
|
||||
R2hjsTScxogOR90oJNtMUNmLdmLD8RMeCK2gYCH9x1rZlzzCeV2s7tuENUa6XrhHLfZ1z0f3qh+z
|
||||
OKqt3NEUIrQ5Wi3dzNNu2fM+l+XhLvyLbIq74JgvjzuBFQq070NX6sefWhiDxF7waA/nJkmfElgN
|
||||
M80jCYftg7PRpwRwQcmWQae2/rXO8ge2LXOeCgByuumwfmAnsgn+lHqTiEujeZOkjDz7tPjnDLz4
|
||||
JA8zvgnGQ9AW9gGiVw6EERQ09OxyMag8NDq2x7Tgsz9hcBGfepo7VMm+OCVrw/1WZaXrADuDwg9b
|
||||
IF1nyMOgw5GCOehcYwd0MtTdi8y96SxPj7Xs6y+pngwfBp+5h5GPXJsy0HRIHauaMOPFdLb7W9M7
|
||||
r+4YqyKHmos8RRrLLlc8ri8GLBbaiqir1McTPLJjZ+P7kMDVRaW5Q4MF2dr3DDkikGYiIXGLEJHp
|
||||
Umc9zyAOGUCYDfJawzYGX3TC7VHJ0fNjcnE9wRpy6vNpzaIJEnzgGAA+PsvGCqyq0Z6DCETw3MMp
|
||||
dGh1wfwVr6xa9QQAvxeaR+EGxwKBexG91hRd2ryokgVOocPqwNaq+Zls/zF8ShClLvLZ2IV8Vihk
|
||||
0Ue6exIQu/8I5zXKOsMdyOtLWNH6hr5UwaK/ki38XJoVuTKlXzi6/uOQyI4uHmd45ixB/zGLDCHZ
|
||||
A3xPydqX1i9tZw2ef0Sl2rTloP/RejPmriH8pfcrWe8touOzz34EdEVJUESsUhXTGY/TKf641mVp
|
||||
Yn5OkUJsmy4PBRsoSJI0sOc06xnBAq0+ALfjxUbwzYEzWM7QkaLi7j3Kdg2E+ScT8b55NF8VdrH8
|
||||
diLsD/i5tQLHSdZG/HPNmM1cGlGQWlPh7f53/8bjXEyOiCyIqAlVF84Fmr/yNiRRUkJA6B/r1BA7
|
||||
6C+goCowX0bIG/K0JzZrSg1/ZEksN/4QTMIkc7w3IRQv8XgejkWroyzpR57m2MrH5qdRbiVDNBeb
|
||||
GpOUp+zpZ4o8x40dleNjyIYV512GCEWZHFh8cpNFA/4g+pMbrSIN7zrbFnRDfB2qUBmu9MfWsqT+
|
||||
b7GjPSik2MtoG7HoGYgQmf2MtXTxzs8vNdkJve7HuknKJY5a+laNCnpaUqzUNZMbPBpPYIPPLje1
|
||||
PKsd5Jaoth6S6zbOZkYt0UK5izlVBoOMclcUqXuwZveWGhOepNCMlcokOcxgUMl2cnfSMipIzl3E
|
||||
w1FPhTOiWIuQiO7pmbGJNKdOM4zwHEzac8td5pfEzDCodjVcvIFHdOvs+r++LNexDFeBAofs1Ao3
|
||||
zXnuKyRyTr8dEQ2PZEppCVnTbyr2wQS6vE5Ka/YRucfERBsW1FLZLGGj69H3DPHbb/cPp/7u24ss
|
||||
+VQc0laYoT+c7OLhDppn/ch3EaFlEMhqq9BPa/t0AjIucEVmJHL4wAQ2v8tzzcI8xADzDNsa8y/t
|
||||
dNdpG0esB5MjC5QytMi59jzaTzEG5vv9fP1djxTKVj4dRdfyGDpzh70+tXePDSOdvi5QlPmnkf4n
|
||||
ly5y8oZ4Q+Kvs9+GqzN6dR4zmQb7Qn672768N2R8JClPh7CE7efawh/prXDlhCVs7s8lboiSOvn2
|
||||
A7t83Muc/4+mVGR0aZg4u3r7J9Tgbfb4XafHRyHbwcPuOsHHiA8SL/rJHWupdIXaWtqfjwRw3BCD
|
||||
PMLBncv7R+IkfNldhZsNwFeIg/EKpd2IuSxk62D+IN0aQDnsecPdPmv2DrHrmhgec5XmhHzsEWU9
|
||||
sUOIUnqhVYON9ViwKfPHXklaitnjcWaM9kezcto4yqBbXvDyDSoN1kVFCmttdWmN/+7sE2NlWhtd
|
||||
k035tA3vm094fyKiG/xp0N5bT1SEdZXFmDUuHQ+dlcmEHBiyXoAGd/Wp7M9l71BMm3oQBUZ6AZ7M
|
||||
60B/hzlBOWIQVtolpaz2EHkUt1UIDdVvd2O1otJyuPrZS/4/fL1eb7BtYPvhmrs1NFmC+WtetN0M
|
||||
tVozgiqb70xiSbb1KuzDHxYbiFnZOduwdnEw79RNXPKtszlfTwhp2394AfBFzeZoig29ar79C5GW
|
||||
H1uJYTFVqkcYgpp/oalCmlJbvcodxeuFmm6OZN+sP5MFoARI0HqwFpL2wcmb/JNip/2/o5SB2YFw
|
||||
leSI5VakU1glG0552+rbg4SS9CxZe1Whs7Kq6O7HjdmcQl8RzU9RfMA5nsv23ywuPIcyRdUrVV1O
|
||||
ioXrlAkrp/GrNJJNffTn0MPOwoq00M4nAm9w6E2q80X4UkAP94gEBXh4APgIVU+Q95y7kYNbIrXs
|
||||
2GGB6FG9WIvyH15zJDP5OIATUdDKpjB+tE0kRVqatIrVGnQRyPtTWNZDgv0pHxZPzdrVNtAq1jJY
|
||||
oo9fDrs3i9EqxGY3EIB9I3iAMNeGaPngEHcbiMYTpogurgoJLIK4IF/hNbNIUWK43UHdYv0ygteI
|
||||
fravFzFRF65I+JojVQcn+PeDL6xk5GHRHQtMqJYmp8/bciqtnbdT1cuij1UETwPH5u5mWZxrBiUy
|
||||
PETlgHApd39h7zJapdY9XMajAMPNzaPl0CRRAO6GSetmPPRYp6efq7oX6GoZGDYEV3hdAv9aTntI
|
||||
HGKg64i1PGWTWhdr1Ik+3Az3SAUo349IkQks5xmmMZX/JPdMjHQEw9VSLfrwREiMyUn0jCVGqSXS
|
||||
3gYp2HWoUUrNBO30guZmdUs56dHnFAmy4IgSSNwdpTTqfPI8BplAfxEHBSR4i27diRsufAOHtTII
|
||||
qvbwRYqEmiNurqYkBEo7b393AX3hT1+p+YuetwBbGKUfP1ePckY2Gssqecal3wJTpsXqbb5j3Fuq
|
||||
w4omXNW2de2iQdnevdUJe5wNXhbKMBY8Yf9stTVRAQNC8vYbaVeNzZGeE9UDsyVs1XLSSt47NRhI
|
||||
w1xp0w7IqhBx5wJKn9dCoHKVu1JwVGWoGFZp1tHzlisYU2cH7e3P6bzk5H8IWPZtaA7Nw9RjbP2k
|
||||
gqyoFgWLrqho0gw3rsbxnu/YK7xoziF9rITUYxk7bX+/5DJDmsEsm7kgwID71WFJFz3hQH/QvJQS
|
||||
XmG0sOyy1jyGMFf5j3lyEXK6cyMABcXxZJbF+7ir8Dt9R593r7EXNK+nZArm7he92McCiCksk8gT
|
||||
GaLG+Z+fuEwZ75TBVQGZOdiXzTJDbP491Y3ixNfGh7jFlcy+m91A38nSlj7Y/qXW+hjWW+VUmAWG
|
||||
y/sbidUgBBH3fIoikBnb+OcRYx4Tk6UD0Q8Rg9ugNDqEwNb5pnSUNa+WGphqeAZ6fkS52C704hLl
|
||||
j3L6fhmrCh1emfC2NFEIplVKuLbL/OZC7F8yNxvYqeFSioV5Op4GEldplFb1TyOMaHM2jV5mrQ0Y
|
||||
R+bFdOopdHciPT+08x5qJ3hdYlmcVHx6a6PPpmyZelYQVNgyWPOS4lEscY5ibzR7wtA82k2E0MTx
|
||||
GRFBq9Awf3+BMN5/Kb/3MuGQIq1kyYtnFKi8vrpkAiSfVfHDZSnEJ/LmXuyN/b4QGJkJ7vkhq556
|
||||
cogRVhbyH3tkqbLkspC+QMdeBronyLp3frxik64OtOqXJPLtb0GxGnaTuSKvdodL14CwAQ63JxP/
|
||||
hRCRL94nNNeUCaFRezaTzb0XA4BDDHTsykkVa8z/+Uw2G0GbaF6onbdpLz8y/r/jBLIMvRskXUlg
|
||||
KGOGOPi9U/OpiXxUeCLKMbveB/Q3s3Aj1ax5ru1p1OAiJen3Cm76IkoLnCWKLBLN3hjg/EgORUPk
|
||||
Aj5cLWcLYwBLtNAN4Y0kb7WndDEsVbSI1Igb+Ds5kl3IOcB+XieAHYzoG5SigjGeCneBS6FFfC9s
|
||||
AlaGaurzoUJNHXiFwftggd1rcrV8I73a+nOWFc01K+Ac1EDbr6UE5f4wTHuhGCzS5HniGXRUEBhc
|
||||
z46O449j8BRdpAlWJbc7RoSeXB+1xkVUaxywHom8ESV7xMmZigvqPuRvQcg9Qe9A/GlSMvlzGf+U
|
||||
Oh4N54KxQG5Hn4/BUmnbZAVLWAfyqP+fowCnKVXNXV58KDfhNgrA+Uwb0zhfFpve9brdYJf1dsyM
|
||||
PAwZEykQt9WYco4vYHTjPySwq2Du/h2bJZ1pDkCrFQQJLVdjRzrhYV+e2unf9bKTxLzuYxA5ZNDz
|
||||
WEYDFz8+Lr3UHVENP7jNGbGNZ6n04oFZ4ZRe3dcR1ZIOZTRLOp0gpvmhhqT9Cmmuhw21Ivu7fv6r
|
||||
N5sMArkA9XU3GLTV1Yf8exZalhLVrq3BkWpQhpU2sY+ePqOBHFsi19yuemXLQ0eoajz8u50hYWpj
|
||||
lkt6gxhUHb7PB4aiFRChbRldpyvHbQg5mwl7J3WaiwZPsvmcAu2e5lCTl33cBAsT6auA9jbQQnyu
|
||||
WndZt7S82t331ZtDsWvM9sbH0RH45bkTnz2ItjQYX6mvMS/ImoP91Fngy/ocjjMqCgs1PITZA2V2
|
||||
J6ZiHnkbLZ+NVeg289TM75UlxyZoTJUD+ndyQ+jxLoBe5Gu4sO0J8cF5HBYzj7VHvb5ff25pAe8p
|
||||
QhfoHdWhs7jjs4e6euHFXg/4BH+5cmDat8Jeo2ZnU4R4n2tDQa/WfKgXCOWqf+bF15DFfBB/LhK4
|
||||
Ga+yBNdJ6/otQwDkrWyyYFJePxLLH/8eLOoNCt/28oVY2M3pUvL78Fn+w7tfRj4obEnE294fStkI
|
||||
N4uDimCp9T57e9sPO8oD4E5gd6Hile1mD/e29WigqUq4Y5jPn8Ioa5/jMiTthsUz4LYA/xulSZm4
|
||||
MF8jD5CxAo7a8RvofoH/Gyycb6ei6vRob1prwSkdHYhni9Lc7uDFjXVaqiw7oQ49qHZ56mG+KWRU
|
||||
Z0CNr3Ijq556/UwmOdgHabI9T8XrlkjjJapg8sDuU4FtufbXeNS9af181TQxAOFkNheX/4m42DjU
|
||||
KijPp6pCTUp8ueotkpbG+5+q98RIaL9U0P292jBJTawTj1eqrDfqN3hGqpvXboTsQxuNVEPdAcHz
|
||||
rU7of9Hy3+1P1sDX9xb5BuwC00j9UdOYcH1+VZBfWus+fD7G446GHLt1guGNkcREJzgCUL86caFA
|
||||
aYH4H69yZpov9rM+U701cdbAtPazE+WyizZaK9y6YwS6Lxfqu+AnCc1jksjrpq6wNtGSrp0UVpOx
|
||||
llbMM6bR5EgfCBLiD0W4nixQ2p1eu1eMDMry5pgTt0WWNSy6amFSDgn4Gc7ui49zZFo1EYjICuc1
|
||||
LymO/Ge1wmiytIZduiXX8jJHNNUUTtIopAbqNM2dz6XnsXIlMCYlOvAHBZxgCg04Q6PoqdchVGGB
|
||||
NCtBa/XP9IO8kQDSjExbo4O+Hb7P3fzyjDjC7t8olf8vRNs7CCPoJLwEFO8KiglgvNjZeBkjk6Uw
|
||||
7uWe2bkAoD2po1nuPFpELm/LKklxE584Mt4SVhFdd1swi7/ofMgC3jRk0Vu9KQxtObZ25rZxEYre
|
||||
biNP/DRW9s+eXSxEPPP05uY2HCgCy2ID0B97g01ps7x7CwZ/8007Nl2rHEiRypIatTARpOPchN/g
|
||||
eGvhgOlkd6uX5cqqvxiRGU1IM2U0B1T//GF/GZVPD4uehIJTsr+H7ZKl7OS3t4nl/kikLhgRUbyF
|
||||
CzWXZd6W+8k4GRrzklG+OfCX1cfVyu6IuvhNhSXbxfD41TNuJSwglEOOwYYbgR3WgEk502gLB0Ir
|
||||
f7X0RIi78b3E2zYnVZ2DXDc7s9icQ2oCkC8wXPFz+rBT3UeFOAdC0G9aB2BlNqDZdFWk4eieauBi
|
||||
jhFjtPl17MTyb5qRqbIxIV9PjDHM/vNyZEih7Mpp0tqob0Eln9kJz08rE8bB4vtFuHUVRVpRH5+G
|
||||
MDDH9rKt6JTS8vR2jUNbuznxhHD7RhEDBFNE051ol0ScyFSck/7iOTHwW2xWpk2UhZwokDZjwt1P
|
||||
4b87TrMs0fWbpTA/CIC9b0b89oS77rzAxlX8FIjPqpU+xre57OKrqFfTKDTQJHimLm8CXkNCdZ+Y
|
||||
KPdc/GC5Up+OQMdT4+/jmRa5lGj9PmjRnqifsyi1Y3QqgCPOktkFUmkDpI1XryDjmk19MXv19Ln2
|
||||
dLw+O7E0xaS5bV/WKhpEj8NsT41cndos8Psf35/upHflBnq1ZEcBTEw6ZHTSvMNwVyyZaCngFoop
|
||||
zGQqgU9GHkb5DsouyctKNa9PTe9zd9kKYduZ2usSVaAmdTyCZ6K9E7guyj5HpTe0sC6LEHrgmBQM
|
||||
Fma3Q7fvlUosKe/DH4NAxeJWwKKFf4BT1n3zWnJVOkwGOkcJT/WGi5tltNBTpEml6ee2kc14Ji3q
|
||||
gKSM3v/VFmVKOBraxG4DgFppt1hPJI8TBiaqnzJGsCD3H4aHbvLO+D9J9Bv3B4U1nK1yj0D3TG39
|
||||
c840hnu6letIdwijq01YTRi0RnybraXi6YVXRxVU68SNmv/gtOg6FWLEx7HIaH/lDBE/sMhVUk5D
|
||||
+V0KKvZ1aRCCaIAXE/O3qak1F4d2jY5onNZUW3Vna6karo/9T/DtbVu/vLM8p2YeB5F3nVB8y4VV
|
||||
7i0/01f7+bVNFjwcM+ZfyHyXVZWZKIFPmRpTEafhkbafiQOLOnGoNZifiIz9fcVWP8Wcj4JNoqU9
|
||||
S9FhDqteDvsHoi2VLeZSsVzyyzODkFR10XgKMuB3tTLVolBuTMXZRiKrT95iUGfrmUKjJa5A/m8g
|
||||
5ea8l6mSIweh1JiEktIiv1GZLB7VQEvwyYcfI2zaZ4avSSY/KCuHpwAB6QLnMH6kJTa3EEftFXTU
|
||||
ybnW5ewAUm7NRtzGMEXSdjknEA2kuMEU6f7B92tBKpTLSuRtrD+5/ecu+dNLzPnXgcsrTJAJpu6o
|
||||
h70u1dUKnlKFazJIzBdErVeVbKhp36Jpbfu/GNyHAP0ZTr2sO22PGaqgIzgikYH1gzFgeVGpe7cw
|
||||
/5PSOswVBvzFCqHOhdAKBmXa0JbSFQd0i6T+YcpFGLlwuwq0mFOPLaRkb6S7CQSdAyXu/GrZ13FS
|
||||
vhPjnyXO/6qxZRL9AGEsHw2q67wTDITHvW5aUQJf8TQEDrX4K+vHUq2mfiTo/VTD/iYbkmMFkg98
|
||||
2wdazQRCg7yc5DlRl2TzmNzoIVkXpQidQ2n8p+RjKC/XFgkuu+0LHuw+gfNqrOqf4Aw/ye99skth
|
||||
lYm6uqxtYIspGEkApwKN2/Dgi+030YLx5inpN3AzmAO44ScJR+VzbYvy58kgxSMZ0+GypH4gEVCO
|
||||
T9T6P4QVkI3qgy3azvOmG0xOdJHq/s+4b1BqclIw3aF5kz2nKU4R4Rz0Ge8suV9yMjiUqOgGeNWJ
|
||||
J5/4ySoTVZ2oiD3psPUkhoaopQM1gaLLY3BzZWZQk2pe208fcbETJHuYLaaqAMXGKSv6Z+FEtLx9
|
||||
Ai6ENyS2oHnAoLMjMlk8VAA5eppcoWnsXdR5c7dxfBo8iubKPoctBH8ABElH2M6w2Awo/8tnII8P
|
||||
mQgsQQgjkuRr3wHZ2MhDcP1eTQggeRXQNvZvzquKziEL/k7ktWPgIZWbgtCKaxdQZHUXPVhWkR2J
|
||||
rUTVXerRwtN/QouK8aTRYVj7B8V9Ghb0GkO4Ed4Qu09XnKLRqxcLZv6cURCKIZHUAAVVHiZko4uS
|
||||
0FjoFkILpXzFyoeWCuA7iGc4qmLVekNgHGJ1FNFSG479a9D+pgF8v8ofgTe5vkfyxQVP0sLaufNj
|
||||
Y5mJHlMUxlEIWG7uklX0It6ZG8La2Bqu/a2TvbFyAykkaCNB9P9raDc24Sx2Tcaeo5XY7YiTOU/4
|
||||
Scu0KLafC9OiMy1/WPGH+AN/9NwuO/5Af/d3eQvbHKwKQ5x3J9dVbJD5Kd6IWcaGD2J5hDF9BUNf
|
||||
ACq/4EBvZ4t68Ag/SEc1NPtdLAUmY/ByL1L/t5s63RhhiIQkYJl9wF3yKWzrhVHTNV6kw9WQrSId
|
||||
jskBUPsPJjy7VxNlSYNLKpvnGl5Uwuof3mibMYMuYhLvJXmEEqgLZ816EVSpgOomB8AWu2fZFMKR
|
||||
/sUrExdZgW6ncCtL8fNrbkuh0w19oXf3xJ1pTY8IrT8XyLRWuc1FgHdQCVfkRuBUiE8AYdd4J5L9
|
||||
0AOvNbe3kAD/bSNunZCqnzsP0I7z/QT7ULsweXpsc9A4LcQSme6Hb/E4tUPocPCi4rzynKs8NW9K
|
||||
8S3Gn7QSnYLuIRJOKNxzs9r/1kkWabFzzZjvlQP4h14VjKhjUn3XJF/l9VTNJCquSR6Sd5IiG6g7
|
||||
+MzWpTl4DsfqAOPU7SJoxp/La31pgE2KaRmHLzvE6IHIoMSTND+isgqWWQNg/uC43FJo7D01JwX5
|
||||
QCsloGYWI2lu+MhEOfMP8UwMlJM5MJD/q2PD5DgVSONUKmd4c8cqycA700iiDSYe8MUBA4yWUJXn
|
||||
20OM8wAl/zyRx3Zo6mVdYXa+D+atYBolc+XJqK6QhlNCH6zVbMgk2Oy9IEyXXRLiTFbA+7nE6UNl
|
||||
As12/2h0lyt7CjSiG6m28NmWTr1Q2TpuiEExgTmEk48B+xmHpYjjqPOiWRdpZVggTJfXZgUBoGfH
|
||||
yPAU3YUJA7PlxzFMujoFJ0j025uVHfDHAnXUs9T3/ip2Yki+H2DyDl5mOtxlmWWUhljfZ+AWML+k
|
||||
svncsxSEEJu4UztKZx6iy3iT4JTFG6JSZNVWPpghGg39Jid60cfLVUfP3VK5IVuahAa+Oh5wotJo
|
||||
g7Fsk9tIC2q6T1vt5oK855aVse99r4mIe5WORomkR9nVEQw+1bxWCfELdOFN+xJ39NwM3bwxfnIb
|
||||
h4YMehHHwUgXhp8LiodjCxQdfkR9irDnsqPj0G9F3RLomegCwiqZ5Kz6qmF5FarPogk+DkdS3d0R
|
||||
wDeX79QLqEbi6FlZZothGv0TDxqW3vLI2dInZvtAZwts4830l/31VrsLxJah2xux1/VQu2sCSGjx
|
||||
6BPAZaT38w2MbbYbHqsmMoidyARJvl3N84U62sOKGP8sI0fwqr/PrSpUrB/8dbFmrrE5wRSO5VNV
|
||||
aBqp1tMnbwEuV2bwwpWm3ni87gs+ot9oxRDT9nsGnjiidorOa174M5s12SzhjYiSNIU6ZbNWI0C6
|
||||
1oOyuAn8XGKGnUjiVg4Stu+i2um5vO4y5MNA4TSeOmshQfLfr83RFO3X0tdsRf9lOhORVJL+flDO
|
||||
20ziETcaXLOqw76daGl9uYi1JnX8GCr7/feqVtVHBsbrdpgp8fKCiiYrmD1ZBeOzvdCLEcVBmR4/
|
||||
IRgL2ICvxPFn4yiZugzi9yDICBV03QcQvRCZHGhyrEPRok2SM7JypMKky1OHCaFWXfRhQvd0YCNr
|
||||
2Gbd/isG4jOB/0EfMNig2NuoJ9nIRIZVihtFfSPEqtcifDhZiQ50DH8+OKxguuIJ8XCtRTO7O+kB
|
||||
6rT33fZ5jOrt5YjLeEqRfcTkhMt+I14AEJtQdN9c4VCpTELJ/SPVPR1kO5v+TlHUWkcpF+QWbIPV
|
||||
37v5sXNKN/VRm8mJ6EG4grrlMR8g7uL1OURLoCkjKn1Yc/fBoukuCnwRENSua13Hmhos/4YBJbin
|
||||
61glUY/y+qotS1TfbCvXBWkj4JfHA+Th2UbDN62gOVSreTeNAy7koXipAREFwLWenYra3hG6Ur4b
|
||||
GMnPwduYSdUSHVfmGIk/pKyHdo1KUtklEgxZe26T8RWJcfWYYPK9rdhjF61PMzlLzz+8z+thnpc4
|
||||
Xcp3KxS8gyO6wLKXbakYM1XRnc+XN43G+cy29D3z3ZDfy5b2lRB14Qaq+OByoh1wa8krjMgUfazT
|
||||
4yhFUa60e12dqw6gdJRuqu2q5g6pCO9jV4zf9QkYBvrI8yuqClJPDc5eFu2woCqaFh2fCjX1UhHm
|
||||
a5GTO1Pp9kBEsDQI8RZfSn9uYkN4O1UUvJok1Mmpz9ztwCo8yZZxkZYudMj29kTK/JEEfXpJGSBO
|
||||
GIjKDMMsMGvZLQEUg0+idOAZCiVaQrUNhmf5+KBOHBRYVJsdvYGoLYhRdwNDGPc7yzUw+Ou4R7I2
|
||||
66ixbtticKDeFpz9sdpnyhMe2J5FHGh2Wdm9UWF7x9u5Fd1HDb+pWCaFayCBJyDcJBHbpGhOjGwX
|
||||
gjkz/eFiQecmhW0LfgVyv2FwjLyB18fIKyOGyFIz+OMIT7fKSWhN2bl6oZUIUGyf5uL/eBp+KKB1
|
||||
5+crwOjYQDGby39VrdeEykbeiLMSv5+Iazlw4rNZioSkq0EKMnfnfDyMUi9W2ERr4jD9mbrPhiLM
|
||||
J/eNcoQrr5CneGpmf7ojfwOERg0bY/qKUzEZmJDRFRPLr2f8+pa3caEEZywM65d3iMzvprbMaNY5
|
||||
0/3nObKWZXusGg9lQ1r4sLrZ6uLN35uDR0ai3xdAH7dhWzrz84hnDd5C/EZmhBBZNXxZKRklphL9
|
||||
EKxL+kpFj1SmelRnH4SbkR2sP8tHwYjfU19udVrbBu+NRXgps4/6rd4DTZoKE0afYkJml4tkum67
|
||||
yyZ9/iTChlu1Ouq7ivVNs+xVV7wc8ds8BqJKIOR396GQmfh99uoCL6ZXBVzshG/+HSM6snb7lzbm
|
||||
FZ7o1A+GOQYrdDcoh55kOnpO59b+MSRaTRbdox3MycnI/2ZYbQXunZ7YayV8Ws8TbvdjdEX+y/vX
|
||||
e517C33hgzUwvC39xMlHLw5pK6dtu7k8ChT8nZTlaPyzKWrIXHbq/CMC0K48BQdnResRkSctSZ3C
|
||||
DzmYoKD/VqZkcSd6YBMaEWqSsX+3n7yWpr4dORjVlFHE0nKCkAo30CIKysGvkiOBFHilDkMvk26t
|
||||
wbE6oIb1AwH1xU02bWn2+Tz9HxmdOe2Kvjsil6pnKaxJhT29F7vaNnrFj2hPWs737LqrgTx0YyvS
|
||||
CedXNvchG0vv2hipaZqhLnjZ1WaJnFAai1Rc7AOUb+o/Pe/4NqIS82csl7iur8RwV8xPiwISQk6M
|
||||
VIo8IktE/czCkcgHU/Xwma7PnA7EBLUEmmHPdf/s5qjM+c2dlKAL92GRfeUm55/iBn+uGHQAodS3
|
||||
nk2CtN7bbLdP4h5Op4K/AyCMeN4lxMuxGB45+lXTGTRo9+YceoyxizEZeOZFXeJtmwZ8iv6oCI6U
|
||||
ZC64+22V+Mujajwd63EddEQaQIvJMbPlP5xbWGOZpIrvoUXCQTU5VOyKDtWbkkRdss+/ud2+O56U
|
||||
zQTkjTikdbBermrmRfKHS1bDuul6M9GYMMHfYAcelWqlCiQIVMh5qkb3xoo/+/9bZnL3FV4SC1BG
|
||||
4m7FSA9JcLJ9e+WDy3qi5C00vNldyirquSIxOLcH1gopZM3AHcrZ/L028XvoW8gd1e9vf4OrEZ3g
|
||||
Yy+LhRKu6y2FP09F5TiQzX5W9PQFY+e4Lr9XN+eMQEHJyOUgUHURdxcEekwKdPAy+gkuMFk0/NBK
|
||||
6lZGwj8KotQJQZ7wU+EpSCFLUYRDhRiLkWZZRsP7l9BBhLWzu6JKJAt8R6IBmja3EUDvKVWiMykj
|
||||
0awCVp9xgsAvCE92ba3pwbwzfICltP+qhB7qesCwIwx7zLc4KpMYOg3j6Gd7+3h6pxK/hQvQDj5P
|
||||
5c7oO0/5J0Bb00ufZo/PPzCBBCM7GcKvq1pZ0vEDM3zXs1utbWPw1eMXddLfZJYx1TP2Geu03Dp/
|
||||
1Vy7XSj1fmqCw8pPgK3oYhEgP4jbCytA+g+6G+TtY/9uCFOAe4DJJvKWkKP7CHrm5Qy1sgBbhVnA
|
||||
mbiL/6WfDeKM4jg4i2mjyfujrm0S3CbpsdF52WYPFJ7DH4sJVcqT4pNtFw7g2IKqpI3TjToeewOo
|
||||
7/buRQKzAhtqoEdsjizTXE8hat5fakMJZVIid41EL2WbqpoJ+5ejIuZMi/ahbc78LPiGCCKFqAbu
|
||||
jXujm7hgJiSiGRgjnrQtjp15/u2DcDPZLx1bnSfkEHUGst4z8xgqe9NO3mGE1nHBk20u5/b43PBI
|
||||
GhmWKUa9XE2zUBQ0s7tXFSiQeI8lDjOu+Z/dDvgHIzbxehHg6E6mFjPhsiUItOKsLP6dyYVO03pV
|
||||
31au5M80c6lJySHMnJdJqw956S2NbOCMuR6J7QStWl+F7/v0yfmaAV3c9BBSAGf5Ea+TYRyQLek8
|
||||
YAgWU1RjtIK8l7yju1ijHZPD7zO1LqMKwTflXkpOy7TzSqwd9Zr3nceZ8dmN5djRynUD8gE6n3lP
|
||||
A3Rbbbb9DeyWPVmQZxBlPI3UmT9NpKbg5mJHHbG74peZyGtMbyyS6UX44Jv6FOPyRLgBusoWXOJx
|
||||
H4MMGOliULi3SjLNrsKe57r9l3UBbV10AWqWaKaHptNXaxil9uHVryBslizkYuOPPDgEVVIkh608
|
||||
JX9SIAfwFEV4b9euMZ0QMx5HtHUOKvQGNgFUKVm3oCd1mZa9KmrB+AcsCiLG+F3Q3A19nooruYcx
|
||||
gUEDDqUNI89dkPekVUa05KJX4mys/S4sZQejqlCIkVfYN1TksgtvPCz1mNt3iGx7RkODA6X5w4Ql
|
||||
R0KYLcbWgPwGjMUAQ8MLMrYjMz6oA+z0rlZw5wU0fWXwr4ygzSS/QLeMCbOVJdFgmrMUtUDOxNT7
|
||||
2FLwHd2pFITQ6P/q5StOOAGzY3dM2viQ3UoBAzqkvhnV9y3VYytZJN1TvxBu0KoArOzX3fekxr+C
|
||||
PkYycKZ04Mn+k4zzWYmzoOIe43q+tRKxj7EKmp6MEGsjQh5l29wqMzLeiE5SyTBfsLNQw/uUlH4G
|
||||
1xGADXO8g2hXb+KOYym/AI4FYptSCtIYEOv2U5zgvfi9CjFNtYEBWEWpWUy6xiQf4dJWttd8ILm0
|
||||
WFwiWQDnhD+mwmZLEYpmvz9rJFqDKBRAPYZVC7PVpKVpjVqJl1CDPcn/IeV8Y1tfrLlNNq83c8xx
|
||||
ZqkFtI5G4tzRlu7wbkCY7HkTS3t3diG18d1eW0QiemRrxmAjjdQDmVdOxkHJcBbvTV5R8iZFwOyh
|
||||
tZa9hoIw0KPHrbe5wFB5psBZEhCJu9r+Yn+6/ob1u9cvOpSW5FMwRfyU78GivxuXrAdDmXn9zqZG
|
||||
O+uMU8ej+OazWDwEAwIc5g2PuMWt1v+jd1ChZPE8HHnyO+GP4S7mRBj07SC5XxDldr7l3grYt8YF
|
||||
UwqpaxSSgLsqKQl97wQ++q5BZgH9f6BEdG+0lnPGg6coDIbQFLmIcKqv32YBvw7d0iaPTPPdxeHH
|
||||
cAi5Nquhuadki4F9QzXQwZ1kuFup8+GkMzDaWJS31DmP8cjpubB+dqDrKVcZhcKQ2XsQCuIbOhwe
|
||||
uq/oURJhOc6O1C8bfcoqdQ3G8Ov3BMDMzRK+AB0+fK7kZaRIVZJ+Lnp2iNVo2XXDFwUTl1MIAB++
|
||||
rUY/f714pp1BHnUidcu+0RKyeXTxl55EYwEYLfVLiruKP36dFuoUm/cBedXbH0TSxMOoAJp6HURO
|
||||
oTS7rGbmXm31zfM7SHhnt69XY5amo+fVTaKTYJCTPKZErwgvuvJOb+KmA51gn+HnIzeuAWzjAMi6
|
||||
RV0Sp4JndfmiO8RpMAuf1/863vOaPANE8CRtZrs7SEEZ7X4i+JjW/ATp0yhljcwyAXKNAb+scv+W
|
||||
+rOIDkT9BjnJXLVVx/y6G73ltyz1PPWqDvHH0GBcMwumVm8J9rCiLCf6PRQOVYPs6DZARcrpwz5n
|
||||
SnowaDQh8jRtgRCU7pY6oBSiONfVKVIKkERsqlsaf+FrmPefpO+w4aQmKsFYOXefeLCFEQamZ1HC
|
||||
8o1o1koERI2WU1ndAyIwvrEbNK1/ErXrAgS1w2zdDm3DUzOhYqQJUbmNgE89koPuqsxEdn1xRJkZ
|
||||
IxVJlt9JMQm1qyHk1IYfr7kckRzkru0xgHYNkWHxe32YbF8yA+z5z9zSH/1NuCgW8uMFhn4SYxju
|
||||
vYWrNa7rfJEPGMhI3oMAF90yy1ZLiinhH1AEIwEZnCbiL8IcXJWEfyxkx7ul2ZtJXAAjwXeG19F+
|
||||
kDmJWxJ9daMSr42lAxDlYttR7Pb0PDfrs9ErOlUdyGtod7T20HwAkAQY5/N/8AaKpE6zf7ObrHvp
|
||||
JnsG7QuxFTwPM7ZSa4WeQBk+k/y3/P9u2keBHuJgiv3Rrc6lwscFllc9R8lehACqWm17+ARA5YC3
|
||||
KBAcLCDT09iKvgEFHenSusJTCAY0uiSa+WAwMd5FqbUiNAlvCU/1jc8+v++w8H7B6LZmeG7R7Bi6
|
||||
pg9gvPsIyJdwfrnDut+xyS9Fq6V4G0QKLRZ/mrTnWYHsBGRmh+TlNwO+AtX0bnrqsOua+g+H3NTn
|
||||
1TdQ5v/qABm/NPeHKXIiUlhjHPY2gEwEEetKX7Vl/Ha/3oDpBeldRSnzAOKnllptTgCMT9K5L56A
|
||||
J5Ac3P07bETlXsK4HneYLBmA6pKs+3FjXIPKB4VrepIky7uBqX7Q8Ifb+HMQ4IBx98up7rMqMrLC
|
||||
Gve2d5Be+tcdtNkIdADjaRKTZXSCUjUzCRKvdiLYF6oBYC7jAN7jnG3NvBGopEGJh142ehWt335P
|
||||
xHO3GOJD0D+mABAtO725iFhebylmvB1aEjy93Fu+Y4lNaEBRfpjLdVSoxT7NBKsMKwK+KSzPsflL
|
||||
n1A8nlZmwDKjcBGizpBRRxdR3dEpGd0Lm3kQiFPGMhVnDTRwbjCANLGehLD3gDetC7TzUdP8Riea
|
||||
h61MGOO8J2TF5w8nQYLAnnSox/SYVi7glSv0ZjSwPI/Ue2XX4/StavKjX00zYO3Bz+bWOygxgvcf
|
||||
B0c6sKWyIIx/JaXZ9w8rNnO14VFPYrFwR1rj/vH1dG1YgbDd6l0ZCrOLx5gBH7N3jsAkYs/RivoQ
|
||||
+4xddJTLdR7pwmcdPCGY0we8J2JwExrEmTaMy3lT1BJ8rcvNU4HDTTsSJxqJeSHb5zGFR7iQkNqD
|
||||
w22oTe8pSP7YOrhsmq12FJlqIkpGzVQepRh+i40Y58SumftlWXvq02bhszZMuxR/9RzidbfAd3Le
|
||||
vYCnDMv9tPkW9gOc/OhG5NhpaVD0RiST+ZjmTGI1aJRFdUk1/H9zt7UTqyepJtKRlEuDsSlyJIzB
|
||||
WshuVLTx9CCm1SWlnMDYjeecapT4dHmA3+eqs64//ZXPm4EJG++knk4IcEoWrzL4+3MgGFKoBV3A
|
||||
GQO6O5mknR+aLJyrKNZV27cIbg68oJhchHLvdpZRUMa3rktJ7/RN0KuwsHnBGq/fv/YJvVrDQFZw
|
||||
engNw99RHhWTJfJOA1vwQP2yKvesjZatBXJt1vM4dYagKTV2WEQFAXYWpsLvbCd5DmlUyHg2nTz3
|
||||
Au2EZWV+AyUd3mCY2rP0w7jKqry5HEhMmbWvV6u5ntAJ3vwghJcD+PEocjsMW5wR87CYivKclyU/
|
||||
wdgCn1AZRgB+ue6WjhZYf1B5Tx/Iamco6PdhaQ9jFgrirAaa9aQR8PH71/PWxcsmCkYSWZqWzAJk
|
||||
FjQmel8MH17aiOu797qPhgvRvVqfLKcl+hUTUlgEJMvclUAyd7xKDAZj4vM2mWJAHsnthyOjxGAs
|
||||
DoA1w3wLUvblWRT6EUsWPdDBiHkzaIhTyF8UuPxEAvhaWKKtdrWzpn6q/7qZdl2ekc7b3Nz48d8k
|
||||
SpA6UQOKgPXjHMz/ZENiXxPAgm3Q6jlRkUxHmnD4tMOa/KY4KUJN8hiTb5OqmtfOcpIZuSoSeUOy
|
||||
WirE0DGv1cZKb20NOQ7JyMxZn4QqyoGuQ61LUwBtCcsmNsJUyoncuy2R3VklgQzSJzEGbdl2/xcf
|
||||
rfdcp0FkgRrZ/xv1tWJEoKUTCRg0JShHIyvWl/qbfYWLhvnxC+h9I94iUYYzr9nE5bcncUp3d9La
|
||||
BFTIVKqqDWsNSROHxXI4sLh6XWzG3fBc/iLE7k86Fw9XtT5eaezVbSOICouD1mUfL3usg8qwYTFy
|
||||
2a5y/WEb3zUH/lV0qAmxqt1TxsWuFu+VvOT7NciK0cSB43YcG+lIovBlPUz1vegSHC3yyDvgw9iG
|
||||
Mr8oQs8L++G7HOffODOTF8B9wSZwK5JR5ZYf9u0FWmGQx08A4cNhRUTxhC2O5KnHzfDKYNP+5EqZ
|
||||
2kNyal5h8QtFCaM6Bpo1eJn81F77GSneZenMmvgR5XhOnmsxB+GRGS+qjJS6PqoBNpzotplN/wr7
|
||||
6bDjM3rc/gYbHHK3hS0EeQJJMs/1C9pCkhWRFGMzk0HhjD2HX4V4AzprVyDe6QKTZQI6VqS+z4nX
|
||||
S2HsnVzY6QQnTW1iGqb6hILOHOb6lgMdeVdn7xsCa99wrYoHLmeLlB7XHbWbejibTe99ScmzeWmY
|
||||
VwyXorBjuarH/w8XONJRdzyqt68BYMfDYMmzLo8zzvVIhzm731/bwky9+kcks9652N97t2E2mBBz
|
||||
+u8Rbc3mw1sMI8kQzT7S5Wf3100vv+bsHLt+ky5HnAlWHg2+EAGlycp5KSrbbZUBOf5VbSwe7z34
|
||||
VgWGVZJU2NJ7GeQg9AxAvGOW7hgA5I8n23W20UDk90A9CoJJWyGMGFS0wEPtdMPa4Z1C2nw1OBjF
|
||||
/pG9HDv+J1rsm+bgdl8pDFggQ2CqMTnlKgvUKE4WRs00QAQufaASlSxkwSNAJOGYGVISw+OqmY41
|
||||
AZ26c6gQasMJnHmNDLo/MfUfGtEydVXBwNTchlHKjuf0SWECJwtCEzt45nR14NCKdhOEXzBVFbll
|
||||
+j/oB2gC1Hkaf7+8gkV5JWrb6M/bR6E00loj7ur/chHbCWtF9dMU+c8tmdIN5Ez5aiiyFQsM+bC3
|
||||
iURf2Bf7dVutQZbl4M3Vzsivsoxchov6PkRDbGNHd5NKpvisUnhjDeu5PzoMwvAgd3KjQHZ3oy0u
|
||||
mUXznYorrj72ZkYfKVH+OucUIvuxjfnXPlvrPtXHlRPDxZ55uKoClFAlOuh1mUAaaAC1h6ubOTPe
|
||||
Xg65r3aWfV4zjRck71p1BwVxPTbicgCTqpDSn7I6L73z8bK/KxpxlqietElyNmhjJmZbBcEVvcn2
|
||||
UQTTtVUCRQ2KtKSVCtE75qzLccmxQ+KgZSMXrUj9ETJdGnUpmncTSkqiNYOi/+OTmKgySxLf+I/4
|
||||
LiTP6vMsxFp1hHAnvD0utY0LS9vCiVEZ0mOm2lg/JvojIxQSteXMh85CroVbE1mI1ypaEdzdifqN
|
||||
pl7djaBsGGTNlHKGfncRhQBNoxT8lnu2kOUeap6Z1R2JM1gwuu4Igj3MIUuTN6YQcH4Yrbj9t7In
|
||||
tm8Lk1Ho5zlt14QSwn4c0xDSMQBDGmwV0l+MJs5PbvyLUntkOIZwsNIMQrM6z8WriQPv4vWVgE8e
|
||||
nfEZmaYCf3mMWwxK6BC1QSqWMwkwFDbtMJYYARpxGIrS7ip4ZXWKVveOjthcpEJ7AhgvGPSiUAZf
|
||||
KU32qieAIbiY4KoNiN6F/QFmw6PmQxMdBRDLn7mfMsP7lT0kmonmXiEHF7s1W9kUcYuK/+295JIQ
|
||||
rCNmWZrO8Iesn+XXldWFW3liEU94HmC2Ypq4kVkV+lbQTg6kbmPlqcwGcRhMSpJnSa7eMy8lV7+X
|
||||
2uFjQ/1XhFrIFaRfemzYZRSf8I90U1+X4yeUNh8dHeTVbN8ov9SEg2WFQQIFZha4UdbcE1Csje5r
|
||||
s8fDK6PJV9NgzXrVVtbo2vl0XTnVFZ9q64g8oYHEBWaa7FLyDd6VuTik087emf3boekW7j8QNlSk
|
||||
7v75UgqDcACBNepuOdOARm+GhM01PgveuQLwxzK/cfxskf7Eam/1gZRyHnqqM8Vro7wuiiG1Oo/j
|
||||
q9iDBX2c/yqQhQ3H6n2KY4QxfTOVWODuuVoD1Dc6qsW43FqJS3m8oRG3XcGEkLg2eUrHIJQ/wK9i
|
||||
PSdIFBMofDA9Tf/MCm3wmaWMRbphS9/0h5JLmI92MJdGxEF3xhke77GeCVMggEfqdldHAr4j20t2
|
||||
vVPTwAnCAM3A60N3xsCtTl6SZQRM5a9kuwEQ/jnsTmtxP6ORf8QsiLONggsJI4uTVIbpVz3ReZvL
|
||||
8KUDA58gcZ1YEnN9XyFaTk5PKAXw174XXl209pyeyoo/wtfm31FONlhZjNQHTNmWYhcYQS87rvub
|
||||
yTl5bQyG0DGgXP2ICpH6ofCUcFUcozMWQBxizjMMkVQquHgyXqCb78hgtn40X4m9V1c9nbVlW3jM
|
||||
tUcRU7TDk50+GOAGCw3iwZ67G4IXnKf7SsV5+zwetpaIicOhlyC0/ZJpddXlGRTMX7bH5JH5d2x3
|
||||
g0C2zx/kZtZr4vAQVm6PVx4fBoW+EpUD6bzExD7tAorGjV5yw9W5lSy1QJPX2MyMJ0OsbvFs5Q65
|
||||
g2JOclAw4e4IrnmWLHoyLvu5M95vgChL+XddmEcb4ob6ai7429669N9XsTE6omd55ZUQYBE58CRb
|
||||
hxkmimRTDAT8VOayzVADRpotKxd6C877rKBa8Iv/oqFD6GnhPq8yRxPmKrCtYQ0HOV24Qnuasq3Q
|
||||
Tv1F6aoyneNrOdGC9LPbu/V5baptj/yuGy34qcQ5OOa8QkxvBE+0Czn7JnL+wD4CPk1sb4w4jwap
|
||||
eB4a9Bt+ZWNBwBaIKIUGl3tFL/k7xKjfRnboEq7mXz25CTUy+zjkFduDO6yvUE+RsBswVUgTrslM
|
||||
Ae2VBO0ZyK0H0II2RjJqGKJ+5cSK5Zyycww1sbGRPaqkUPBOdtjtugrsTa62b4QEtbQbsX2klc9k
|
||||
jpn5ddys8m4tfuDmb3JrY4K5eAFth++utzgkNb+NE8DGT94BBV0+vZKe2bRUdR3iHLYPEue17Ucl
|
||||
hOoO5EoiwWKQBER/0HHrUMnZZXrx+o9miI/tqESmnLdt6fuwPlyObU8djatRBg3edkyk9JQ2HYyk
|
||||
3EYoMi/+25Nt30dUfhsMF9PYH7htBYyYqwm/2AfNIYw4sOaizVJ2OnqwgHLyzOWZ6n5fNZ/hvjEk
|
||||
9X9597z2aL0uOGXKB/dVTKWNro5yFvTfvhD7RspB2TdxbhuCNCcxOG8UYJu2IJJwiMV72GRNna68
|
||||
C9nQT1Nk3EW7BpEvqSrRE5uQ4cz86HP2QJ5sJe7AM/q5yjLRMJph8uhTeryTqF83tZRcpHNDZNBs
|
||||
W8pyXzJkzq9TXivXB13d8XALpuOcLD7+SWXHf2VNZBC/ZnhkN8Dz2YNlb4ued7tCnBLlPJdwSfx9
|
||||
JPoT01D6ZS0TtJfcXouLKxGJ8YITYmXUU9/6lNhJsZD6qjKFeFUYnuIDq5z6cyNTgCXMZGUZP6vf
|
||||
4BS8dL3EB5YKFRBQDj1AFy0PRLqBrh1oN9+myQItmVFbFUyVCR0nmhD9y682lWjgtwYIVZCpQyDm
|
||||
uEWsdYpGdEr+WT8Zv7MMvSHuaTdhcUahU8tLk5IDeEsORxfMvTntID0wVmMupWPGcs1+cY91GBi0
|
||||
+KDsu9/8KBpdpqSSiuceqUq7ZGHYAhTaiamc8Gu/XFsOiIJK1PSPmXwVgYT1vK9vIAIM0ezRHqAL
|
||||
N7mmDQqAuxGBUBgcpwXPdiEueIxBie0Hzsc1y3FiRtrddOwtilmKf1737Tc29Xf+3tujibqc9oaM
|
||||
jPECbclsyKD0fRb3e782IUgdnUrZloE74NNd2ZqjS5MgVhgNHK/oEM1IBapYsjsbnF7YfYwHyemt
|
||||
ctTbZ7hEMKpkAMu86v4kALF9XZKwhv22gNz4QFXX1i+eDGH3Wmyms6SFGGyvb/ClxGSIacJnpVxH
|
||||
6l/uA/BI6yS1cjmXiYRHbNB6lELuw0fpZhzKqMGKGfOqXUyP10pULDG1XhgvDYtQDjS2TA0FNmVC
|
||||
OODLaGBKfW7XzeqqFNZGLu1249arb102p1+5GIenzacXSy61BZOK3c/3/u9yir1AqtqD6g5PDQsl
|
||||
xXqu/XKWZmyW26Kb6/Y6a3Ct+gjtgkcbgV3mIPnngfj+giku75n1u4ApH1uXG2xPv7taO4F+6l7o
|
||||
bGHgW+VxqBYZubKuu6XPAemmRcNo6AUOkDA9IzeTIR/CFTH2lITKuvUq/i3KMoG/6e1b5+8axVJc
|
||||
L5Y46R3VIo0YA3U0dg705EOKZiD25Qy/2VX3gKo2Ayq7pkjOvLRLPBUzsxXu+siXT4vsyhEhvY4N
|
||||
YfVzvN+PS7S5k53JO/d8ukka1xelq3OmnlkebOVGesLvIeRqkktyBwpRwmXVxltUUuZVUZ7IC7nf
|
||||
ewE9FrjLi+yN/OSIKcMEGpmuhXY1fsACdrdaRXjP31pP1/zvixyZcA46pZg5XScSRBrlKHWDwd2R
|
||||
Mwm0FKfdj5pbaIleoj0/damGtPK4wmgYRENZyi9Lsedy8QeojOyqR9VYWboL8aZzgLos7sPnstny
|
||||
qCtS8lhkk1bDe1G3VxAgYQr4VXo7Vh1hxw0OFlV3N0NpVst+nfOkXmVXbnxKSXKeaIGid1P2uCIv
|
||||
07/ZiM1lMLf8N6WZtLWqn5a6+Figl0jIORav+w8BQMuNHffRHkJR1CW3Fw6DVQxAkz4fAyoBw2zy
|
||||
V1XcuuODj4wFyXjWfxWzfN1nS0goGl5ybqoHyYFfaF4Hm7F38jvNsAu+oo0qX8lJ1YmzRprc1CUW
|
||||
ZAkbGfFBKcLOiIxrrTd4WTBjyJVbhfyAyX0KWCWxiJZ4htCTt9Er3XJaUx8bZVBjSnaOiyaEXH/r
|
||||
jUQn+lQ6wc9AI9mgOdKmjPOgjwWCOuaT5sdVmBQK4M3hfQOXmvjxB0hq5Mf47+6hYRv1OQzt8LtV
|
||||
tjovrGYle1M4iNN7HT4ptT8NWFakY2AeXktcVFB5+s3u3eRe3q+vWLgADbiskWIGualNO6eDtlSs
|
||||
i391Igijtvp+qNEl2NVVLpMzghHdaeET8Xk5d9uU7IuI5fTkcoeEuBqBdew8ADoc7pzAoLodCc0E
|
||||
hDMIRwV6/KKvYIUcLpJbCzKQAY4XBfq670oNs9RxGel11jvOoQHT+u16aDKLdUCZqNRnNxyzJRwZ
|
||||
ho23uy2ZROArMmcjMofx+O5nd1tgPCDT43zDQodqwfOHYFAVaZEpAqaLpbr2vxOVZ/vJvssxyTtH
|
||||
9EvZNzKwJ4cFxlFPl8J9DCN419j9+yqdh0N+U46M+jbhBlONS8iBxbOYMuk9qKGJDHndPtHUL1Og
|
||||
7k3OvOb6V+cW7FeygGt/qrdNMdwAVJqOLoh2AzbJ4C0LgDsSp36iVY0z5hPK9t5kXuVNX7rxyLQl
|
||||
gwhUPfoGsp7XCNlfpionqwA80o+br81dzYaPOIhQPF/oWGVHshmXtiSFUi66zCstsZDLEvQxeNve
|
||||
3T5gnS5p1zg6EIkfJ/X5bh+wCkYT1wIMftBgo5o0Yp/6Z/2lYWoAoaSFmB557s7/F+PvX6DmqrKK
|
||||
52UpLNTQUebeLnG0yHcSrKF2csXMZkWhOYq9PTJINeeTLG/d/EX0QE4QQ2+IvbZC6UwyPd7aWW3O
|
||||
vtuvXdFGOCbsLR8HipT6EXRYxpVGhBKZgsHEh2BY4MerG0YgGvTuIzVksPM+AXmkGRbdWx/WmMXU
|
||||
2vaauM/cBjlxPBSzCJ+MnK7YPXib8mTUv28AeXUsDRnkyXD8CrE9vvDSjqGgSh22m+rjzv99MvVV
|
||||
OiEZXBLMAgtY8nSFuzZ5VjPTE1lDFwyIcvN5rk+AE/lR9iK7vhv2TUMUHYZf7tD4vbrBHN0DTsju
|
||||
dUEI3gqFkrjJfFgnFBL0y+MsYnsnwMmzdZcU0fUcTM3lwI+mGmc+HZPriQuAGpKTvtIeqtHIj8nO
|
||||
v909jQRwmCyNYAFQbVcstda88kMlWpr7wmzINFx2FSbkLBqNXgwt1IcVXmPBq+f3kDrdlAto0yZp
|
||||
VtTpfsajFnrlMwm1Mw2mDJXWtqRbPMDVb7pHRmV7jzat1JOwFV6hdIZapkgQTKWaZZm3EC+kmrRQ
|
||||
eKjHrMjBQwYd8M6LXuw3MhySoAcZvrY5DTIlr/dweE0I7ZuLfXyz/m33EHZbeZQfE/WgWizYJ8Pr
|
||||
20FApOFJlRRCN3hf6e64BlC7bSqXi5XIYGtv0IDPJQkJkBYz369vUiB9k7YU02jc2wf5oNheeFKK
|
||||
/pIkcLFaCAuA07gq78Cw07qyZ39Lcemv2d6FDS7PBzajO8o4M7H3f7O0s+LJG6t54aA1kCMDgQjv
|
||||
0j34sbavBcCuCK0KIH8o+F8DIQWz0BgE/JocidZnl75YLxI4LJGqgBbdM2d3stXEAlxb8TSlOfvu
|
||||
DoeDUrHApsEkux96A/pESWCUtiKXzQnqf48nhxLXbm/bYMl2HmUMo2BUCICEqusJdE4GOFL40bhe
|
||||
lkJnAyMWPW3v06gyvgWdmtebhzr8ba39ZqdNOG7f5c8bb608vsV83YwzN5LC/EzMCvJSLLOJ0Rzm
|
||||
/I155IMm805x0HyBIyCzxoWgCIjbA99gJ3GaVh9ONDZu8iiFIznwBogD2O+CKVafpUwXJJLJ2WNF
|
||||
oIyPIaZ/aKv6y+lCcljyQlvo0i2srUnuFVNuLW0V7tyd8um2fMicmR07okgveCzm5Laj1H3kPkl1
|
||||
XGBnjAdJiMIp7TUjolOMOVCY+OD2Exv2Rk5k6rjhOqeHI9f7iCqNTFEJYEJhFjicUxBL6Q/Ja8vv
|
||||
GCyVNm97EqvUDtDNhZ/Q3e9l09Kfq5p0FMM57XSaUKG8frc7QHum/wcN64234fqL9b0UcK9eVlBX
|
||||
mUpl6hqb1MELLa/mQDVMU3xbvufGEQlFE2RWDwQoMKYmhycLGDfu/UBDY80yq3EErN6np/rtgCa9
|
||||
MoYQpcbhm69Sc/TnujeygL2NnKntv0gmaj3k1YgMneW6AGbXE0ykmZQgo00tyfUH+QH2Jycqz79k
|
||||
CXGMIcB10Opyb0iV9TBiFkFOgL6bHrZsZfOS2bpDxlIsj1+lQA9VmwjQJiq5LCnClp6ChBOnEj9Y
|
||||
qztW1vSaWqMyVBb2QerFdZH/YLsXvYtw7eAnxzZ0yJv/sVSMAx3520nzj5dnVo/iFr3aJeKHVUG1
|
||||
dAg2OZcvYqYFREMpz1DkniGnpVy68u4I/RZM7TnNaxG9cANmec+zy7xnydXkrY8ag6aaCO4wTlNc
|
||||
X5gsc7ca289s3ujKlEvlG3LhybaQPqG91lu8OPeXsQoqHUgRlbB9wQqpSMz4JzlX5Pb1hTJxc43k
|
||||
ni9blbR6lqX9i8+jtxsbS4HiO6BEF324MPARPyEjZCZ+7HFZdZgZYr3jwFIjDvZGtAFp2+FpRCKk
|
||||
4ZeOsM4cw1p65/Kef4JAToz3GOBBUOvDZBvkAOXO+KFGjbhFzHusaPm3r3oQEl3iMH7fC4R+psXU
|
||||
F7jITB/UPEXb3DpLfaOfTk559m5h6y49fQoD0amjtjl/NW7CmKzhdPQ2Leg9DobXSkLhkYO6YML+
|
||||
j9qpY/SblOyGN2JRI4SG++ibcfwe5h4nnWaCHT8bbg41qenWXJUYHpHlRt/UuxwkDdH/Kr6Jeg78
|
||||
HFW4Xe76WGQOuULTt8I5N4MeqP7lH3DnFmFjvJOIj43Znn1l/iImSIFDHmqVZsLsf+qOnoLXQ9bd
|
||||
01gXdDxAEkgR3mvq1bvwRDms5M6xQAyRdrnmpj0q/kGI5jdlyXLEh2ri5zJ8XUvV949in9o2lrAh
|
||||
68shaQvXkyMl9KClsXFo/cpKpl4vozeeyqACajJXyt/9jnMV7aqe82kUH1tvA2SrnuOuCkkddZzQ
|
||||
E7oFnTT+6AGHUHSFaXwikTszyRwkHEJ0wXAFw6upujYyipMJoulGO6K4ydrNaaN6OnnCoSsqY3GN
|
||||
csOL+aDqCxvs5YsAcj6WJHzxBSMM/RKYviQYjYvn8hZfVUkZmPugPEWDqt27uJznkhBM1DW7ZpTn
|
||||
RqeA3VDx4E105aOTNdHA7yolUMUnPrEHtxuLzZx4YJgnXrVknLtT6SKGKu2ZCi4Uby9h2Jqz9mOT
|
||||
3nt1HGhay/WqgI3X01m/OIGvJO9KG2MgzJ+41JylB83vYgwSeAl1o/wHNpiH6EyYDrGVJ5V6cosC
|
||||
/zohnxU1lyb1EIsIRAex7v+llc4P7Wb/BO5CiEfK/AZKaqbeQ+SKoXEs482dsfiMJ5gMR4JJ/lsY
|
||||
8k/fD60APNWiH/cIZCgJt3nLg/BQAQ1PHhVPNhDgC2Rz6nCo0kIIs3EOk/zZAI6Nuv3hQCFAiXfb
|
||||
vV2sReoRphoqH1Qw4Qm/55N/baBMKS5KZM9ww321X0vawfG4SXFdRfe3zHBf8jWqi/3YM3keVF/T
|
||||
JwegLPj8eA==
|
||||
`pragma protect end_protected
|
||||
@ -0,0 +1,425 @@
|
||||
--
|
||||
--Written by GowinSynthesis
|
||||
--Tool Version "V1.9.10.03 Education (64-bit)"
|
||||
--Tue Aug 19 23:16:31 2025
|
||||
|
||||
--Source file index table:
|
||||
--file0 "\C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/fifo_define.v"
|
||||
--file1 "\C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/fifo_parameter.v"
|
||||
--file2 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/edc.v"
|
||||
--file3 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/fifo.v"
|
||||
--file4 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/fifo_top.v"
|
||||
`protect begin_protected
|
||||
`protect version="2.3"
|
||||
`protect author="default"
|
||||
`protect author_info="default"
|
||||
`protect encrypt_agent="GOWIN"
|
||||
`protect encrypt_agent_info="GOWIN Encrypt Version 2.3"
|
||||
|
||||
`protect encoding=(enctype="base64", line_length=76, bytes=256)
|
||||
`protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa"
|
||||
`protect key_block
|
||||
tr4uTyjTC6ybPRQQl1Ho1FdeRaAetRnMEgw23NH75DaXXOeUL8ejGWW4yGukQ9GAE7L8a9UjQhi1
|
||||
Wv8JY8zi+egHNWHq2H/fYVhq4x7Nd7mMgDngeO9HB2ekxxkmBQOkAofubskB8/zL/WqsvnJcIgRd
|
||||
NbobxhhoCD7U2m+xd2BG1MkGfF+yrC4TmfvOnJJiM8pzmEFGiS6INr2DfHsJzf4xAdOp0DN3DyRb
|
||||
BcwThXPk6lrdFM9mYGO4r2dJiB25D8G6gw4mQex3sLOJUBHTQ94fggfjsHDqVoBwVJs29FmME9Rw
|
||||
D6e2xIvPvj5lR/idlmTCNuS0Qch/yhM6djFROg==
|
||||
|
||||
`protect encoding=(enctype="base64", line_length=76, bytes=22304)
|
||||
`protect data_keyowner="default-ip-vendor"
|
||||
`protect data_keyname="default-ip-key"
|
||||
`protect data_method="aes128-cfb"
|
||||
`protect data_block
|
||||
Ui6eM/iI8GM3oWjv7qcNG9+HIlM/q19t7rBZYgbEgznKJb+5Q4x8So13P4HujKs34OVePTN6mPt8
|
||||
p0OkJNwvtbx68pN+xXCgUu7oHdBbVhQDa5P4zRpIfibumScRudqo3MUJ+LBC9QPIiGTAVtvqkFZu
|
||||
n8vGTp2/y1Ywn9SZPqUVS5Cy4XNlW7/x4Lx5h4PySy7w4akj1ScskXSb5w3CdmFOItMiwj6ZOT/y
|
||||
wkTu0hLmxJHXoBtevll2BcSw9hhuGn5QOmsNVvw988siti6bOmOaGl6WtxzkVNwHRsrxD6Gza13J
|
||||
lGXVhXf1Jv/LN4QO8lr/LKaMw+pcUj30ZL+0bORlcJYW6FDyBdFYmsUH17IsbMMiLbFJ5U2Nh++U
|
||||
PaYCGQ9edj7vm4Uz4R9kCtsj87BsmJCnMqcn0gdcT36VvDjNZvflG7+/TVUTdOAHVV9puS07moj+
|
||||
Uev/7yMdjJnEs0iCE6HMPsYrZZfvnQLv8+rJF+ZOOPiv9h3VdIW/ZyupJlbdncb+Dr8/wMKhLNE2
|
||||
l42v9OKIJ2YSj31tSoFUw/Z3G3kO0fe7lsZNkEH930oFL11ZkypCWjpodH/lj9hp3YQVG4vwd77b
|
||||
FkJiMpLh+Tb9ap7uH/WXlJ30QnPCqgtdl5fNN302SS7IQHXNfFhyiXKmFiiuawa5wsZSmFliHvBT
|
||||
TnjWrH2voxShd9Bzk53856/4n6Snw2vMCqkpHM9hqvR3x8ReNPjojNFbMHmpCxZrv/XRNv2JX2UB
|
||||
vr4aqBhzDphPZhU90qXO29PN/hLaZGdgV9N8iX9IpDvCqeyKLLcGPrHW+wBQYNSGX0L18/7wDrQU
|
||||
GUuQtIzN8xxgQqgwFUmqxDcLAK+0SrTYNpezd/W8hM8Kmf5g2hMGSTolQMFcjb2z39QtA1QR43Eb
|
||||
aemg2zNK/6z1VPeNp4Bcxov5OGF3La/9jm420y8Lr78IXpB3vGjPYl2b3kRjF6+xuh3bAlk3yMrT
|
||||
PJr2NciNbVb1SlbYj4oL+8UElldOHXv8Z6ZLLpamSy3DISmhKSggtEq1yMka/5uMmP4i0LKWJQHc
|
||||
YySWcYg82kY95O/ePqy2wXPpi9XF0qeGmPvIw98iHJEv27P2lI9cx6I+/ESFzay2eSiNyq+D04b5
|
||||
qg5KvaAz1JRnRgubz6m45ushx17jfuZeFRKIIDJwCB8LshD5xtIXIcXnmahDLzZa41d5tRfxGSra
|
||||
ZrLUEvEVeCOChlJ6g2hOQOBaea1sUVpX9CBmTn7xAgONoBS4UKUE6uHhI0P3iyC2NEhEdkl4x4A0
|
||||
tsfw7C6f5LZyWv0D1JUW8IdyrdQKIDztQrgLOlNH6v5pp25hdAbVHn0c+WF3YSd1+2FhIWzr3qXu
|
||||
T310JmBiEPZ/EQjsW3WD1nGShu2pY5rUrUI9H5Tj9PeKDxircw4LvE6+OnHL29bh1vJsxCStY5lG
|
||||
Fe+O96mpxU4Tbux1w09bnXaeLUNW/tKUZ8dIq6027GBgX13ktLkh6HroCJZK81mJOJS8Gun76dKK
|
||||
5hcR9JjFA+/OQpqvr3Q9q+XP0yaaodqUfZGYSbNIWQTbFstubjNgYklHjI9W9OUdRJAYCl26889b
|
||||
tawJU/RM1endT/LeveFlxxHZqRtl4Fs86OSDAx68gHCBZeym7LFiG7yG/efnMYcuJUyTWV6YLOks
|
||||
gDcVcupEnPjFB9yNnk6ESOms1dMUogAT2TcCp39rtq1wXNEuRS3qhKAWBT0vAssaeP1OnzbTTvey
|
||||
3guhe8Ms82eC8mE5h+wZMzoADkbhzXfD888z2Y/OqWuc86fXnbpk0VOHxC7c2M+vMWaNB+Tzg2fI
|
||||
HQi00HAS+8zd/G4XIZopET+sbvFwmIcdb0QklInGme6sO/FUcjuzoMxeVSKAKM/8fBsis6b/irhN
|
||||
5X37H6iXfkI+8WpTRmYn7u6aJqFXvfzt8h+aPhRAatBa8OT4XIxn+kUNeV0fGJpXMcoXnBSlLVzq
|
||||
Mh5xQ+7knpOeVpKf+1g4HaJR4+zou0kcr9lRpdBCeYOA+gsH0vShbRc4pgKHwR+LmPXrqvTkjeZq
|
||||
m03lbVmKKg/SCGNVvLtDflM7AIH4JUuwEXk2pIDdnuxxXYCA79vsv56rTh0/eV6R2gAvrG4akNhB
|
||||
i1gE860sQlu/X/rM115IAjDVcZnMBLG88UJRuN6AlGKn1tU0tGP9wFDVIRkKJ89FTa7n8iOJ6KWK
|
||||
+L8l4XtwDLNyKCpqUhWLSfFy1pY7FU6ZhfQYSHzYSqC+F4vyJhtwVGgB3G3+ozMlE6tqXesMNEbu
|
||||
o92vq1IrmPO2rCcaU+42+CUvfNgtxJs9eTnOFhpy2bPQ2vBzUA+h5SgagSlK/t8pN+FwT0ksrB9D
|
||||
eJ4+S88Rf5y6ISEUwe9eRQPIHZlKrhxAl5nKbeJMaegpYx2kq3ZLXGiO6E2xna8AFBpsWwXtIBh2
|
||||
M0ELS/9FMaKqEo6TvhR+Gpo1YwDDslwfdUWkMKblhB1A9rsFfmEmqaIhqDk2Q8x13PfUNQqDpTXx
|
||||
dgBXYvrA6vbJ8lLsOhNCKvtspfqcKJRZQMF2LNMCKxG5ynKH9ULRTqV+irabuh6wGy7bv3tyN5St
|
||||
J8Bcg+4XKLJZbdS8PIrICrHdx3EMShBWnB791ttD8N1IC2HSHBVQM75LxMZgffYN/DYRhwpTk7BV
|
||||
GNgADS6k5sLzUFZU7+olx4rgOnG3NnO62hruj18GBZMCCz7vU0CCCcpAIiOhUfR8MC3MbKvINsZn
|
||||
4L2ybMUUBK30dq3cYkx/iuXebgHErw9tNLwmtePd456nYB5bWVL7i4mfX5AtMr6WgPNaPN2JLw5i
|
||||
GHgBeD5TH+hG8cMDCRVvnf1lLGD3VjieEFJJ25nZH6B6QXueMv9WeWBRH3UWKTUGGx9wuWKMoUHC
|
||||
eO1YV6UkgBixprP77gixEUoL+F9HJrhtMT69P4QBQPevd0tJaOAg5mNwxXvaskN1PrPR3GwkH1Ej
|
||||
JgWyzG9EosOJPcdXp5XpNfX/FSAB4aHPhy+N5a3S1NCjGwI/i2lNHcTgs5ckr96tPoQnGONkR1qf
|
||||
XGdzAyvC8ZRnRwRuB/KSWaX6YtmLdftE07dBOPl+EEmTlnQggQDhTRbyUVH7u2cElLpLtzzNofG/
|
||||
LrbFRp+CgrBm9HoQBmLAjAXdS/PNPvpDlv+Zqm6SSD0F6edn0vCxpTHDGuWb/dNZzOXGn8TwBRfe
|
||||
vgWpohE07CXzSFHydl66N82W+bxaq8t2srJFFxMHUFWjbXy70sizBM1BwzgmWza/Qu9afMGHoKh9
|
||||
LRmqgdb4Z1/A/pYUj7144l/z+3Lm1rCtyKdj4KHpM7c89CcTgxA05MqTFroBUfdJN4xM+r2nvmu2
|
||||
gJuYv6HsOChGJM1dL3Jl1XESh1b6FOt4AYiYsj0ZLedmQNDWfP0CkgbCwI1755q85xQd6JUJyEUL
|
||||
HcOMAq7tw5WY9l+oOaWr1O0HlUC5Ip0DvFKtp2jrA82XXGn7WLmtHNf3F2h5+UyWldtg9H0YBv4R
|
||||
UQtK27ST5t4O02ZMor3FuAuqTAteXx40RJ47BF0beNmgFTtHG8ZUPlrhMS9VEsNIMf4T2PLQiWaU
|
||||
fWLmvKqSWiwPulJWW8GUXVrk8zad8crO65nzFVtWyZmn4P6O2fVIbVLzXMmNWlvMEW4n6QDu8v66
|
||||
5bksmJi6bt5SESFBnH3tej9GnezWGOpOUhyGFLln0UA3d6vjcL9TZHXZHwZUVR5iyv9sWomkFB2R
|
||||
878t3oZpyL3U2aHrk3nEe6QBgVoRnYzZ8SuQAcn/wRtBOW5sl8Kr3hBE8cUXmxQlbM1B7SKASCeZ
|
||||
LFJ7vMN4frzefPSmgI78Nytuyw5CuTwfj9dQ7AA3NnZTkH0q+LuTIaK1LQShSiTY/sk/WRL7BMgA
|
||||
K/KSS/opQcUReClhSfdvQnWkbB3ScdndrFxtWx/kxwa8bJ1lmMxmqZV6NTGiWUUTJVCkh8gevQRS
|
||||
+0x/KmJN8POz3u7IPG4I4U4QU7+k1FwyuoRmfvf/OQHhUrPHeshW6cQLXjIj0yW59XB/MV4Cr5en
|
||||
te1ogqaulQabX2glQw6XJA3GvA9M0rrhbGValUJppmPmayRGXl21NXO7ezCpzBRBNjPBPoVlZOBt
|
||||
RfSBiJxbmIgw+q77yNqFzGf8lpyFsRqbdoJjHHQiFIfgRHgHO2/I89K1N/pZ37j9rTwDzL51gqva
|
||||
6CxvofAI4VzGyCjENlzAMrtO4JRZxXuQ1zl0ZTqgBkR9POnqUAMwx2OPXVGe70xpr8TcR9nPwdMb
|
||||
INTy//+EaFXlNp5/9Gm2Pr7/HCdnsX9YvHQkhiaX3/1sl0wnr24tOQZ1H2qZc0pIO8J5p9W1V0Q2
|
||||
8vJyhe/f1fGnu2XpmdOS8OhUGBDNJvzLMO7KL/wnmiw2dIp0JXleE85iYIMyvlaR40GPmSTOSgLb
|
||||
FrDn9AHpcIbp1iA2o4paHEnrjYcyJfB3NAA0ph9y6M5jTNrPNe+x5jR3o4EBTcF53x29aZwHuNLi
|
||||
arnJ0Ss+GSch3GHAv2maL/AD7SZn7pGNZd4h78dn9RrJRyo6VHHgV28INDff0Haik0Rc6YlT3+ne
|
||||
jWRbH7Onn2+oDFKWyP/1gYYyRoYBXd9Vg0vsru1ylfRUC8oD9z4SMzCo7dgVL3odjr1jBoeOy2+m
|
||||
jNtYdn0B/AWtNMQc3kTijmrb25KqawAzvlEHSFmENJwxEYWBZ48c2nwlAGBdI6Kxx/m4Sl0d5FEF
|
||||
Mk4LXsEVdIqvwlcHL9Axk7lE78VXoRppSDS5Pc3uDVZO8bfBxLCE1hBsfwuwS/1d9C4iNcBOLhcL
|
||||
NmsMc6GZD9PGGcXfzroCyB4SVyP38BcRJnzQ0mraWg+isH8+IK659WHhJA2Ahh8KcNTnHEvVJF/D
|
||||
bClcGYvz5b5ztVVoVvqLwSnurDIlcC+myAXyMGxg9Fk2JlWh+QpMZTCkh4tKBck642j7lv98UqeV
|
||||
Z9+UZtrtWSZniZIPgxep1ww9FJS+ZHRuP/+Yerf7IAbHFgI2d6ne4eZGVJNV+8/hBQQnTrO3enHP
|
||||
sxO288aeUEq8z0UB+0mrBPrEyqSbDgg45N59IgbR+3/JHywsGyFqd8Y3uuL1bShjaLFoLEWI5Mms
|
||||
aIR43SaFfvA12qEL4Z5eTgLBrvnVr++RXWlLMIxy3e3dJYLHOhedhA5yCNWUdZegxfJJH8OhRm+I
|
||||
E3ivA+XIA+DPrko8HHOniWjpx/cHynDzePtOwwnTCeSfgNVjPK7o4BJl35ZK1Nhom6TsykoqB2oB
|
||||
2Pc+NZlcCxggZCXLBuIT4w+pPZJxLhfyf4Tp/6NkaZmPu8Ommlvo4j4PKEQ+Ien9/oa8HmkeeF62
|
||||
TduvTA0/P7XNvVK7X0qddTnatrHAA7I9UVryWCBgQDsp44ZBtMDWh4qjrunjHkTmHOWiIxZG3XHH
|
||||
y4RVzAjz4DfNcqduqlmwvF07c/Va7wKx+VP00XjfspVOR3Mw2X/aIGgLqyHH8bLazkrG8YKkgrLL
|
||||
I3Lov77ps2XuF5z0kMqPhMGFl59JxIR0sybRtwTKdukRww4Y44l/dzI0KQkPHOt7UBZWs7TOZ9pV
|
||||
le1mciwCBWqW1yuwM7iORVYS+JBJpXyyS8XeqaGSTAyFooA9gc7EYLezeRM0pKbCsBRcIzg4wqtM
|
||||
h4q/bqi02FZtO39oJlnEQV6JNwjpMDWh2hNh+lXbdY33eKCvCAunF9Gq8n/qy9VUhiBY7rXHqZIt
|
||||
LLlv2sdqvnF1RQ2oyXl0jtZo9AGsUbdS1esGMQ+5YEjclOJWjPDkFsBrKnrKkYh6TIozgPL1Cm1F
|
||||
anNSefdl8a/LCrqbVQCoGRAkG27xRT5FLW6jgr7JL57DgVKo/sw2w/Ki4m4r2YUKgCcYO1N5q8Ee
|
||||
WT9SCKXULZBUP/uD56c92O/epylb9gAIjVSMFTP3hmhDfeU6vUcH43PUSkf+xuUQHdBCIwaLhADX
|
||||
LR/17eIWT18dsia5MX7zENUxeySJ7/eXLhpD13Uv1xDOymIMMYosZnhTRorjdl3bLOaPVEFV7PxJ
|
||||
xvw8BqJpZ1iTHZBc5zW1/BdEJKO+QFVBlrxWZ4TzM1cbeaKP1v7t8BrovDxLg0pLRa/Iq3b2rapC
|
||||
UmFTrJKzfiVUYaJ5DaZ/u0HPxG2E9w7B6J6VdjS24kRxkjcm6PRQwcYfaG6QRi0QbuDoNjqdSziT
|
||||
FOI1SsZXEXoprKbD93pBxbbFDyvZ2PKRd11tW7v6vW6WcTmmtXXGn/RnZjEZE0/GVFC1EsT2HsT6
|
||||
Lam3g7Vtm3ZAfqrareNoqNT4PcMIRhIrJCZxl57yxYCy8AEqzbWmxbAIBbU9EXVsXdRhB68wz2E7
|
||||
ANmx6Nj8j56dob44K8JKLCSDOuJqADzRAzznYB7iKndm8CrLzKNdRLTPRHgdmOVpB6gA0zK6K9KO
|
||||
hVjcnyIvrGAwJz9JA1YXevgaAnH2Ygf5l65iJzYuWAinbJdkDtq1jDPAy8lMjoHZinD1TBLdQniy
|
||||
hXPrQKidjUoeoHUZR6md/dvVgvE30pYc6ks49nPlVfkI2KAJPONZpFDnsGzaNr3iCsSBLrblGG1g
|
||||
oBKCZW0S7SZUPbj0LgzgSF2tDN7YvqRc1O5HGL2z7eqvFshfbmkMnZvKZm5HfWoi22cnLfrX9K5n
|
||||
u2xwSUVKJsHLXX9PBEMlySxK0+b98UZEXc1ZEeQ6+BvryLE/2Mq2v6lpyXVqK5+g1dKrp9mBxOOT
|
||||
4iDrwo8r3jhFjGJ0F/N+IplgAG6EKusxSExVy3Odwm1JRAEM8WKjAjmtK/GoAu+4dWTtdRvrRmZl
|
||||
GDAGZUyVWxTNClieJ1N71nQFVSomBpQYzotk4+LIAvVZDT+l9ZxIOqP4p0PdSd/saI0n1K9Cp7P5
|
||||
pbnCO9hNj0PZHZvDHO+H3VOB/wXE7xAAB4slGe+TcFHPD78AjGpgePMjyw7loGcrfbXD4HK+XYJ7
|
||||
QJpy1lctoTGASuO1JgbaPmgMH3096szDPGMR/ioA7Ai1GYXpzi5Wb82yDffaEVdaY5Ye2LGQUo4e
|
||||
R0L85IJNjhhAmGO26Sk1FSqtXbW0E+6RxOEgMSikmN9PLCt3o8cpGoLLZziJKUS7S2ypszYF5EKQ
|
||||
v8/8T3qn0azGV5cUnMYXirk/GxdKtLVA6mU6Pn2Dmc5KNOvp0VycGBD2eOad0AfTiyylHl4KqEpt
|
||||
EvH+zOUKbZXV2uFhC7Yl7wbxbg+7tlETWv6uWwqt1km2NIR1MjUzDEibMoB3o5VyJScMjcliGCoX
|
||||
4XXd/HVaIHVQ24lR99A5rSko9Y2OScVvcllMfgmu65LTutSQ7sua87FilA8LWhC69C0H+/LHSKCt
|
||||
PBSXxGA628Xma+KBry9aNiaW+PH387GWfdrCVbnRHV5URWYLqBje9DaOePFqL4nncKHN3p/ROtXr
|
||||
mYFIBzSmohuqF5IsxdokXa2UjcWpyjgHi7ZPTw9R9PYCDk3Ou5U37ke6jnW92gkg6vaewcI/fR85
|
||||
RbZttLd3y8mITstJA0SBVDls14KX2jkG2Fsy2+k8MhRo5xy4Dnazkw9aBxKssK5zlFzyHoMdh0sq
|
||||
loVbNdzLWnjtJvgXol7aFfrAhDLVr/KqJTRyZDHWUKTCo4srfQvLZlW6Ty5kkQiCsOqNm2J+BA48
|
||||
uUnwOR6gFkEO/H07GpYmzc7ENLrdU56VFWZU023aTkm9IIzakbgOwYQ9Q4TM79SzQSOxSVbx9YgZ
|
||||
mHUZccZG7wKoL/7mAPRzfre11Fbi0v8IvJQG2BDMPgOUm2MQtqTAf/M0cezVXiS98mOf8SvxywQQ
|
||||
zylnGO7vtLNmo34Nsg3rIjq7nN/q0arDQCxtUjTDu3POtU/ksSrbQo35YtsOGTwrXsEQu5X0ie6w
|
||||
kkYrEAz2lzJ2Yaxw+zsjBOEaUaevtg+1gcm0OI+EHKvNjgYWRHeHotzFMocF4RfLv+AJEusBzvNS
|
||||
qt7o3qqu99gqjxsnDMrwzz21T16DDjtjmdK5Dbu81/zPjJJG9nRG70AkwvNhuMc1DkfDjsi9a9fd
|
||||
FB3I99eRZnTterCpIHpoUweie5Z8h/pa/pY44xTNOAMGZPKBhoOSVeTt313oy39EUSGrFjn8PNQx
|
||||
/068qJ6wsynsOsNcrUq4fyus8KB1VTCMexFy3lgadzF0rHcgF/k4txNZfp1B09gTGI+LYmypx1RA
|
||||
yPARAxeMbFA+xv++PWTod3rB7p9ZiVQ0DtsdyTv3SGX+OzEW/+F2IzNt0GDaRdmvoyyRaHxzic+n
|
||||
Z5nyo+yV3ERClRtgxYcbXTICyckQFlJoZ5eDHOH2gSeCdxYApOLskOUyAhVJskJPTFg0hwBB3bYN
|
||||
UfPuR2sV8e7FjCQD5abxGqfl7FKgzbyoGHzxmiwv3iQ7b9blmZ0/uk+07eKLFHmVbJbfxRZQ1xOq
|
||||
BBMsAa7Sml3aXqTmDsIYKXj9gHUiTt7RUfvCL+OyLorChrNYCg52aRYuEDzpIw7J80hO9QzD95uP
|
||||
puwpW6d2v+FOLttzCREMtPM2MTqHO3nPRkDB47sYGf1sTDq2Ci0PjJuOCqhxB9NFQwLsirUzca/C
|
||||
XqBTBEfMXsvLos7x9Fdz3w6aM17JHmLYoCFW3oIfjb60JeQTWMbizCiB/eOXoZxePycZkjmK7ECq
|
||||
XQM399qE0i8CoF+5O8tiEtp8UfCJ8eBeGRyS3PX5ruSaHRrObthETz3l+W+u2fiIZwEHtHp7VDZe
|
||||
WC92jLcn0m0v78SUO7uxerBg/4x3nj+PlM26ReVfmG50AzUY3OtNRxaX5vbWrGvfsNKa97yYpMh/
|
||||
Xt5FC0jOv2G/+hUVZSPXINy0gBrP9p77UmeXXh1h6fef+m/bIcXhCMf/8IMCCcBgi0nEDwz1FUcT
|
||||
ebT0tNTjcaA7hNNrWHqaxDtRA24iMHmXUNozutWIRZd3qkey3TpRclVUbbeIVrhwqUmC2nCBQH/m
|
||||
h5cmmQNopZuAxw8KTml8K7RykUChneol+BFLgsuEemo1Ss4nKv4AtX3BR9i/nHQaR0RpH2STbupK
|
||||
0NcpB+x8SS+ALesFBBdTdY9ZcprsSL7N/6LCk6VUsR65yoLRpj/wk0uBDh0JcOs4zF5g2uzKlXP/
|
||||
XkIutlbBSV/XbuFrSKHsvpCVFXXT4DRXesqG2tuYPGiJSfl4p6aXdJK+527CSaK2XCdF1l8AOK8P
|
||||
svsiIJ3mxucvGL1T0mS7YsQI8jQzzvLaaGMgMa9WNryg3+5yJ6RRmowOa3ZNLY/lKoFnk2XqzDaI
|
||||
gYm73PGEhUttv0jY3d3us/3ILOQ3vvBBjQgdyYyPnAyriStCHyWMiXMtm944F2nk5cM4YwFzJcC9
|
||||
EIvyoVP7Bu2/bbW04PCKm98ESCsQkgdaD3wYqgTFGXhHXhDs5RupyFhOoBRHE1FxK59aFnsQKAIc
|
||||
qhwpIX1Piis/mmDU6TyFBHeElasORNzQNXUHzusFpiJ4KQPa1zpvZk80fFtv9v9PpB8h9JJtYFQa
|
||||
pltlNyL6K0aPSJVR7/QdnfqbLzShb/xb7HwzstK1sm7NWx7TJw6ztKLImasEDycshorJ7/Ap7eG7
|
||||
jlfyoYPfJgGvWS3Beyp+hW5qxBQWtP68v0GYU7rKXTUMCQriGJsgWxbSO327Cb88IyDGB3XxeER7
|
||||
ZgkCe54epAOqYwXQiLffaWZNkYzYYGlrmI/sBpWUQYReUNQabAUouOSXaZ89aRTN+LOJcbTeufsj
|
||||
CRDHPTWTgf97IZpuzpcMd6lKM9WVcExETWubqWWNbDCukMM72PKq/HGP5pUaQSbJVAwb3GGeyKQt
|
||||
3RU1FW830T7a7fTP8C3xn+ygC79bXKxk69xi2/7BzYs9Xq7Lb/oBVikpLRi5xxkTuniGwf5KEmYC
|
||||
2vAgtlSvEkEozfPcqA5+lH6XJUKWDF14aIA/uKQjKmcRW1aNHdHqqTe+ZMABjCh4V1mQ/DTdzMDg
|
||||
iIm78CObhooQSANZORMskE3O41fOELxTRElJ94jStoJQ9BWJTbtC2357PSn9EXBbs0uUyWrg+LRN
|
||||
V+8XJGGoe8T7FzASP3sfUvGhGRzS1TkR4wCbdYdqN0NXWLlTEU9xfXZ8zUywD9jsSNwiL7IMBJOO
|
||||
Gv0bkTm1sN57SyVEih+75iRwFb9WgRehRQ23MkHkbXo7VUy5QPtdMYfnBZkeUjq11f+z5TkzGFIJ
|
||||
7Sov5ckdEFfKSKC5QWcB2FzX0gvzsEXTfuPSuMhTH8Z1od4M1Q1bia/bN2Dv+maYF8BUhihBTbOI
|
||||
88uUSkeUeJXOUIFkGim9dQDx3RSAW7nUX3C4cDKFHRJCztzcb3qbqwn97uRYif11CSyUoftvhK0j
|
||||
f9K8TiIFRKX72c1SJ1Wcy87C+OGqRD+U7WaAVABQFWcpE35eCZTd0RhXh1TmfIFcQUVuPmdSD2HZ
|
||||
UuS0A6b2QxdBugYBUIiUsXGwxlH1fVvS1hAVVYEYWgUZQZrdhHbzx0aWexiuNqlnwTv3TUIMQPYS
|
||||
oIDaItjjnV4DAVbeWq1ggleXBs0E9H9pPxkWb7dEyWKTSr5JrYBy0bCQy4MISvZgHD08O5FBpj9c
|
||||
RPKYNOvQJip7LkPTt+gRc30BXAO9YIQwxpSskHUf9mLVkXna7hg+q9dL+W6RcNKmgD8B3WeQwpLT
|
||||
Bp8HKt312BxmREiovL+z1dVW46RdXKvt8Zv7bk7L279OadWIUEn7+YT2BI63AtIz5q4w3jpjTaXz
|
||||
QuFhlqlEmfondSdlVfiUrFFN3IFwUR8wU7kBIRDp7bnu61SfTdACh8/MwiZCNnfKhXQlD0C/CdVc
|
||||
ZBuIR0DdBVfHRaIQV2LTDda/cuF+FRJuABdRrOoUBiCk51PIE+7SxgPAPnwZX9IGTw4c0j1CXOAg
|
||||
Yi8Pwih2Tf6cPzlH5qyAcNwJ43BSbZEE0A2Wf7lQomQHBSxcaL2dzJr3GesCn44ahIYgLunJsTl0
|
||||
F8zf3BPyFIvTej4RpdrPOFOKwpXMT1QNcgGsGOc+zfTeCDXSKiVd5sJWSpxsN/lvdM1xchKc3r4k
|
||||
sf7nOCWOpezO9WWsHEb4mDuRAf/udIfkO5FGKsoNYJnKPo7qOkgpPhXJmfpup/VMMATnj4ZbQhIG
|
||||
bEpRy3Q1ajU+N8QizuuDgGN7KOyDR0tzGl+PVG1eTYQNXYUQxeCZZ+Cap7ftVMQwBBGMwC56QRas
|
||||
Jg4jn8j42ZMv2ODrqNf3StNT+IuWqIYTKbWa/Dbdk2QN58n218/UzZcQyEJdCdC5eKquXez2q7Ua
|
||||
l+rX7rL/+opYxljO9FcHb0kfDzKMmmkSWkK0+S2AY0sPTgTZwI0CTwiPi0X7qfsHNxofSw1fbUxz
|
||||
TAz2SgXqmmRSjI7jfnKXmdJPe8mQr0xe77QHOmqh02SqBGwSzGKPdiXcL5tqiDurHjInuKMjN1jc
|
||||
ufZ5DbEQr4bWxYCoMyIFv2COs0OApcmcfKTci795AahzN46o50T5dQ1675T3rGexlVRq/0vDvsT6
|
||||
fIMVjrkoXY0+SkjkGVRa6I2eDoIzqqHHbNl8iwyA/OdtVNjUV0eQYNHsTvmQv53YtpgxjQPTGclH
|
||||
xKKcdJnSo0XvyU1mOg0HapmU/b61j66TWsb0AFntDaWL1kilS0Kc1vnCLybCmgopHMTJkrgWcEnI
|
||||
Y9s4pIGGUVS0KR5uRYq0Iq+A4DSRsiQDCEF5AKYHpkcQN+CfT43/O9THKoGt4NvhBEdXHPWje1zz
|
||||
8eD123pG8dOHTpHf+T1eIu3cXNFBkz4s7ebTc6y8tZmGZlGuIE9b2rpTph3UAE+fazYPYkgeoail
|
||||
NfwU6zZ+FvZDcOCigJjeKI5cz6Z0osLVnR21NbAOW1w4kpfLw0D8zOuHI4qv8qJX/5u9sMueGBGw
|
||||
2U1ampSPPXTrn7CAgXbKoRLgrNs9TOW+Y1rolXbb+Okj7k1zSXZoozmaoKTiU8B8pJEhujYkUDfv
|
||||
ys4O15UYuI2BrXntM916/bFGP2IZ7EyFIIj9Fwgyr3CS+wZIXO7ECz2tH6Y8hJflfRL7jKOnMiy9
|
||||
3pGu31pjrqP4UGp8peMg7XKrqt6nvGyKHe7epfiHQ3miCofSKikQHyabiZ1Pnil1TW+Llqub5vlA
|
||||
r6D/qSG1NFgItL2wJkxX9+KtI7ERqSSeOIPJMC6SzNXMI362NFKlDh7a6nQbiwdb/gWrCr3f0Ap0
|
||||
/bmXJj+THlffXUWsWzrtXn1NKkoPIwIonl+pem3rNZHXgFGDvqV8fzb9LMiYpGnJRm8ZCCEQV8kb
|
||||
1S99wfGxHwRizuRxcBTI6eaGYP21g0TgTuYiYxLpaW1OzYcXzW4jGbabjFoR9Aa3OFcl4WpfDcuF
|
||||
Resyc9cFIYCLME7PYxowpGBoMx4sIr+ny2c2Zt1zmFaIlbhdTQLwrIl4vjSeGWEICOaBEes28r3o
|
||||
nJSv6aVZK3nIx+7N4K04E76mbFdxaia+c6Ya+kGyK28OaoVDmRVwtfKsgyV4+chPtZYrSM0cym1B
|
||||
OQL2mX+zDjqKWzfTytuuo9ITmuAhnuW+Yn3XvcjjPJog/bKb69HP4GCDD9Ec9ercsVg0fQ+LetNB
|
||||
YdpubK6q24hwJ71KDlQbpz04T8+YhA7mjosOp0675VwKwhnhh3OKSkJsOYTm+jO1kEYQLT0nEbQg
|
||||
CtWXzO7784mWtlp2/NXk8JH1hVAhWhe/gbKz3/Nmahrc9A85ZIAgtx9dnwst6Lin3xaoBmPaMGZP
|
||||
TQvkN7iyQ4mOzcNE4E0gBCSz9tRChPOt5Z6Ol5vOznWFFKZO3U5tK6875XvFg5TP6C+kwbp/SIWE
|
||||
kM+v2JK5z5ZSnvAFD/7XooCEucm2Oge8Q2KlINc24w5kpaI8Y1HIy6AAEEDBrRxKcwpCuNVPRbEx
|
||||
Q+k/BSxWJlB4AHrF6o+ToP/4Oebl7V5xYALuLK6wktBNxM+UC5tji+qQk+U4rS74SqTeZsiws/CD
|
||||
eTxztASDY0t/ZGiim/D5IMEd3EkycibBg3AsfNOMYJgFJw6AAXLGRgOLDXcRShpLdqGnfw136eDv
|
||||
MaDNZdBiiUjsvnHA7gJJNyEaQ9ul/GHj0LQcP+5DPpBK00SRDtbRMXWCKFyM0KUNauLkUcRI1G1A
|
||||
/XqaPYsEwCx3PvloCAjOaI4NParISIQuPFtPGdPyMYBgyFiVBoH7uxDMO9rDkpVRgYWeko/sEaYh
|
||||
fIpWtVaqbcsRoVsb9qcugVbp6R5FyAZSa85tCKQSySdjgPbQpAziiKn27s9/PpFPz4YKIJhlak/i
|
||||
3Y1CBnbYw4oxf5qy+ACxTW2YW64VGnpzF8dcvHwokgsZXDjnrJ2kQsKC39KxEctH99OIB40qfUcR
|
||||
0L4DShI0IKCEGJyRO0EUZJo29LLWOmTnL/AX4/k2nmbN47UnQNTanh4+99pOfsXv8xSeLi+ftFeA
|
||||
k1FdjrlnmMHZWpkmgTGFO49dYotttuqfQmAP/xjPPj/a82UP/Mef2cG6hqkZyoSlkwNx+qf3jQQX
|
||||
dVfKPbz61H9zKfAcX9tP5SSi92mslyIkvOqGUifi50IARPjy/bOiKVuNuO1zTUo8ueFwA+FcoM3M
|
||||
wiNhF5xWyQpiN26iIU5mlqrV7eQqvJNC9evkWPh5l53cy8QVo8+D0HHjoZGzE2vi6yOTaLNyR7Jl
|
||||
Vpb4syxyL7ohH7TorZ0y5gUSBK1s0K5f5UtF3LVKXiSSkxOWMN7nQcf+gcLRZ3TI9TFTprkIdCdF
|
||||
7da/hgyG7U1RvqLQla90WCw+n4AHLoyumyT1KOZ7OsRMfScBgkcm4Xx3xLZZJtrdmnEwHNTys4lM
|
||||
JCDlPn9AqgTabTqReU7YvIrkDA3e41j8Cw/idGhbGT9VvEtK/73O2R2pnEy1OG+l+evLTzhJMpBb
|
||||
EgXi2fBWd7tbWQXsK1qEZqIARuFNgkzH4DEsdwP0aMTl4mTmCXelSmCqUsCTd6ccNGqmgJtvoQl3
|
||||
G3Tk6KBpLNI5EiYjluPryoBjs1o8kTiVvQiwQMdkZOAWrrjlxpR/gpUzLtyt8TJtpcy7vkLL6L9b
|
||||
KYgE6v8+EDIkZTYxdfYSFfWpZEQyJWKK4oOn7BggWwI9Vh4d1U0wd3Dufh3UaMhYAe25dYhaCKS6
|
||||
OEm1f5D89qtFOUL19XZko4hMc1EhYdEvvLKMrYtog8o5VvJyFNciShepmcBqEWQR3tvFW9/b15nu
|
||||
L9ElACg30RbW2M7WQ6czOAfzp3CEJnmmLel+NCmLsnDM618OX0JnQaaSs0eV43I8d30Bj7hjQXT+
|
||||
/4IteD8UAihN1T49CcNlxxdCHs5zu/Joh/Kz4X7VrOaH94q+FsB3hsSSck70wB0SyI2QaFmfdvSJ
|
||||
voK7ft4rRY5HBYaRLmVo4lB8QOD3kZ0hkItmAnUZ3ZQ+ZCztsDxi/60ds6jaQsiZ3205dSez9+Ek
|
||||
qsvussMwQgbBD36zbm7ZzG4pxKtPe1/By7kUzwkIM5ku/D2c/tn+pwAonK7Gl9Pu7YZOfXIegbco
|
||||
MCW5RWycBJobex+3PG4cvUqdrg8vXhL89H9J9t17cu+xsuTy6RN5bw8P/MhJc7CblcdatXfxB4kK
|
||||
PP03awySrtTH64yp6VdzO6eIsTrqudvNvKaX+KYHLvJr8odHoA8MtwrCVlSoER891EWvWCsF6wp9
|
||||
m6xaxY4KyW6b0Zca6ZSfISxRkcyzHhj7mLAHENaIUu7kJpZbgnZASaCPuehUZXsCYJgQps1gSzDN
|
||||
nkO+YecWY3DyRMgIFa9JGE6/7LxTWHarHPpSDu7hwdmQXLNiCv/mJTp6Ciq/vxbk5kyzRijZf/HG
|
||||
8DyqMNt3QdF0ngmkn3IypKD8h9d2kNgHugxzq2ROzb0XUy/s/ZXK8rrxupiB2NMXQdmMbESwafnc
|
||||
8E2QFK0LmFPIn3h96mZSps5YSMiD4Vo6TeSbUZnMXlU9L6UtXQXE+Od+StvENWhaSKB99C/Ayfsu
|
||||
h7ffbg8ymAlCQRSFErmPn9cMHYHmAkVpbhSLZ9EgUXG/zyGsh0snpjsprNQPXyeMoUwHBt5Tfeuu
|
||||
7+vudrxSswuE3EnGMNiBzC0oBGyQqf/ofxyA6vS3a2sM6arJfoQh1F25nynWo6XJpYg6NEa2NQgQ
|
||||
YyJpEX78aQvAoR6ZRBCky9wPT1iZcBxgoMVVtRlK3hMN5HvCrlR+2vTArXhhh0NIReLdxyH0qDOc
|
||||
5cOk23JhbG0MVG/m3hyJu4Jy/ns7ZKtJKq9pEUr3REwec7QawEpsTdKYaExm+WjLSwSy0GzsRP0R
|
||||
pX+b2krNJwxMNJHyNihFyYc1UuhGZOmsTEN20q2q/4aj3MWFgdRmUhn9RlNj8hYpDfki8WxTokkM
|
||||
AVhy4J3QqXhf+Ce5Y3+a6Lih1SbQcLALN2BaliPRlt0mjFZkGpwUUPThUlPhLoDe979tPi70xn+n
|
||||
7ru2eh2w6CfSQDwXwK1/x2o4vQ02eUguvHLbQfn9rElYmLoFtSQiCAKMvkruf10gaq/bdFPes1a3
|
||||
lmFxdSeGThuLrZYEJjYM75uMTQUtdQh6XbVFFjXylfsEXYEFUpYqGWuD6mM/RfYgJfXhY51Q03J8
|
||||
921m8PJeVBm62o1cJgfeDE/dXjJyMXslzMoPIx8y2GLfavtcPK/X6wwbK3WYp8bkodBxTrlTlqm/
|
||||
KyuGjXCEBvzHIx6kJcD5olpCqABF1bUHv1ZXSv/kFN2o5bwrfNBykQ7BMC1/1LAPHS66BpUej/YP
|
||||
JULGv8wToxskB/j9wwd77vI4LuyXfnSJDB0VZnXS/4cZsdwMvDIt2Cu/e5IWE901FQjBFaUeEd5c
|
||||
PM9miCgKmVlLkc93rZLEqf/67y6FaW0tVRNOEk0g3TN0E7p+YAoTvTAUVzcXrmTEjzQEPAYF7M31
|
||||
BvC/voQqZYDjFTNrsI0sOvCl/9cLFCgufcoEsyNhvuUEddTlZPiX0gdypshAZUsI+BkJHy1Nru4u
|
||||
pbYN4X844/xD21B2o87T89UMplfg0SGBbBF+ErrTpC0wEXlkFR3ZAUfLzdVi9XmcxivE6oI/uOJY
|
||||
cVAskx4vUQfi9tFdwF/GXGheHQxswrujKhX/4fFrr5xxO4u+j2cD1yOQDK03ZsU1gmeh6doRgGnS
|
||||
mYoCsgP98EzJp1vIR44PeEtdCIvTkR9erPyJ3rI/JDREGHh5UrUz7YJbedxUHMbBNxxiJjfJ5P3H
|
||||
l/S3SID1/KjgIAehEpwUUCfeBvlE2hOSZO0Y/rpLH/RUg7fodgAOgKK3DoO3AQ8J3HGo+6RnnQvc
|
||||
gtBXH1cncdJYXi+49nalvNWNcWrhB2kCGHCvrxzzdbS1Yr8p0Qzj2PEL/u/4Zn/9dql06Eq9rmsy
|
||||
UqLTzc+jVdcNEwju0hTxM3BoKGwEk5J51oGNfNPPRdMzboA/AQlM9t0/gvDkMjpxybCR7kcxl/bJ
|
||||
7+MJ8IdjRLqbPJtqSMKW6WJj2VGEkAttFijkOAvIKqoRYwcq4dUoiQHYil/nOBEeP+cHNv67Yhyn
|
||||
EVWUSonYV29shIofEz06Ltsn3rcnUWk/xBIlvtabRnlDfrn1VzZCo68t6e0tmAXZB4bU4BaI6rkG
|
||||
hvUgpaJd4bWeR5qRQYM3+9LsgCe761Cbd9bGoy/h2doouHLdak0KkcpUnBvjcp2Z/zkAHR5MQnhS
|
||||
Q1cemoNKHRG966U43kxFP8y5UWEYYlHFjcIpSAZEA2YfZDgo7LjWPwRmZMXQuFLTrwPI3DO7i1mx
|
||||
IIfhCuiK8Fh9TCoCuyYEy1cZgmSkxh8nxtmhB3Ig7kcy8I+RpNBSJL55rRzeURoDHzT+A/+42yO1
|
||||
Ie1B5pYyWXdqRJTMtJbaQVl1a9UXoZIguOxWEb+ZrZZeRdXPKQvZSEGW/8di6dn87mw6cFeIJC5f
|
||||
s924eMNZ2A150jMasjXwaoNd8Mw7gbgtqtDjb7ElZy8I7SLpSVJoo4il1V+0D9cnnJGkPAiFzm74
|
||||
JCNvMFV2fA3cuyPKTdQKd2m/HLxn5RJU+5GjpDUas1jKIkBEWQaz6Xa/x9cMG+8R+75D4D2crNBV
|
||||
NbTTIF/nx0oRKiRM0CZAdt465Wbag2kt+k7wrvQXyVnqhAB0cqjFOylstddzjDCv/uHtkcqiJ9CM
|
||||
uYDGQTo/zwOB3OOZ0yfUkKQzRSmjm79GPGpASfU/GluBN+OB7rs+OmcvbiIw8quXvRxYmejvrKbY
|
||||
BzqxT/9VZdB7V3+kA6/N3DnMO/4VQ+Itnk66LY9YjUsW1Q+jIl40/TBdNe54LPlsrnPNznwcQMY8
|
||||
1yyzmYEX/CaxnzmLIEqYyHqs0lII+viTrKecEJGxgwK5+ORT6OK9eMm0Ps9UMa4kQDwvTBiyLgtm
|
||||
t/Miwj7+F0/MQHgiXV57OAStR8uYy+JD1ef57BnonYV9G84SRFUbMGodlviYdi+iiW25Py3a8V2b
|
||||
IJ0G0caWdCoSiTvhb1YQ2UtBfti8q/L4G9Wh2s1sNf8CmVq/LJeOAD8QzARHxUMqe8jE/ZNRDgmV
|
||||
i+QVZOUq50+LjIAhG48wQHr+UmiYOg5/Yx7FC62zqGso28PL6QVyXcibAoo1I+J0evB89a+Csd6i
|
||||
MZDiL4u/lkTpHbClEz1rTDNytxOasvhoR0/OOcQxw+tYzG5d5RJYnMJGE6a/iHaRshTkU3LFIUph
|
||||
NrUkaD/BoGdhe06zDCcmFj96wlpV3ZLqYAnAwf2obD7xGE9ilvPTW4m414UjPgRyD5U+IYsYT6kQ
|
||||
2dzW7f4QQT5PWqkwxohFkvcGUXI3rI4XO0GeUSktpymeoenSQzEYn4nMqi61a+8gEejbqagYOMOi
|
||||
ltREREXZJ4zIT6buWrMRaq99h2N0H2CaZCarxFDDcRGTP6gIhNxD2kDC3k1XiHSFUNmLBLL526VI
|
||||
W1d9SGjPmjS6S/MP1nKDXIGopzhcXNwBRYfZB6XtYL6RzGNEyqeLRJF3jNdDt7fzd21KWfiN8KEW
|
||||
9TQ9aY2041X0YTlAvJLSDXi8zXXcsQMH9K/SqfBne1b1Y/QRleajnjB9199l5TYwBRvr3U+Vjhgf
|
||||
wNoVB6lcvJhjJpHsaqKqgTvAvPzfqm1WdXG3kpIHi+QyekWbMFnzuTtXSN9C9K1pqeeAit7Xg2J/
|
||||
vmWZHZGk7wuO5pM7Ty71cOjmPweCZa7+PlcahFZHbOVbg1j9jW1XWySc7DTrOAHdsaxs0jWJ2TSd
|
||||
H7sk2/Uw6wJMo2zDQqmAKsDBy7UzahEsgW25jCal9CMIZLlFxpQ9pORWlTHorC+deDbvYFtxAnlO
|
||||
e/Z1etHXchxhtMQjuxjWnOKkAitwQ15wDu5XLYPtFUX4Qs5ARftSgCTdSKjsEoS7oJm+Cp2tru3q
|
||||
M+zaRMfl6z2GTj08PBcscYQ/Y7KWy7qfJ/w1uBkQnmpmVOI5oXUJOVbDjXTEjlQ1K2NCt4CDizGs
|
||||
42pax7SwyrK8r8v9BzLaBhU+YVIm1CGLqLPH7DQcrratucQ/5PQ9qlfCBH1G86RS7p0HFQwTVAUC
|
||||
9eU0M3OuO5InK30HFNczrW5tjjIyB9EJ0aYcPQQAxp03zxIAsVlvVLu1/aEeSwe1FBB98ToDnkov
|
||||
OSqc9JEfqyBWRHQ/rihSm2OgdE+dLJy1UB8isOIkRsNN2dZRZl+AMmngid9TiZNe5fpnJm9wWUVp
|
||||
F0j/nnKU3Mh1dKrIZ2LNgWinsvRO8OpOEmFrVRohRkqQhas51EzDoCVhuiG/0ChKwO48e5l0M4jB
|
||||
XNP60n1FXK4Jcal+FBOdzgZa41rlZrGkhLDcYqdR2keXql88DcYJsppWaRaFLOoUdL+/BcYFQVNz
|
||||
y9xUUjVtk3SK94Dioh5eJ9ghG82CBedZeZHcxm3mzkW7yVOeN8eoo4KR8G3XFQ5Mbr2nU138CG1D
|
||||
w91Tvy5VxeRzUhYEaQ8NIsxVValoRFolFtG6WcSwFRdTob8uClV9zqrZqBcQQn0gY3/P/3o6CpXg
|
||||
Y9dZ1WgcHiugCMWyIH51zA8MKs4ltzRwP5KrVlwALNfuLtKY/Lp/ciFpLjoBDlEAoBgjEAZr3E2y
|
||||
iokCd7IiXujW2wJ8LdUmvPkrJ8Fikn/1gjPg0DBIHD1njgYoTUJaE6Fk8R5SP2Lsfxo0Koxu7lLQ
|
||||
EFIG9Hg6+gUvLCtBz8Wvj/3YBVlRoMxDzg5nnlAGQF2tLk9dQXM26aPxjD5gbdGvjCxtYG4CJCq9
|
||||
AO6r3ouH63r8whNfT/sc+nj2KbpWw78ZKmAqY9D/MUX2jVN9jqvnjKWBOJWaU4SOs0dUOF88HxQJ
|
||||
uX9f4cg5YT50C9/ggfS2Ge2elt7GPdf5HjHn0DiIsgI8Ftb9O7asyk3q+XQZ8fQe9hHtFe1IsRDj
|
||||
PX+rAvI6kupE9gQiZWi54LtzRRUL5Xean58UOH9eBHuSAv7FntUt47eQtG1V9xlpHa32ouZq0T1P
|
||||
PYMKWuqzmIGSda7n6/wTTWs+Hh6h5DMzP/GfB6F1ZHhl3NtrY0/ScPfsGXoWpzicRP+fHy0tObvJ
|
||||
luu9io49Jnd9k3VGX4/x6qU52Mc4e2LkQC9Ph22W9rk2gJfbG/Cpv7Hiv08bkt/Bwng08MFLQgzg
|
||||
8/tboI0oXn+GVC8WTQwI1FRR2b8pMtfD7Q6VGnKoJ5OT9OcGoe1P64kf/uDLzXPiJyXOlyKq37hV
|
||||
0EoOGP9NpnsUnGRJhfeaROPM/eLc/ENSbbNpyKJ8m9tJJFEZaR7FGBq8phMk+FkX+c4hk6egvGK5
|
||||
6eH0JiEfff59R0aecipUOrCV+3zYuDr8QdSofTsQgW/KZre5EMBmZPsTnw2AAILckw7RiPjij1oS
|
||||
cL/5jlw+cmory1KFq4xMSfBW+LImeXbOiMk+NbAKax45DPb0SNHC8yOZQ4oiCgaMR2JlHBMZl3M0
|
||||
d/3/nLUeO34pEr76ky/KwpIyTFs0J2l9Vj3QFr9y4vvH1yKkqI1DRKcgAgymR8QMgJA3qE61Nu6y
|
||||
0mALEhdWuv415BKi4EgcAm4ptYLZYLBUbv4aDHVleQzPsxGtYfHBu3xEiLfW1F6mI2b/UcQNw/2A
|
||||
HJFAqEdXA/jGoMubPiXwMZbJrcBy0UEcoGAZa2+iPMi4LsYvpdHoIn9/HgvjIFqidKyIjSaCmlVh
|
||||
PL57SLF2bm9Nh80xsLTbAt3CpSm8L/FA94/PwQo1AykYwckwVEJraxBigZANqWnadsybVr7pMWZZ
|
||||
YLojBHdq0dh+kW4rPv842ecvPUbU7QpT8g3L9dem7yOIHkyZsoFgRgncxIfyiZl7bRsiUiJWkMlO
|
||||
uZn/1roCPIFZEnFHddciGLtHGP/rXNiaW9D2gUK3KNsAIc8wVsi5hAF+ZQnyX/MZts7igJrDWGZZ
|
||||
cuMUCY5d3qleOAcBHd7q/WkSSdgGa4YCr37GS/tsxuxAKv/jdj5z9t7+SuxtyILtDzRfr1Wmt5bC
|
||||
WYi/Ur3Q5YF6uBJE5KeetHP5CxJKDukyJm82Qg2IIasc3Rg+sDfumL38DjHBb3WUdfZWSFH5oQZ1
|
||||
mGSgQQDFkBhFMJq7Ve5mab8c6XyWQxQq7rDfRFj825QxMVPpQ3xZ9hWE1RaAp9E5Ik68o3LX4NxL
|
||||
rvLo8JuG+QFwlkR4gGC72Y95dhW/21IWG17AANR+LW7BPNIhG1TLvd3RBWrqd0fO/NATfODtTR4w
|
||||
h0Vdp8/M+PKpBwpIM2dE9XIzN7ikYHSqIAAUQX0+cR0LPKEd+urIt+Vtjes3oZNnreEuwTMdTVPr
|
||||
bX591wsO4a9glxFMVu8CxRv6NWRiqD2l8ClhmVBiueOxIYiNr2Z0KnlzQE00JUso801RXNznZge/
|
||||
FNUBMZLSuIhXxpn2OUSlRC++fJw8SFds8gUqvNu9TSd99n8xAnw3/9AZDZWCl4/e0W9INLnn+O4n
|
||||
bdeQp9BQkXYOgc59SUdN1KERzTgf1kEpLfdPmXr+qGFciZRFD0h/DPqwGvD3zIDzW3UTT7Bttd7q
|
||||
DVlmXvBvufC1/CV0520fLNNAAUTOcQcSNBl1Xrdvj/x0edo1ZWsUSd5RM47kff4Il1DERowVKjhd
|
||||
zSO1bOQKjEYUUSpt5jPQI+vVch40lGf8UAw0EcdlsFJ0COHrX7McCvs3hlLaq+/YiOWb7Qe+7pJl
|
||||
8LqpNoRt70euvXJ31ThIQTcMAVFo24EIG68QeLD6brspREdYGIjEiOkLes82tzc2R5W5f9YPPnz5
|
||||
X6eZUGo1g67z5Ai4WTuAN0WpdZRpdFRDflhJpP9RSdEZxUOVyg3e/oAHYYqpa8a/sa09HLH2FLxV
|
||||
Wnlxk9hBuT7P1iUPok0qcsZXzkbNvZ3qaHx1Ogur0XJcpBCXqAKS4gXoQ2eyHeGpTVRehrAbrJhl
|
||||
HFxzMJ7OkNd3kuq4Tnmg+qyBt4RWzKGeOZiyEL9GFFx3c2BIv+CsCxRVXkqQ8vBR2nAqhBsv015+
|
||||
Uz9/RVJXSN4+6v9Un04aIaqzOtZ9WEXZqflAipBVRv2PYzNclTOqGVwCKqIlZufV0HIJCTz66Lzx
|
||||
2n5PQ5YGVFrtJkXaWvj5tDcv1jbE4Ac3cFlIY7DSth2jxqYNj6in39qdOBYuVDpiqjNIRrJphtib
|
||||
iYbdY63MtDaUAo9grdRwWJZJc0C4G7IG5e2SLNrzIWsdNWozTZveY3HjbqlCxJsNXjaQxCPNt9ZE
|
||||
HRScqFhelBtE95pAU/iEb/bdsidlcrUtkfoitd+E8SHE//o7zKX1dQNa7b25Ucp9tKsEBaKE20HT
|
||||
tzckTp7SBg8wFdfOd3bK2H9SlXD/vFvKRBJ03qZETNKUN7aPausqiVGksfrzL7z3ZhyWNB4mAm2l
|
||||
nMjq0BAJYh2GIy1572qUhLQO8iKW34MhoGL9Q3H31LZXqsxc/tAtqUIvoOk/Lw6AhIj4CT5G4FRd
|
||||
d2/SOS1OkzYgLQ3fL9hxw31MCST2lB/xnb8vVaM61IfFT20/rqg2Q2ysVGP4+DfP+4ySHJ8FUKUT
|
||||
Ty73DvSEyIj0Hip6ymORY0esHv7WMa9847uuZviyD3E1/hkdiNYTEm8afQtE+TO9wK34aX4CmwZH
|
||||
0rQjctQAJfxrGQgYIP9jtXiulO4h9ASjgGitPc+kMJu7dFc2VqUjHFFoW1V8WobTzPBRzAshewKd
|
||||
U0Px+H2lfVmnBjOZd3tZOsAQmmpjsujUfC5ddv/er1jW8yfWHBXA1SyIURMD1JOS5ra8nR/4VgJl
|
||||
HB1mCP3s6TXeddB2maKcsYkv0obFZd5I83Xu3q3fl3zlTaudbWEauLOCHQr/UZKeubiyyA6+GReW
|
||||
Whxi0KsPccxThPUWB1IzA9sZVE9S4xEQNQPRNljjMRlTDg57mL33jQ3p+XvjWOlk0vCYAtg83np6
|
||||
skf4F4wwp3bPUGeSfLveSoh5+t9AaabDMpE+dVhyYEWzB3M4JZ8WIRHw3qcZxc0EB5PxcorKMuNr
|
||||
EBYSeXy2jiJMhyFHtx3tTqf7XrSsVr0Zfit1mVEmehc9sKtFCpl0fYkWGCHRxN0dYUxFyeqD/CuW
|
||||
wdb7nP/fbzNKqZtqYPU1wyvg2XlBDsx6P5r/Iq1qNvnyI3t7sjTh31C46F3gJ5pJs4JZHCzN3sEi
|
||||
U7ow5zN+vIp05kdZoGTmjJ7SeVVTfDN5PsXLAqOqcxkj9pnr+UMUFSXcAEMntATJhqZ29GaPsF2t
|
||||
VjR68svXvxIeya4au+AXTbh0hVvhyqoz2nk8opspD0LWUF7E9GOzwgc/wHLbhGUK5odOVl3tT59r
|
||||
zkhN8vQKcqWazKPl/+mSfkf84Gl0YFVjVjjTCGEPVwcjnA3ne39Yje/UFxXWamylLOVzbGFRegzU
|
||||
czpR08vVytivFFBSzSckZeRruYrfw8RADhJskvc7soNAs3CsKfRBTcfKiQG2ySAx3tOaUTfLlXkJ
|
||||
ebw0oUtQi/YVj46ukfyu6i3JvpZLvb6YPtpPYKYEkYsgBey+XtTswDrEOxkJ4cc6GZ4ZZSKk4b/c
|
||||
SyvQhqjFXlMmRQvV/olbMthyEM3wDiTpSTPJMBYHvVFFfA5gOC/y7/ywB5SrPGGoZkdputfHamnf
|
||||
dEUwet4skE7u87S3dPyFNhe8CbAhrO5DeyB69rhtEeorGRtNEi1WluIsjLU4TNUBWoJI3DuWM/Qs
|
||||
0C5mYFwFuozJ6pXh5npuEJDPB7JkwRps4rw5XedxDSmB6VP4hBpBRxQmd4xC8ZyXiwzBhc4hU7u1
|
||||
EIkQn1EEQv4w0KcnlH1fB4+LR8foLiw180OYxm3eoXFtXzljQVYDP4wLIrJ9RD2DWfbdy1c6x/kX
|
||||
pz6uEDnf+0+GdbGTe6KiDXJMznkCj4dJ3OZpifVs0T9tn6GrFW9Rb8pRyING/FgRQD1d+F8Pfn39
|
||||
3/ZCONKpz3YkvGxJMvOQTQoC61pRhx9rE3Gfo+Aq8L5v5Vv0s1GP4X3xix/+GxgC0wmSpWm1I23g
|
||||
D+HycikuG75KaWPePm/SNLYHdxX/VR4BbAUEGAb/xWnkvLWJB0fzGSTxhl/1GmhjLW9udvluyxRJ
|
||||
m/4UJV4enUfjaDIgby6rru4cfHLBhy4I7oNl6H6T/M79NYmznoQl89HGzIgIB5XdXf5ZAA2AQq1M
|
||||
PJlRuT3CPnGXM1Tz5Kbnt0AQ7M6ld4vAY8cJ3VTc/oKpjLUnLCu6Am76oyTbPyY7yEAX1PYG1Uj0
|
||||
LF8l96dzyy+asUTNzS/nBSnlgFXr7+eU199H8a9tcVp4q9zzC7uPf7X6cLrjgsNtKw3+uICCq4bu
|
||||
FK4OCELOE4wpmHr6N1z1d4D675d6KJ8U44EC9CBgQrTF+M612vVftBYDxOw6yLt8caTSFuE+LdR1
|
||||
X1bHSkabPPnzkgt+zJCrovRoPqjZOyl3SFlxheIDRgKuf/4V5EJKaJufglqZRpmK65w/yX5X0iTA
|
||||
uKkyl+NsNG/Ne2jM5aY3ZVfUTW1NWj7kuxIYwSTVKBvo9GyZDQlliU3xtgRwLO6xSCmyVzpgc5P1
|
||||
xen4DlrAbJAlTi1u2bKe/sM3Z00p4s31cI8T6U2T5oslgZl2cUo7YqYRoE81opniWz4yLhA2Dy+/
|
||||
HyG1hEzHTR/8sgawdVcWR0SCNPSc95Cp/DXNdwJn8YsoVR+u99Pbsplh/LJskTAALBQhwzvnUEJE
|
||||
ANb0HHOQYlh3AT57P/9pnbtZ95cnTzuLNPqjyGyZnyFVYZHnabwQlr25cytgTroS6i2AG7nyi40s
|
||||
2zVvV1JTYsjqmpq4pfxzZPjq7V1A0rvDiHc/3vtAGxFfYXBb9PpoNbcCyC8n7Vc8UrIJsgqIRU5i
|
||||
h+bNzvqjbqL1WniZABMu5kBY/V9m4a/cTjizzHP8n9Y1jTzoRcLXVPHd/JEC7PJj9604GFWA3LTI
|
||||
cSb4heaSStD61FJOCLtb7VmXu00yrQ4KEGCBaqeBaVelmXwDQUqcCz2+4u8eetyJj6JM/Ws+wOWY
|
||||
P0dTlU/LFd7eVcNWiXV2OXzFFm+qzRT6NIdM7daQu2Wio2bl+AneKdL/G9dX9EuYtWorXg619zk1
|
||||
0zDbNjBTqh+cdLmz4AN8V+/0RwdNL4QHuGhXUDasfmqIfKtIwMUU9yp/YX96d6bEaZHQEsEMD1xp
|
||||
HmkKYPKJH6+8f5RayFXsGmDlBVrOI4GkntcC5XKTaKspAeduA0/7Q5iSsMe7Zov96Re917qcl0Iv
|
||||
TvMZQiYzNZg9JhjBCRQDUT93A7Arz0Qct6KXriIfQ0jKkatxlUp1ksYpc/Ufw2XGg37wlQOJca/q
|
||||
NaABsc0Yleq3UFH9vGbhGvsQ7+sZbOeyRBflJ5ZbnDU3G03gs903JURzqwkagdSAixfZ2EO48Mxi
|
||||
LL9Y7uMYwm8zKO8v31F7ixbXANgrgVsZsIrzoIJTV5XXFSJvvA5KopJe0oQ74iX7cauVLV++t9Fs
|
||||
nSqwAQHTKP9JxMn7/Bh3ET1CP87w/Dt8at13iEuPgJhZe1zBQAMK21nxQRWF6zbntJ5E4OOnJzcI
|
||||
kQsQd4PIeNSM76f9RlmPvpRIEK2tJ5Xwqx6CeCIzleBqQYuGvOes1dT1ZUAiKIOQfWRfkkxZEaEj
|
||||
KEaLi5PhVUaSrxaqw5FX9s3UO2hOowOwtJfkB4PnOhftLxBKQZIPJIG7fgVVsaWU5OlHpDGM9B/L
|
||||
4adA7qXZZ29ewpd2iKDF5KMiC4rJKEP/KdbiGKmCxXIbj9a4UizfwZqA+qQYs3OFHHA/dlMoR2Ze
|
||||
/KZGem20u/jYhTcS2G3rOeS7I+W9gXdmJMpkb7G5txBAM2sMj44vZ1zecbAgmvN/OeOWGqBKWL1E
|
||||
2/MCRhLBjRQwhXvNmKP+LqU5bP+K4Rwv2AVWDoSwkNCcHzfza7pfVOEI0YR+TD4UApzJ4rg1horR
|
||||
Qgd3Zo6MSHC6oU6kBhymhtbwyNf8odTx9wdojVBJc+JZaSPqfpt97yPHD3q1PGqUsuH6frVdmFm6
|
||||
gSG+J/2fU8vPeIiKYapajLhwJXUDoi51hhVHAX9I3896jDK5qpMd/OHsnbCwlZQBUT1GZPmCm5G4
|
||||
79rov52QFgA8gELhAclyybsFQEQsn1hZ9pOxPPKmcZTXrudmuGAZBI/AgWr22Mx9+Zj7gzzCguSD
|
||||
G8JwHaI005BNa/jfhrekpDC7lTZ3vYTEpjpfiVaAJNv1/6uQEm0aO3PcGl6iKgZ2sKYhL8TiNses
|
||||
+ye9YdQE94XzppFJ7USh20hy3YxNAqGwXHvbX5wukjh1RmhNQnRyZjZgFZoahzoZwT4KCfNzhLW4
|
||||
zdC3BxNfZbTz7z9i7oPztfLBkjYknTHLZ1jeCBW3cU5bcFnCCi7iJQGsTHUvMq1HcxFOZeBiJ6ce
|
||||
rrHtnKpFnE0dHI/6tZr415p+f4S4QmCKlXkJFQYg2ChS++NuL7DjMRQYYnOt7q7+6cIWaH3rf8fF
|
||||
oWxvGb4oZ2gyx7Z3FO8879pk62NLEHKZSNUZqb/JtrxDYjtjCNy1EXEZt6CQKNigyQg+fwcHMmKW
|
||||
D5S/3biYZN50sp7pQFaClDOdrIidixWI7dyvHSC+p3A9CCf0uOmHg0s3vBvgqevPQOBpfYnU7UOU
|
||||
oK/rfB47YdSvPozctDPP9apAn/4Prbg6ZmN85VBi7na1e4R2VqwRdhJoSke4ma1YinNxT19iSG9B
|
||||
CnX4MANna3UWeQS0JdnXhZq+21immVA276Vmyn4fIA8aQ3+L9Ez54dONNaWvIM1/AxTvG8GdMSUl
|
||||
sgBVI6e0l5FHsDX4VJkI4jRyYmLQ9SGd4u192UDgKgURihBIIyLQQWedaZEQ/X76K8T65f5wTXxX
|
||||
16Pj+KkmMgbDLPxUXwNu9vosOPbqN1lZ394dMkMnx5Ojh51woDqwrbUNolt1GBJMmWDkW8/q4ji3
|
||||
6RntUHLmypOuNkaDfGwfoVw3L2ZokikP+24xz5rAcI3BiPac4S6eddWsQy7eIjXNGQT08LhCPvfm
|
||||
6XSbPsSHcFDQ9EHBEaPG6SgZXxlPj2lHUsoAaABCsFoYCU8uzDKO5+4m88eh7M5tzElsswYuKYSj
|
||||
cs0wv1Mx5kGa9/SevA/0yCY6OLtDYy83IrOSd8ZEBFKZDVmSr1NZKMV5RTr1sbSlJbVA25jX6yeS
|
||||
DWB7Pjh0vrAhLk/0H3EvbekD3kOqFmvRpstwb+ahk/G4ec6c4XrT7PMzu3LpovrFKOoprGG9xW0L
|
||||
js1ret8734v+YWZ6ym7MfS7nVZJXtrtz1z8OVEYr1N+Bgwt/evgveq6iIfQjGgh/UQyCRluR/XnL
|
||||
fp3XsQ+2XcAMN+4UCQRuwnJm0cKxRtScY2L+k4Mv1WvnSjYrMC+Gx2InRKLbZ78YrlihbV5SNhGz
|
||||
bG+oM2hkTvd3vM4NA0sHUi01TlIyhrZCaJALIaN7IpqS8hKmz8bFIIzv3IK3EYIaSlg3Q2O0g7vJ
|
||||
VVB1CscQC1qc9CR8VqpM8rsQgvw6ZY+QH+/F/JUn23SrxJVLXYEZ06ExZJwNbij2KxuepWsRsp/R
|
||||
dRnv1hDK8cKFvok+o/t85+46jaOfRu2xGKOz95r03CKzigVl8hClUzRd6bciVWAzZygYBLzfyg+T
|
||||
yWBlky+72Tmq/XiLKd2jPaX37h7mzXcljpvWk82Q0SqyhMd2EAZzS7LQXaA8BzLsDgGpaJiMM2jG
|
||||
AorkK0BTQSyLtutiAz5KSN1Gp9P+OA4w2ZtTBtgQWH9Qju6DhdWqsgUV74B5H1iGZjDtC/Bmf8ET
|
||||
KYuSsp/DOwOmIpE12ZjEXsS/yM+/QNW+wJnC7MBZ2LnUvEOPf2hUnsPQkk1hxZG5S90+tm+97Las
|
||||
c7AliaHX9zomYG8+hLce2fU3DA4vIvohN1scPkSItrKQWWzos36LwvqYdUVwBicr4Mba/D1bn/6v
|
||||
XQ96qIxQdKmT+d2RXIvGyambfc/Hp6745PHNrLO5tpGWgRZ6Y9nsAbCSJ927BcWzttdJ81TeR/dj
|
||||
DRbx1MVssg+47lOkLspmDiKF7kKjtwEU+jsM9lx3RMcIny/baIlteKgWbC5x56jsHlD53cpx3zOf
|
||||
pRnZfFaPC6zSgUo78RN3R+R6l0G2A6XikLYSCg4mSHCRATt5qLuHd7knQAPbXIUebsvgr5LtX9Y5
|
||||
SrVxbrzaTqZve3PrjFgeh2e+1kDHzV/Ednt+Fkp2UJI0BYHbQsBufNkLXl3c3ehFN1bsBGfknDmC
|
||||
yd+b9XQNIYx4V/FtYweSgFDErb9KlRMSreHFlwRpG6wgqjrftzkPlPHtZuUwqHZl/hA8f+xx33tv
|
||||
SYO+Aoxw/RBR6cUECiUW2alV6HGg62jhHNwMPT7SMim+J7vzaE70TOZMbQXIj2aZs99FbbJFMgaU
|
||||
b8KCVVbHiG3iYmN1iRvR7rUXBoR418tvkiaAIzoB7law3HbZHS7Xdmk45de9ua+YViuWKQ1qN9/B
|
||||
CJCjnwX0H0nLBZDKbBzYuMJI09/S7YMToyoTWHBJgrc1BPC+ypQny4hz5tFmJOCLUxI0VbPqmZr5
|
||||
tx/cMnTewjmi1IA7mFO/OU2WidNxzgYBX+82tdhdr4cwuns2Ed9WtY1QVKI5EyGaaQ6KkObQ81Q5
|
||||
Yl+B0KXlO7evIF0sOu2JBLScKkkjUoQaGzhE3MRvPVT3LAmX6fe7sHY3J9FaEzuNcATvDrvtEatW
|
||||
rfoM4J2/kbjarZ0YjZq7LIjtnKO8Ud0yZ3DiS+eIbgh9mn75OTJQNb5ZqBNQGZVWGLT6FQiJPMO+
|
||||
PqQAkOk8uCB/dpyecbFEkAihEJ3OHUWoYV1SoaF74ZDoISigOwfOikJdO/ylDlT4MakumyNy0MKG
|
||||
e12ARU8LXDzUi5u4lMF0Q8gj2T1kJ0IFxpuVhFyWcrNEyPKjhY/khwYgCOXwJQ8V8s6aOeLtAHiN
|
||||
qaRZCROMioh+xXRoUsbp8BcUWsRavBACWvVEf17X3SWRxqwh4CD8KmseucewcwyJEnylHn4ZQm7Z
|
||||
CxQv1Br1W+O60/YG33WvW0/mTYw232PT8o1ABM7ynMfTeqOuj62C41GXgjqtjf/TPjfKmqZa7lIQ
|
||||
wsrQ5ZOwTGrPWqrrNVC29ASKqAXndYN09BHBwVYUkDSDASvlprp1x7GgitA7T7+RU07FBXM7a2F5
|
||||
pm7nBRJCeVMrBB80bSAePiaNnJAfw/rMALZF97+6dAC40Pv+ln4vtg2LbpYVXf6zvugvT+6j+u4H
|
||||
N4Rms7l2ORnbXiBDd0Fl9VYe7p0t5WwCaLqHd7NicbQG/cEW840WXg+6zfRkVLHX+zweUOhAUryH
|
||||
F2ZQ3Wuk/AfIZ8gEd2MyeiSW5Lva1oIN2V7sZClEgHyEfd1O7moNTTQJodplKRHXL2yKhp392jiP
|
||||
7m50XUQSYCeRHd1wbhbieETBWpCAyhyPu4A6H0kN7T5RUZr9VIKYLWW+vTe1cjwcq/Dmsgp47tTX
|
||||
2+bCb5TN4kfQFPDjZoEFlmdpprBVMVL7pGVkTGxhcBdOrCFD68T1rlfPFBhN+NoXopLrHSg3kSOn
|
||||
c49A3x35jQYbigVAaMAflrluiOVgjkzpJ2OGIInZHcVLkWBbBfCh7sBwLy4jly137Ird//Fp4g5+
|
||||
Uu6+uxXO6gBWqUwEAPm4HD975+5E7iKAQTjjoqXBF8NBq4FriYniuUyt0/0qUGEAhPBEskGu0Hun
|
||||
hV2Gi5MMBki6tl12onCX4xIPOSLFuv/K4n5sKGrzm1hCiFL1DyLjkUxUvZ4/3W7jVjeeS41MncXw
|
||||
MIMtScKx/yD/pvPlzojXs3EG9Co58Iij9YlFDiuZeoh1pnYHHJge+jhT6rhgo0ajDmXZfopZQ+ED
|
||||
oN75eG1tjyMaYA+1GjZdreUL08CLQyZ1BtoW4t0hv87n989p4NdtAQWk5GMOUhwMRj37FtyyUkRk
|
||||
zSborNkpSNoBAvMEYxzNG6HAUa5fElzx5ZisOp0Of1aikWFqqJRAwYghvr3dSF8Bnk7wXvjl5jLn
|
||||
bXHBaLUchTZUTE22mpxQUR8TEoeMzTJv+QAsIQ/i60NZgHZlrezFkIPUb65otJPTHfpILrBNesL/
|
||||
ilO8K3ZDLH8vAnbfVqbfNkEE/2piS0JornbjTWT4G0vgV6jkBGrtvhyVTDrkoF9KFmwaL7wFW3WP
|
||||
uAGggFejuj0RIw2cFfKSfV/uPAg7mQOIlimrqEqRUam8KPYJryy2c/kLGMrIvRRB7S3CWGvdxUvf
|
||||
/GuifAn2DaOlCi5khqaElBVOOoNYq4Q8I3Gpl6lRX8IZ2dOGSPWUjhEvtyYITVhJ5RIoGy83QUNi
|
||||
NpRqHR1Vgsfq4px/tjuGLKwecxrLfqGzTvdvNz7hpZtTRMYVELUEta5OPfF5XQVIC+oZvoNz4+sV
|
||||
Sw4fvJ7EwOSeHFUU/7BDQurA7TkmXbzPu0GruUq+0pTpOeWvT7jxaUvpRQCPWVNkf/EFSnkUjbXZ
|
||||
+Q/v+kEiYE4ToolJFNK3R0Nwd+rL92SLxPKBizBL8bNNepj2W2piEJWTNVcg7E+gq8EX0wPVOk8m
|
||||
/gbXwRxR+R/Tn/yQ49X9liDGdYg2K3p46NXWnpberQLuYqlYwjJLrU/GfWuNxIbHE+6uBlJYVQjU
|
||||
FHnJUFeCc07yXq7J9uvBXq4=
|
||||
`protect end_protected
|
||||
@ -0,0 +1,501 @@
|
||||
--
|
||||
--Written by GowinSynthesis
|
||||
--Tool Version "V1.9.10.03 Education (64-bit)"
|
||||
--Tue Aug 19 23:16:31 2025
|
||||
|
||||
--Source file index table:
|
||||
--file0 "\C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/fifo_define.v"
|
||||
--file1 "\C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_fifo/temp/FIFO/fifo_parameter.v"
|
||||
--file2 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/edc.v"
|
||||
--file3 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/fifo.v"
|
||||
--file4 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/fifo_top.v"
|
||||
`protect begin_protected
|
||||
`protect version="2.3"
|
||||
`protect author="default"
|
||||
`protect author_info="default"
|
||||
`protect encrypt_agent="GOWIN"
|
||||
`protect encrypt_agent_info="GOWIN Encrypt Version 2.3"
|
||||
|
||||
`protect encoding=(enctype="base64", line_length=76, bytes=256)
|
||||
`protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa"
|
||||
`protect key_block
|
||||
pEDFkbO9CPeBHmxoSjfBM8Ig9QaKQoTtf/hEa9XTrNklNc/rtLSt7iBJZCVj25bVLxfOCCZCjH6f
|
||||
FwYOPkTpBXUlJwMJAdJFLBLgM2fg8perEzA1SGjn2Cy3mYHIqqjvh2H5RyDJYghFPgSqCPFsCb6I
|
||||
8PpXK+oqU1UfJi1a6+9GeWsFs/1mmhF86YGIq3l9Pn/cKzxD+KRtBKNL0J4iN55NGZHC9J7yicl2
|
||||
2Pwi1sexwWo2j6KkiNbwHBa2gUFLw+p74liX18GKplCfp9RVaR8wpqEgz+e+uOl1TeuR0H/J+pc4
|
||||
ZdRpGJduXefh+6e8HqlAw80Mnjac8vv3gUKQ1A==
|
||||
|
||||
`protect encoding=(enctype="base64", line_length=76, bytes=26672)
|
||||
`protect data_keyowner="default-ip-vendor"
|
||||
`protect data_keyname="default-ip-key"
|
||||
`protect data_method="aes128-cfb"
|
||||
`protect data_block
|
||||
Ui6eM/iI8GM3oWjv7qcNG9+HIlM/q19t7rBZYgbEgznKJb+5Q4x8So13P4HujKs34OVePTN6mPt8
|
||||
p0OkJNwvtbx68pN+xXCgUu7oHdBbVhQDa5P4zRpIfibumScRudqo3MUJ+LBC9QPIiGTAVtvqkFZu
|
||||
n8vGTp2/y1Ywn9SZPqVH4QcL3obF4dHyIdAF87mqTPPQq/uIJKstdig7ixyB/kBwCM7fBT2QAxmN
|
||||
tnIIHEiVqYL+5otL5jZvzA8CBZ2nuK4y/gzolCVP+iFJV/tpaIrmeCPVdAOHQt1UggFc6xL+90hZ
|
||||
FB7qVqLE8MvfEN0LhVXqWORhaBvQsTY3QjaxJU09d7dqwcvjl/Hhiyud93B9/fuI0zGWdZbj+bc7
|
||||
t/EbsTnGv3x067uY6rVo2/px9dfT7Inn4fRikSJ0TtNtQW9GEM6xYbCUuNRZLxbvCtG9i8s+l/DL
|
||||
kI5xO3BtTc/T3KIJEhg2GutAXQGASMeo7p58hUdVHFcg5ow7EN4JhL70bGr0ve7Np1y+pjFJs6zr
|
||||
u6knnhkU2aOpk/lcT8zJS+ROqGjMATKv5k7ZVXMGastHpjjS25pboXuCxEh/YHuTdFoZM2RlytKy
|
||||
2mSAEOA14i1lqHWgDvzgM84ADzVP7241JIYnW9XdVY1+tHbI6fMlN8h8Vwenvxl5/Jtww7DnM6Ak
|
||||
eq2lgu7kr/yHGjhD+uotDSUEsJhGp4QonzFd4BiXlqSL6/RBqzfuMfGNc5dV6PFkzVRK3rFX+ftO
|
||||
yA76RMOegx0UPXrBUjngoLdxKD5mzG3qL+1g2i+fEN8GyIH+dWG4u6QmYM9v8nwvvaBbnMhIvF0z
|
||||
V1k4r8wxHztRCTZUWfJoWl3W9c0fV/Hmq98srm4dsm/z6LE3e2/NTyx/R1qM2YxIcvTF4RNYl0n0
|
||||
BhcQUvX5sDPxKF0xy/f6zIyQR7WQZQ3QCaWv0CtnGndMY+itH/eyBXoVHJmpQwD3ZsJHVb4e1XSo
|
||||
MD4s/LMZmV2sT0QTsmhf3aT3GTJ3FdwSH1JLbcBd0AakCAyic1O8+nPOIsLpx6fUvOCRzThwFt0c
|
||||
0B0akuMkg1h4i8F5flTMgdy1AL3v7vZ0SxNJ+RuKCW49RaUaPwW8/WF/giFkznbUlmF464z0Xvfe
|
||||
2P6u/oqcHjcv5yuG74A1mQUc58PS+8gRqW67ms6oq5R6cf7BdzE6BNCbx7D//DuSEIh2IbCM5DBo
|
||||
7bPJjtuVN3zNcbgcePLhST1up73mr1otS7v+svfX57MBRpHJq2ODHAii8EQxDb0obLQWPA4cYY/U
|
||||
4gC3aYEBdRGbrmdRNbwFXVPLHhFkoIOlrh3/P6LInMvMVCy+M0ym4FZU+hjqS8B5u4Q73Pa8M1Zf
|
||||
5Ch8cTKFbVpyVyaPbKDjUHM79QVlyT2SEcK3V5kQEq7+CIVMX9Y7pU7GBEk+uUhOj0CaTZZ/rzM3
|
||||
aMd4lC7T8HUWT5adAiBExQLBGYz259lf8KnGybndhzLCl+lOg8Ysw/W4JfpeG8xyDulQsqwTxQZL
|
||||
vl1weKe/7T/2pegXQ0f/sASrLrs3BB1zY8P9M8/p6Snyo8+StfxJumznDYDTSPhTIhw3pCjTLKpM
|
||||
/spEFrwUpwYhTBuBow1kd0tRuwqYwzpISJV/XczqzOFgFtLJYvkTbIfDzXeIdU/6WJNlrw6ybefn
|
||||
2S3RpfyokcEEooh/aqW7AhF25rx06OlwV/92LflbSmyTew3jIMmk6Qi+bv4ZCXL2DtqEPWSTmL/+
|
||||
tVJ1P544kqVkkbEGMbXaQxwgnmmEmI6X3O4U7lo8d4lkQGjL4Cls60FoapJT5LAlGM6cUaeCMf6i
|
||||
/QX3sJhVNFZ2m5IPkMO/RUJfEL7ijfOg9ZbVIBdfya/6vaC/OaelNa7eeal0HuQEciMMiJdoZZQ5
|
||||
KhFdYdesaxY/cwCBkiLTByQu2TSsztYYnV0Fm6ACpu0rBhRIdQ+7Ii9hXJzsyCEixyR51TaK57qE
|
||||
XeuirfRLAj6CpzlgraTgUrZLGp6iysX2k3hTMBvUOZKwillUVseUgAygO9KKP07rPERjOmRUjSA5
|
||||
xmVzdh93C4nvTLtqqSTWcsslhU7GRVkO4MpE/Z4s7/+mmnc0erCopyb+9vGXX9wmwMeux1JLsi7H
|
||||
Poy1ObTRr/95QnmEqCwvVSVs5tbkFb+9nZRgMhTtjCK9o8Fg4gBMjDwO56K9ZG7jgmHI4fSMde+5
|
||||
H8QUXorB5GLn6ej522XMGHCdH9B9uPpA16xMQWrieHMjNd53dX+xYnKyN40kWGQo2HSr8besdpJ6
|
||||
i+nMyGIleiUdhTg1z8rmZSNiv0cI5a+DUHYdmMtW4UsrhF6q1PYBeC6DGNe4vMRkw6BpAtzjFGMv
|
||||
dy0CdT5LBthRxRr3NUXlLP/Y9RxptpfOmMIinVlt+AbN2kocLnE7kR2lI+gr+St14BjT8LfhQilr
|
||||
V+OLBxZtdMIh9Uah91afflDHPRPLLB5MrHE2D/cNbgzTLy0bjyhW0UeHZDX+x6ixIMSwfD08+5Dt
|
||||
jdnCn5dm6Jawaqq4bwsk7hkOdwxzNrcJf0zFhCdk+Mnb4bVQvFsqIpK2XzyoJRNhoNJw+7PqMsyH
|
||||
APoAR0PaSW2ilDRBsGXSoaGbYdXyCmXrIl4EWdXJL8qOrrfcN4upvRwfUj6WwBB9XswdJBVSl0Ep
|
||||
QOs3IuMg802m/MgI4a/aXlT2VRdNvcn5OOzeh1eW+tPZ8l9SLrJm2K8naF97UeC0u1oQViezANiv
|
||||
oPgyhJZ8wPKGnfFB1LG+Md80wjVhT/weSR7kVtqGWj5iWTWbF11znHW4gBS2syr6l+0yNrn8aIvC
|
||||
vHMUSPiAD/y6xauqHP93SQS3pUn5kSjqNb9m0EE73nub89E8PcalEH0U0HZOE/gDE60a3KbrNWOo
|
||||
nleC/8rn+pKrfa0GW/38wqTAJV711QjbrJ+U1Fr1SXGCxcrMHj/p1WidUNf8tNboLfSXXUMNVS2R
|
||||
Ml9EfV6nE4NVJSaNcVGPWBHItDhak1YptTixzGxyXBDa+ABbt8z1z8TmHfwsr+2Vs2JyA/kLMhKW
|
||||
wMBVwycC5C7zSOZ7s9LSpr42+Ou4aX6SGI6SkJLQkbasu2MC/pjHd3RckVBX/VtNTcc8OYwOBJAR
|
||||
V/e93dLtd5jhrEM1zk1irpxMVpJeYKjq+YP5Vo9OSYZlUK+byhy2Ebjl8uNa3eGdxbexSUgtD6HM
|
||||
VnkI1jLqTKQgNwe7S9XiEUK74Bpd/YrVmmTULU60Jnzofdp30UPtrtMFd70E5kFs0LALVO9hH7N3
|
||||
OV4KDqNxbj8Da3iUm8QWow1uAtWEM1LOsgQrln0bi4WULTTMYMX9gOfpRLv9S8GazQYanc2SuocT
|
||||
bpAZZQJaP7wIP4of0tQ8TMu+8g7KHBZCtJzPys7vcsI9tGSOe9JbfDuZk16jJoKlvr8c7ZU8an0w
|
||||
GXvFz1Fxj6HFC5Xh/VDP5+LhjOyQG0OmnLneijeYqegmsr6zDXexY5PEgivYbwznOniqu3Tz1gWn
|
||||
y5ITtgwe9iKYXTieBXo0yNktBKzEnn4NQ5YMpi0cZVzYRwEkNaSGaV/qywaxSIZFD/1sLEY1o2Rk
|
||||
MbzpI150FdcGZgEI3+/FoBHkBG/63GoCgjAgqN2CImPLAQfVNIHOZQpqsDLjFJKNu5gwr+7OTnbW
|
||||
INU2JwUwxkjowRFz9hLbFewkbkQ0lz2cA3Z8oVtDg0ck6ZJn0rmVeHDD2FNplADcdh8PkqvIGTWt
|
||||
jdp+49A+Xsv+53dXdcvtMVr9wsjfOeeq3kscD+IXgD3Bj08Jgi4vW5RQRgRx1b31lxMi5iZgB6+n
|
||||
RlGKevdet33OYS8KzWkIJ8NsopHT6zZ6T6/HrF6bvusLZaGaOsY0YxLVeMALZNfDoXPAwW5S8XC3
|
||||
Y0fzUjuWKeQ9lPoTqMwwm+y/IrUPSvNnd1bauZ2XcbB8GlybvEq4J7RI2tcpCsacVMcwm1bgcDhV
|
||||
ctPFseauOP18az3Ca5jKqPFE2tA/FvM1RDldV5WmGJ6ZUo6X1gIOWOqyi0xzi1ry6AbrWSh3YrAw
|
||||
0UjJIy4t4lhRlFgmZLK7Ne+xkroQMD2eDcr+Slyom6MJswjmHNt/DBdTvNQcxkkV626RdeeXFKlx
|
||||
4bBu7SBednctb4IzcY9okwb8ryMrFo1XxRJzniRokDxYJompp8E7ic6aNgBZDaGiEHMj0BvsNkgK
|
||||
wMFNVSJIYp6eEvvSH7uV/smvYfTlustQH5I/0TiojGJMvM5CTdrAdhLuTpCAj0gS866jBC4ocWGg
|
||||
lLNIXsy44oTgUEuW8iAZ550VF9CERqpK4ajjVhVqCDmaujRf8TujDERNRTKA1+XVpIl533eRxc/j
|
||||
frSM9pY8v5kTLRas3EdTw3qi3osUW4uwPZ50QTfXjP0WNQWqtnzTREBcGvx3VLJSzIMmo2QwxpB+
|
||||
JbvJEKM9f9+vydIJdiYvY7bHsp67YCg2hayUnGVfMVjQY2/HhMavicZXwJLUIu6ghHSqrTQeyhhl
|
||||
IhjYhkAKwtAtaIqTD4iMaF2BZ5pKZiJGwl1Bv/m7H7HJUmeRilKK6n3xDPbZO0yAxOdqQSUFjry8
|
||||
HBUR5kip69+zcvsN7vuztQ2JvFbTS39xjYt2TQlyAuyzw/ehAFysohbr5pjzT0gpipaofBYx/3K9
|
||||
myPckQW3nCj7DB9smQnc3KT7dhITjVDph9Bi/ydK5hnQraXLLaAfdV9nVS0d+Vfxz1CQ1ByQY8Ut
|
||||
RQjBN+xyb77hCW7s0OdQF3G0Lg5YOhGdbrKeQfsrLJryIfrtL1RCgY5RMV8iqtbHVenEJRbW5ud1
|
||||
VGcVolkalxYoXNVUfhPCPTlo9wuQBn/C9PB0IpM9l2WOJpUcww2n6YDFZ0Xa9oERgsStT3C9qzM+
|
||||
GIjeTCSIP6HMSkMe2VdC8cv9VyxMk/IaTMXUuIj9hCmc+pMzULCYTk4ZfXuKAdwNHt1wHqwcLhDG
|
||||
ILZitpOhiSVxz/w2Ivtk55ZtcXGjeu7sNPLF+W6CeOr/EiEbtLwpgDjQJP3HvpBxtXgH9wEptfcP
|
||||
vb3pYmwNd2/YkkZExcE3lB7gLQkK07yT2T9VhbIhjjgOfEEPF3SPh8I3cOAlU7INNjLFFFXLBKHy
|
||||
YtdRARZm31UaktZPZsNeyWd8J5I0zKofn3omDMT813MLcXIptAM/R+P0iUfEFlnIBBQBZaIsaSfZ
|
||||
pGUNKROkIvj20IoOx+iAkMuntrovwJ0zWwWP6Zx4TSRuoVqqs9maSrYOWY8In+cx4/JjJOmTM3ym
|
||||
3H2YrM/gJFp1bFYlR4ZLYhXmDPo6/USWDx8OzVPPXwed/Okm5BF5/CY6H9ejYWmve5QHuS19rr5u
|
||||
GAlB8SqDYTZTQK1zTNc/kCjmCTLmP+LvC7HCfH3bQK7n7mdv2bXE7Ki417q7ID5q3WpgStmYWIVz
|
||||
EfhNlgsGGLrdySutsHI7VJifTn1fVAkmHhR+yzl+2URRkw0MF2bQ6CygFkHUfp61u4KzoL1o7yKf
|
||||
hdFxd+UBlkqnEnxEJGA0HoDcy08Tigit3eooVnDEC0+3pAKMPPUtQKLmEQVKjJPOZqKA/iUc9Zwz
|
||||
b+cYJ6NH0ZN9fD7Y2sLw7J+NmlrKrRgvIMbe7LVfBlB4KFYnQp3/nsegRbKLz9eXkCNO0UVU3sXy
|
||||
t3HCL2S42mEXAJl8zHc5RrnJMRBToCXA3Hk/et7Gjrg92Mw264N3z+LD/+Mn7epaTXe1D5pzIv58
|
||||
84ihVGYKzx4F7hi+CYd22ILCpdjqaU37nXVRwf16sAL1EOGiFq5YSg0eG7kdtB9YHtBnWRgkjiCJ
|
||||
v/Z7GZNXbYBWG0A7xqXO2kl9qlty9XkgCiH5kCPWgbLSiW5XvAzM0w+nsq+sZkWbd5VYIl/fjQHj
|
||||
Ths+vqW/gN8wAf4uas+c9ypW1IbtO5P3OtATTlF+Dqe1790/SbMBvTk6uG7qmnOB1+B4hDebR3pK
|
||||
kwXPrv8W8dVVOWzYzyku71Y8EFCVX9daFyFqda1PpxSpv7vDeb4uPxOE8wyZMTk86fHhfwPVPvzG
|
||||
TvSDR6+QzaKPAP4iq6JgM9xBEZcZ6j8CCfWu0OcDOhpGX5WJco4nA9laFdD5lccxzzxrn7poDrFO
|
||||
EQH8nzCrhLWkXDXBX8K48EIrDkStVQy/sLR4TCPlk42qfvh4zNNTvpvnJRrQ7taMAedxh2pJvYba
|
||||
X2xdifXsIOYejfsqxuxI//XsqHQlD5N1+y0OPjykh0p0Zd1cmOfxPPTREdh0g9THyQoERUWT/PMg
|
||||
1FC7AzfL5lr6y2w8TFkZMjgxYsiMrCHZBIaFGKFk/nH3doF6HmcDky35gCHTeQEctnj9opjH0ExG
|
||||
HMyQEX10zZpNk5RJ0AWfdckkieOX+1YwFbBZWtdWyiKebC/D/bKUxV2ZNxNglait+R6bTJ3LcUM4
|
||||
NYBEl7WT3cqUV3CedlKoHsu4MekopgXfqceujJdv4MAAhs7osarYpmqc6zrmP/jB9QhPOLkLrbzi
|
||||
To8ZCU6GVSrpZJgQI0OJ05OpAdHWrruEsbQsIjBxV2PbO9s3f21XErxFJpQT4o9/yf00wy+lv2U+
|
||||
QPGJ6dUzONmndsub/qNIEWb/6njCmaJxUMUCh3roWBrTJLFVsyBq2JXh7hcSwTNxm2Sc6Crqc5T7
|
||||
MTfS2C8vIabOEQw3uLxTOUaZylmYmTljFY514kZVpepVm2Pgp4biYVG37lrfzmk0FDAwCoNsWAKc
|
||||
6k29S6kV4kSghMjBZk5U6wx5GfUuBAbSlqkYjQ63pLBASmc0qXMprSMCBFvhYoKFCuObAbXYbHYo
|
||||
U7qF51BJj+XqFz3sGU+2o6gu6O4CCdqe4zR/LqMsp2Wc3AH4NINNZnCWL+/MVSD4t2H+4chmDaBB
|
||||
0gRyJNxKqNZ2vPWl1NUzWS1Q45Q2CxyCuqcs4Oa8rtUT5xSEf7vWCLq65gnDdYbhM7zmkq0blzDL
|
||||
/HyPfrDPJlqJeGCc+QNySeEIPgF8LiauIhVtehxQ6Sn2Y7EZ6YolVqvk+J423vcAy3lQMpYk4nfO
|
||||
V0z/FL3y9Vqgt8mCLMM8sdbu0VaK98FVoQKLoEYHKXss4SMFaLQpRj+X3Kelfx/y9/cgsmfeoxsG
|
||||
cywSvb3p0bRTCEU4JEiQPoVkVxx9A19durwxPxZ1L/8ZT4TQx8HP0kvLC/1BPU8Ko0Xf+VMJaC1Q
|
||||
qo7fJ84Kqzq6yJFRYDykWEhA/qhoC9djJ0DsyjTSPG+owekZ0dBNahNf0xvCx01pKoiCn8odxvvG
|
||||
VNeMl4UF1GugUe5mr0FqudOol7v2AkATTpXTVa8I+iTmRVVnTNsNMfzZSg4d3aEB5qvezAyyx94/
|
||||
OXdT9/ZDNP3LOib2p6Q8yofEYnzQDjiIkPovR7rsCCkfqOohweOZuv3YgYQXneNFj2zqOAkefcBq
|
||||
l5FXO6Nw4JrE/1PbW6f2E4rC3ZyZ2T90TfQ22A/H/AQGHt8UjPoDbFYd14vO3RA4YcNCwMVZVGkL
|
||||
5XnEmrWt0jNa9LwtMTXsi3kZZvQocM2nCpZRnQi6pm30iw6HHy5JDqi1zZWrNCJ84dhvzmlHZsd4
|
||||
oOQaFv/wEPJSzf+YsjfamSY2Au33BE74rSk6Q2mwhcXdDx5C7STbNBcUbRchEKURmZBLmBqx+oGp
|
||||
QMLILfa5bq5CNCmYsZcrxotDvE1eurjfvBHtXKff0Bu9jmW3GP/kNZW7l6hjF/544fY9s7l60kzq
|
||||
7y41KSN+1aiaF94yE03E9lmquFbrKikvqHorUsr3ei65d0+woSzm8ipNdQTMLQBNODXCinWErhzL
|
||||
OTshyhK21qn6uSuJW6eXOxLN1FCp8ZHlU05bMXNQTSTCQ88umPvWSKHXDf4Xjb5taZ/5xj28gLOy
|
||||
zk9oUZwHy3alWq6zSNZ8iQ5ZTeqaP4DrtapCUehD1GuDlzp0cEaNJxYJN+GEgCfhAKUGBQ1KlJYv
|
||||
vDQAqmDfYyzL6585JyB+WJE8Xgm3D20BNR6BIGrD3CAlAA6PtIIinCBadKlVuK+MLO896GYSZTSp
|
||||
mmxSaWoqE3cnWCdlSsn9TvfCz6AO6cqiLeNCyyFLfsF1QDSLk+Yxv8M7GVLZqffckjZeQsN8IgSE
|
||||
Oq9vDYwIJp+tcSj1hycfpAmQHojtO+5THFkvPhv44aZ0rd6i1smLcIViVPRuECd8vvakKIuiYWI9
|
||||
P0USlqI3y0c0bVvoAJUzxE3Z74wDsMTgHs/kqhn+47jRk0Gs5GKDkrOB0P9uXBvhiB/j00lDyw0x
|
||||
fz4uZVRRlXGMwv9cvwdnAx31fV0a4aPIaerU0eOgsS1OsyChxFQB5a/b/h9+I45yIo733aZQYLlC
|
||||
iZrAHfy403WqhqKXdJ3Y/yrV99CNKFpjH9bq0q0Cz/5KieTiFB8/l6B7Gxk7zfkA/chZ+mcFPmfz
|
||||
VTDDRYZl4v8B9XMDt+mW4oULAXJS6U5KLWR/6AIW6cuS1geQzm+uDFflKZZA63mDugc76RF8BhsQ
|
||||
u1e2b47iAL8HkHkybSOOzOUK1YhjdLkxR/WJLsGP7pjqwy8eI+pVaCnTLfMayS8MReDmJF3P5ciG
|
||||
oQjtgw4cJ0G2tHSKfJsT9D2TXoeoSyHMKYvyc6Roq9GkE1GBpbErK6tHVQgp09OQrJL2yUra/1mq
|
||||
urultWmFAh5IlZB3ULQ7BvWs/ifpvByhoXaXS4pPRWuUPAJRYiaQQc6eDzDHuSqk7Yb2HFHQkRuE
|
||||
YgExeBrV59WZzsgeudmL89ulqJJKH/5VDdocE+SFsqzjUmoDJr2Mm60oK1cKwruNZeZc/IdWy4vj
|
||||
PAf3obElzDasxgxxjJfzspdV7bG2TBH5GifA7QjB0AveGrjhAUnmNfi+y3qjyoN3KGFUPiRazpVO
|
||||
pEgtNx8a66qafWrM73BN1XsVEQC+1O0BqXDd8v3bhOHeX3aaYd2gH6e/p8W80Hqf8epVuQyruHzi
|
||||
fZ54cmXlt7ntxMuu87+K8swK7rvI7xhgfHCZinu+LyTgJYrUvgKKVPcVh/j9MWfpUsYTUuhHcmkC
|
||||
Z7AZJQqw2+fIKftIMRVDaLG731pyAwdWVLmkWssdqR61l/GvbQ/MLr8H+rHt1wa0paSWLtHGXTDT
|
||||
FPCGHuaAf4sTRFgW95jDT8QW/rFaNtPrB0+JOvGSIPlhB6KX7hgogKfMjwvI5DTDaq99qvyKD9RU
|
||||
3NyCijjXgGvcWj9pmbB9i4K25GlVX7u1apOKbP7Y0QdOn3RF3D9NRXY1FAGdapV66jaRyw0MKjg0
|
||||
EXzQB3vsIkAkEcLmEFza9oiXlO33YBqyVXjrhZzpuKO1qt5XM+FcC2cxssF9SESwPqD1yvanCLnI
|
||||
DoePyoBvgDuqpwJS0NGW1/fwAe02EONvTD/nSsd5xBvJtEUm2z909In368E+3Fez32m94nU7CGR8
|
||||
W4JFwtHoLq1r3/ev1bjqE7DHIbixHySZ/kB5AoMsYm74yQUttkrPf6j/EoxRvHFoSUZ/Cyeaf6uE
|
||||
hOUlmEhixyehW2FtUsGIYZPGVo7nDAJxhWF5EdStk3jaE6E4X2QaBWXKR/k5zF8hPVM8wZF/A9r4
|
||||
sH01KxVvd5cxmd4SftOwBBJIz2bR7J/Mj9ubHEFy7vhzahF9boP4pQDZE8QSkB6fSzXibXEqKsW2
|
||||
KNrloymMH46Aiv489vei9gyPZKtKInOmjo9mrYoL6pLTX7uTHBmbg7d4MRoLS9Ieef3+P4/LtLMh
|
||||
rmZiBGJrdnbbNfMbuxqRyUT3OVIw3iFufqMQOu/rhzoZ2hxbJWE6MI0o034L3Vbvp7afPq/Uj/wX
|
||||
OU1MegB4GnbOTNq28NX9ChMJ76ZU50jYAzwREv5IG/Vo9cuE6JjJxKfh4S/kOcdhhg74ySqp86Tv
|
||||
k6oCA+COOW8JqGoQgFdomWzg3sgQxfFdwpBIf2qzbZzHxAKsUysP9vY1T9mZBAG70AM3u1m5MwcF
|
||||
+RfEhvfvPkDm0+nZDqbn+KVCv4WYyS8WlydZygp3u9kwgJxm4Z6end58Hf3KPQuA9HgJNFeL+ink
|
||||
B/5jiqA15iXn+noDUu2XOLKXgaDwmBf+6ILPCsjbkq/e1KO++pi9SMTzsDgUS5edM6M30WVq6MkT
|
||||
Wu21ArB1tMymKPTRBDnLifQ6NFaYV7MLtIB0CtgN+ewxq/IZdgB/RRDW1jGl0jXwHipivskklCSp
|
||||
lXSqlG70719GkDUUqNsgZPxlkw7/fpBKBbHeOKzRKijyMsbplh1Fbi3ynazS8qRiqKZAVyJgIddK
|
||||
sHfAgEDfZO9NRkf76LDj2co5D+aH0/iIryMnxWpyZidWlLnap9c7HTVSKvgbVTYiE4GGQ9ZnK4VW
|
||||
0uBxR9BMzLrL8twgoRK8f/1Lti4ubSqRuaZnicXAv/Z9Z/mk2OUxlk3bCgzRHbLTJXTI9KEil/2B
|
||||
zx0KVUFKsp/rzF6vsi4c/uyQf2QCarohXk9FVvYKL6wiaAYyJVInoncU/ivyv3cnbnrzts1sso4L
|
||||
FLGn3/S3NZcf5paIxnw6/Dn2VwQhAkTehsaTZqgHvtRRWD68Lkx7KdyzPNOEm07nwtFReFytXGHI
|
||||
HuPp0d6575sXuHY9dIi1n6P3Ki7KucIGL7jSp604aLs+t/+3cshLiqrPqmS4US6FLmLV2GsN51LG
|
||||
/Fw4AgONZhzA/AcyzVZ4HTS1Kd5BTQ31RIhewFIPMqz7CWYBCCwF8MvbRiSncuJacgNpQX1CZR1L
|
||||
2NO3v/rBdDWQMuJBTmWAAi04tfSfyeQeiYIgi9Y1jlSNu3IP6GtmTjoT/eCbIHJyiC5of8MIswDF
|
||||
ybuNMYph6ytmr1BGY2ypl6FEVSA2CSl2quh194MooZuU+L7Me3HeH7wB3wYVwgLUPgFVls+JJlX6
|
||||
ygh1b4PQUa6IPZ3chfqbdCnL59nxczw3Q3wonox6bJpkVvKpxjE5meTUGholFPtSeWxlJ97XKnCz
|
||||
5Jg5vmhlASUdTSKiRR1PWqeZRNU9vPs6V9qvRgw6jYwNWzKYl66lTajfmCP2mtGlo7Dv425KjTnv
|
||||
YytPDkqcdX7hH43XiMFufx+JA4ZcVmjfksVd/0cm2UHGFUdrpPUTpo7bFJe7xHLXvTsnppVJpEUn
|
||||
UzV+X9R5A7PoEvhALszrx2YnsavjyyhLvgl8zJuhCW2aNKy+/e0SHMmTxN45HFfn9ZismU2oVkaW
|
||||
M7nVf5nu4t3JPlcObMzwArjvnxFxSepPm5AGK8DlcTJhWPMNNy/wZacHGIqPl/ByaaDngX0g0eMW
|
||||
LXRED2b0pOVE2iPO9qyWhP3BjdW9Hv8OCQrrmFgLwWFUg09DcCbIK+x/5LihndReJW6EO2Gb0V1S
|
||||
IXIk7fDFc3WKFe1iYtYRO41pyaTGVDOjDYC03DSgb4uFFaZpqxrrQISi2V2G8z3PoNJAp6GYHnhI
|
||||
1n17PA1HatACY102ICBYxPXj5w2vjgvZYRsueyL88cX5I87XMyyJlGQczVIITVSf29WIPgxiPV8X
|
||||
DdOfASEAN4sRiB2zyYySH5BMPn09h4JNkndxbhkOPljQyq7dRxtyuW800WPaFrQ7pD3R702GkmrW
|
||||
aJrK4W85i4lDm4PjcmPkZcHkaK58Vr7YHq7lGW98q1HhQtx6EMo4TA53x1Dj1x2zSD0+/1zlCAEv
|
||||
vKi2TvpOnbNNRsbCEa777tMfYs58rXKx0yLVKzvbFX6qps2dmKB6hSCGC3EJ+PcXHh8/sbk0xxBP
|
||||
gkHc6jbtFNSEGflpkXaX26UTkocT3WHQHBtiZx9K1kj3ezOLW0qv1OHsFPxY7QP7R6Y6RcOYQ1ke
|
||||
biOpuVtb6VRmLlmipxR9pU6W/Q99jVQgh9he5qOhJEkrMuwsqWlJLeADVaymcJE/V0PJNnuIXXbr
|
||||
c/dC9Ys76zJrzvGaEDW0NtQXPccjfybctVhK8SJsn41cqTQVZjQOdtf3lAg5jR/0qaCyExdpnYMu
|
||||
LA7CGPk05y/yODKHq+N9iYumklqKhynCvTMepkFnZSEle3uiPwzbFoRji8IVaww5sL8suqP0hwzZ
|
||||
G6xjkL4xfPngcuGjYPKLXX2yFo60uf6e6Jw14cAR+G9lEyUr4Svvt4OLoL3rQl1K5vLbHP11gJjk
|
||||
Gtjc9hLoHRJ8eDhjYdFr9VcvND10HchH9I5VPFjdu/9ewD5PvdYZ6+5xo3ySyrDeqA8VmIwssMWd
|
||||
EQAdgmsh+a91h0+9CK32ubWO9GiF2C3G9LgsS3+k+XVsjv6uLICCfmeHhiYZz90VqJ5vroYB+MR5
|
||||
telC1FCdrN7JEGm+mSlNwhrkhZpyHG9SFuqgTTckt8L+JteDk3B2Xvn2M4pfaAc8L4WX+/GFkw+e
|
||||
Qg1o+KE/zR9diG23iZom100c0S9+Y2DHWSWwnbBWXz4ktyYMzzigs0qRIlfb6DPMy2nKyvhp9Lo8
|
||||
YSDWmhw+ETWoxlQw5vZNFCCrG9kcSkpSQ65GSX7vp0MvzXc6HB1Ny4P/79CklD7mOhkbtpQMRQwN
|
||||
zz+oqJalx3LN+mxLeFESOcAerzOHI7ru2K0ETG6eIPpCW0Sovq+JTc4fH/T+ZVnxcmfZNi+ASZMG
|
||||
GKPtH2gGAplmNYnuSu6/dl/edqbzKdno0qb2IqS95ICWyZWagbS4VlXNITBFRg8M9r4aVUXfIUx/
|
||||
Q846jXXnwqk8v4CMUxT6QjHdJlUVZY4IB/cQgYat15qedPW/FwDJlh0x6bIYy6y5LwULQv1Oaarm
|
||||
sLnS7AeQDAYyKFJLw6Ty08+qMFPOc3Bd2AS8pNXP7blCmLhE/OkFoMKtAP6I+NHenjlUK7fr4pWF
|
||||
oiLHZ5bx7OQtDF3cM9GMdTLzBu46XG6ydNBXRnnSbHKnxtzS2DQZ+WF4DWxSznWtIdWsGAFI/2RH
|
||||
t/w9ev71mxx5Oj6UGAY+aOOaJlYUPANAQ6z2ReK9x7QPGziAFmT5xURotQwj6TARd8KCyjsUzel0
|
||||
0hqzsh92C+8dTzT9cSOALNIIogv+kanW567vLPZBBEHGLfGq6J6NTStwn/aK3eVdEEaIn/pZlwZo
|
||||
ndke6rvxTLOot8uql+3oi7ILV49LCXsnD9wRLCW5/EKgYT2pjMlnTkeMrYR7DB85+t2yAPl12BVr
|
||||
U0PuOed9iM7QA2iidPC/QwZX1RHs5aryqFbCKYtQgY/N5xWrfcGRBRPqERELRgxzmR4kaech0BcO
|
||||
OeCE6YDRbdeJ1qOOU2uiouPc+bKFVLkb8kfcSR3N9d+3IGLTDIu1ls2MUGv731fgU7O7PFZpi5FN
|
||||
n7jXe2PvZWTG1q6Lx/w+yFn0Q2Ug1JqvIE+TIL1vr+3S3QCPYexFayyQ2KrFBYa68+kS5e9xT7YF
|
||||
KHoL6F2LpVqS0cK8LtyeMU6/NJQtojnyn4bTug67dakL5TjOV5+8VYNMwJAMEVTZN/vx4nIObWqp
|
||||
rVjmjwxa9QBoU3rYIc7BD/8wVNbl1tzl2avqrxNhQwu6rNgZPrJVtuNb3intqai41lJssNklfJ90
|
||||
Torx8h3JqLp8Uee8utanFc41XdJTCwr1gecimG3z/HaFHOTclA4OLSciGTGVULgY8j5Kp51lvDRQ
|
||||
BSAZE47+Sb5FkGl8SNAIC72lJiM+izSD3P06HsMAd3Pqw1+p9fQwat8ClStcfi1FxjLphLZy1gxk
|
||||
Z7qKsRvh3mxott6527OX2Z9hz5W9LYoODtagQj13A7vuSQxeGhFK+bpw/geQOpC7km54X2kZM845
|
||||
cduVmtiAGNzelaycGc6blgQwTIPn8ivqDJgYpI5A6D7CyV4MkCkS0dWZmPdntTiifKkO5wu51WyY
|
||||
xbS6RJdH7U8Rl77mx387SqdY9pRW6EDW4zJVrEewSxOg9UWDXiMqHaiIgYMZBsYkXhMd/0SRN6gS
|
||||
VXd3bEa3PsPRxV18e4mw8MMkGqYO8EuoBhe4hKzNIYgYDYT1X618jxPImboLRvg8cyihOGVlCINU
|
||||
nVrZJQvuXFGX7cFoJF88E3X+0anF2PqeQ5bXzpKG5hu1CBN6CYmBq27GSDEaG3RmtKVL2rtJuoVg
|
||||
Wb6V/BcfAP35Ds0UlbjjGwRvu3KGtJpk1ithbJBU6qFNItjmsCkMXGS+/HB6rTaJcoY8Pp1Qp9xp
|
||||
4PZB062UfonlOy7IBna7qdrIA9qqth/y6ieaJouDWKg64Wd1DHa2q6o8GkDZ2EXguKrdczIbzifw
|
||||
9AXss4tRKHU7PqJ5KRa/Kxf09wYKzm+/wnjZ0s+/abNbsqMIgl1aP3VhK4TInyebShk7YyZjfGiO
|
||||
o71ZC+bQ3vFUOsS2hJrCr//RqxSTcA1oRAPls3ESFXwiOjcSVT6I7PKhqJjCghuldCkXSJR/Yo0B
|
||||
pOveBntKYiRm895BsDl24nY+Ld72OAjXj7UNntUvofZxE5fuWukn2LcrzWNTgBgo9PAl+wRcArdy
|
||||
q3sjK0aOH7om32D6SDb6CTgbxZl0IvMKhWbVgVtCrGwSIvS0Z8nDW61grCj5DVQbaNuuwjb5oPEa
|
||||
KZySQBSYpgL7Tjvziq9s+KWqi50PuwGFHxx1SC157+rx95YN9OYYrklLwLQyGZ6+rIr5FT+BrldZ
|
||||
zJzwuMKsGhqrLjI90MOhUrrDY//9pWCRskK9jTCFkf9/dy2k9oeU27Ui/GPiGlCao0YfjXuldiBa
|
||||
s4u9KUUxvRj+u4yBvPxqhZjkLFH7U7/sUxW7QKb5eFA2/P3W2WZb4y1d7v9fQs8aDq90J3X7Ze0y
|
||||
GNlXYwNkSLbB+x+7PErsc3zRiKU6KqA5d2FX/HrRPhpriLDS+gSztY4JWbaAL/FgFmy/U8jaUYh8
|
||||
ud+qAc30GAKl7917gghvZDz82JmGJSV5560GPKj3GJ3soNfCXv+EbAdubdbLgpBV0AQf1ew4T7Bd
|
||||
0fnRO3pkEnyAKacoVuiSB0ddNqVEDTWjymSaOukcrTmXkplfVlBl1+sOl/72zCD9QPb1j3UzQHNF
|
||||
Cz2n4VwWzvUI0yOsI3P/YwYR8e52SNx6qy73J0mZE4pTM5VO4q1CBowA5Bu5Z/x4Tf9ukEFDiDqN
|
||||
TwqZhmoeufkszQTracCt6pRHRbBDalp6QPLeWIBKmsvSI7BWxdCIgy6i1PYoXiTYfWxHo3V3nosA
|
||||
6d32LUD1p61bSvMvsezfsBk1LvVPdDKpUpQa/FdYd7i2tegZ1GBqhNKyz3K5rQMGF5dMG2gFliKB
|
||||
Z0+JBguRhzIco+gBhRlr9fSTi0kqI8XrTxpD1rtD0k0x9xPYn1cQjKp5WOe8aSL3VlRP1Fexfk/v
|
||||
96dCMfMQKV6qg9Nr2vK8JNfgLPphnvlVVGM1h92Z6CrdQMScdekqMqW889xidYe4HuYmNxDMCvGE
|
||||
c/AO89J+42HuDXYxFFFgDUrueg6rvRTC0Q/MrxGTEX4EU7fKETFOrY8sKkRCpaSqVJO1larDssfv
|
||||
JO2XNPPxWsgDierly83asoq4l9Y2+XsMPlQpZ/TpP3svhyBwlfa1C9WyLevcIZ/ksLttBxl6o1pz
|
||||
DYSibHHfzWinXzcIbV0ZOMSMqqkBFy/ql7D47i4Ux1jkDOK74CN9UjaVh8MYEjXSQgNvWqWPjvuK
|
||||
fCTaBlY2l7LgbrC67S9eZLjPTrdjsVBoxHkFnmQTMjhM5dstsBYzwzu0Eis04fgnozgTBGnfWNfY
|
||||
jtX7sI7gXgA5eRlPQLeA5m+WIwzCsSEYDwxZS8pE3Zx6E5WmK+PIgewVVHmpqRnfnhtPXXl3XKiq
|
||||
NAPipiRzyKVeFv5bCfp080qm6k5iOrtUFfhwQYFoc2bNUWwE7iU/TsVrpkrrKy1HPlSceND1mBEK
|
||||
0XtIKUjawe9bkGSfKz61TcY39vYD8+DtRn88opQ/0geCqT8WKWsmLXUFhZD3+Mr7wUmnPY8iFrBE
|
||||
OXIddZTbwLH2ZRx3ndkShP3aKjQYqLmFNSLrDqMMARZjLjuI/whRYnGxVImVT8o52pE/CrOEkGFo
|
||||
8ZltYg7zT0Lwgpn+sKhEF56UOuLCZQV+0t+NY5cHQIvhOkG0AoaqVbieVWslK9PDPrBSe5UIHK2T
|
||||
BhlZHHOmtIzHhcQIdTnl1tXsiQ3Hxa/GX0Nb4J5HFnMFhZv4ugfz1H21gZ53oftKRlbbeR1STLUX
|
||||
gUhnuli7G7di1CALByUnDxSvKfGw+GU6zxkfgA+fuJ7uCYCVjqj/bPCGy/MuShDjJ83Sm680aqfv
|
||||
qU25Vy0KNVxv5geGARRc9oMLM5r6twYIYKguCSCDgd8Mx7tnj6JF0Tfba1JofjBBDV8qHynuLzuw
|
||||
Aoa0POQcOtRR4h5XqzvRew51Bg9/Ukzf0mO6jHgYkXl93oYdSFRS+Xkb6P0FR/AQkaNXGU3/9CyJ
|
||||
oc/0VzrMEgYuVGt4vIg4fVzOm23g9iTf8R+KLTI/0Hdi9mgmylP1q7N7Y47wG8iUXIXEJnlx89ti
|
||||
zrzpKYknku6HGY4eJlyMr5nWA/9n+FWml/wo7hbAjrOjD1MCjoKPIM9d9xN1oAxJe3SrrJROuT1F
|
||||
F+p+rzBS6iN5ojnQf55vF0t2jw8q5Vi52zsLL+bhgvSRAN5SC7QbGLtCpu8FhrtvorIilBTAqQo7
|
||||
gpego3wY7a0qRYifKdscRk1f0o0pU1TVX+H/Y6PS2nMPwVZdGemvaOtFf6E0T7pMhYA0599IuFXH
|
||||
w29gH3FT/CRKXyyIK7d+ZziOrGAA67p8eXcTdwXXjiV3X6FJGsQ2ekAo/YaCYJ+SvIhj8ktvNFSf
|
||||
tEJesrn8RXncl7Znwn640iltFElhKk8TY76FTiiPbtA+Rf/fwU+0BrvutHBreKNGw1koLuQJq2MF
|
||||
5zuzx4F7pecm1H9wQgI8cIcDnGJeHPMLeml495TPK1aM343MKGN+yWRUedG2F7WLZnbZBnlB7XQB
|
||||
77YyFS4hBMgyWpr8L3nAIBjNNZGgRt29ukClDfpeOsF2JipOPGI2Jk5APjKN1gfSLZkmmRXbMDGc
|
||||
J1ciAoXfx5YmMxLBAs/Ro5BHZIrhvbKBbhYogxFE1Duo+ekO9jlameVGSQIum90aE9qyhBKvIR7H
|
||||
94Ep60UXsBtjiC9kxMbdkYuW+1PRW2lY1HjDHV9ZvVQ884qonEhguYbc7XtVKVjJU44TrPRI2Wml
|
||||
gYL2PRVrFagTMHZ5bKvqbFdSuP8S3q3ztmXECJmMCMzQ3FmUK6dh2UKlmvakkQv4ctWUEOzS++2S
|
||||
w4RF8IP0KVR5Ae57C8/5vNIctFNVlQxdxuBIbg51kEjILX8o3cF7GbWS47qqFie47akyM2guaRVC
|
||||
9okLKpSe+pQBGxu3ERnIFqnPY5cQzs3IjiJdLmgYnE01JfcDUnRAVJJriK7DyrGVuqs58XheXB61
|
||||
ana6QCjdjvRS1IZbRUJPJX2+iOGkq59+W3SRHAGD7glsCPjvmKQlkIt6Yb6FerFuPLliZTkQB8qh
|
||||
gc3gLi63IIicFWn9zRnj+yArwaTlJzgBJU75poIVaJx67YyQvb5L95HjSFUaLESo+X+sHsVG9v4d
|
||||
wTG4AQV6Y7Yq4/TVsJe/8l2fZrLZ9H5csNh+Z3cKFQEXXdodNPPHfvzZCmGWZ2w+qi2fTTedLh7m
|
||||
WBOhslEmVJXtaESJ5j1t4308aHut80b5wFjhPSXN8+jOxogiejjy/MTHzNS5kGX7f3QkCrRvFHpY
|
||||
Te7mNgYPerrrInpmpZRDTt7zedEVrI877lIZZQKgRvrMxWiQC8xkn9VU5/Pm8YdbEk6F8Nbtvz3S
|
||||
PF7wMPrE9HgGfrJZE0gWcQUsvppfMRnCuwsPSHRRoqbipv5mEVS9haYH7yi3DCk5gdu/ecP4n24t
|
||||
Hxtw5xhCNE9FMxHefTr3GKHq2fcdQjmfr0cndCCT2B+5t6AnpUx6Oz9XSg0XFFgPvrXJh5dUK4Mm
|
||||
MGlxKh1MxqBVI2jDZpnTX6A8ArFSsBY6UGd7HiIJa5gmkdoKTRpPCm/e+hliu8aXU25VkH3ybu9r
|
||||
QwNumXuborNMkAaGeKueZ/rirQed1DxUakwWVE8AvaWyXA3loPGdu0XvMDL4k/PPQO2OY5U+E0rD
|
||||
BTfZocBsYs9QvJ1FOMUiTwvjBfRtR5JN2BtPtGZxdA9iHOafwP7eFS3Y6RVO4QUom4n6EduCSh7s
|
||||
hhzPoZGfLNx/cx2Wy1YmczvAnGvM5xcEjtoYhtJcfxgh0E9460Qd7lMeZ0nej4r6Sma88TIsOUTn
|
||||
GbvtrkVXXkFX7pier4M7kidWOp8O9GMlHUZLkveO0XCv32Ghq5a0nOTb/qXKBsxZchs3C243L8rs
|
||||
JNuCGWj7iRDVqOvGEBh5tcVPYw5vgthCauswhZQBVfK/ESPHcvIuqZ+2VhpbIfOVfIQTS8aWTt36
|
||||
ZbTr3RrBZcjctFHP2h02+Q6N3ilJHnsQQESd7MCAnfJdP729Lpkwohngu+/MBkV2KUbMsajPT2Ob
|
||||
kd0YX/cgVKu9pYQrSDB2uR2ya6Pec/6oxmPVwr6qThnkMcrthMqOpsBSGSUfelrgOWs77T9H4l9z
|
||||
jSKfQegG8+CHN0sIOUKQpzD30VXT+uiH7M1r3T6C1qTaSb8q5egBFGioI7xu1O7uas41KGHmN/76
|
||||
NPiVxRSa39txEaapemxFVd7DvGFC6ic4hohSnagRJnOIYjlcRQFnF1s2SVLYUjg+E6QK5oSPy26S
|
||||
AoV6eDWvbX3v53Dy151huRP+RQ6MWvqRrhnTcpG9QoLymepdsaGXFdGt36ETg+ipur0hcZd0Yoib
|
||||
idNlQVbdVF4nZZftbVvLVQ2zyujoiGGlckN2XfY2yqZcpwujFqanFG8v203PR8fRT9hfWBzR18GR
|
||||
44XLOHA2VkQv6kPTtSraLzEzUITUSfl874ktl3xGZ6BdKy3T7k6Yww3Zfaj2gPP9LAn0JWY0E9U5
|
||||
xrIoAAjjzv8DHjhKfSOnLM+yCP4Z8bUK3lyxYqkKkp9LjfuwzloQHUvAOa+mRGljbLuBCfHHxCcN
|
||||
3BR3/IHhfPbsNkK9lygFT1A6GQSgTFnLCz3FucQ3Bu/n9CQ6Tk8ObakNZ8m2wCRovlBFkpvjJlhu
|
||||
Cr5kcmCSj529G1jGbzGbWZrLwCJTbAAEzhUEi0MltZSSz4Unryk4z8L4BjYtwKBPkJ+F3o7aDofW
|
||||
RPQVtyv90hQa2/facbHvRDT2PWHf6g5Bp1Aae20YdpZd1UiHBpMlRc0/F7azGsCG7xBhBj5IdDBE
|
||||
6OE7C2NZkVEpJbTUgbHDHkijfLDz+sM8szx3pzPnfnZKjJ3F+JfMa8wUen9p8lUHKMox+awAWh1T
|
||||
CpwhbhgC+Z1Tmg9/WTYYvebs5beqr4ZrESe6r/+/wwwG9d+pvsgsTFUiOLQi7PDOMd0VJdQHlIRX
|
||||
LNaTSKqoatcNJ3sGyJJSkOGnGTB4x7xTlJFk6f2SabiVt+IlvDAc4EjqlJLhWhouE35lZ6t3QLB7
|
||||
kVdDtHlPbRQMzLT2VZLLN1Kd07xAeDpbKn7w7Bcq0QTjPPCohFnMPOa2Xfb5cat866wz4Xh2W2e8
|
||||
/1ni1XpgWFRGix1nBD8D4Tl3L9XW/oqoQjDy2eFOvN2Y2WnKBZaunZUMAdBADc9wtMPKQZygKrD9
|
||||
4qmp+H+WULw55yF7dsDi6/7cIpwohtFXmXRVNpiELMSexqb7hESNNDfzqwl5CP18/OAspbd7PhKQ
|
||||
oU14KQ8PiZHFelwqUiaM4OhCDOO6T2P66A28RBfttHk1+U5fidhgQRYOQufOaaHfJJiB3HauafKk
|
||||
9i68nRntEC1z8zaAuRUGK0dCA1Ull3+8v4CGfxVF2N434rfqwUlp27TkXsaeiuGguKoMchLqt/k7
|
||||
z1Vv8N3JoZwvASOWkBOjr9LZ8mar265iCdPRcrgwxF7NeCnMg4TFgXOe+9SqsIoC8qg0mtSiTj9d
|
||||
qqsJTeuEIkBkSH3UcnoT1X6UH4alVowb8229paEwXzHAHLGqUvvY4RvmuBbOZNNQEejRuD4m/qU1
|
||||
5DYfz0nQFoBWKA4e/Aabi3LIrLmw1is7pbN8tODoOJIoP1kIwbQGHk8C1EaMb4ms4J87kKOhEZJM
|
||||
htw3Lm8eaBwqfK+wiUwqGUfuwNXhbvsnv08EhzJIJdEPlOZTWBACmZ1UmQ2bBT9B0qRpsT5j25fC
|
||||
kdJtysq19S9uw20qoLeXTKvuav48IUuuevFHjCfqV91OYB6CtDG//6A5qk0D0DASfkvtCsBiTfxB
|
||||
zLdKXHhw+5oaJ+ruP8pQBBodrSQg1Cn4JXaND32aY2dRuq108p3Sub3Ebw9iuEaj7RajT17211Vd
|
||||
Y2oOQRMF0UUAXyXobfjnJTLTYVEgfO8RxrmWeBmWWFPKGq6Yx1REVU7LOSGscydsJFqIQw8UAE4s
|
||||
+Jvd4aykNQ+alhyttfHO5YZ+c0q722SGPVNcJbFAlYIs3JnrkZnddcdvDva45UAdX5+EPTzmamUk
|
||||
ubMqFAvXKPJirSr6XtG9kOV9H87asiI4ED1i+SpgkhVZ2y+kJdaLXKE78lam1XOfs9OkxbLFcfSr
|
||||
nYkBzXSnH8sxIDDSgEdPZnBJQoe8QN+HvsbHlG/6TD2cS3RBdrVr/9YDNyW667ElIXnVyAKKCkAw
|
||||
hF6+ci18dsreDNwltlvkniU1M1fAeIodD+KD3NAa4YKlbRfHsnVVTvHsgKAKAAIsAOJ8GNTTO+tH
|
||||
hhP0C+59q6cyKOMi9giArk5ek+JtIm+vqAjho5KObVE2IHoh20OsVE+szMyFj+xqL2qgB6RcoMJ8
|
||||
TsXmzAKNOh1trsViYT6dupp5LmVd6jItcCtZknDmTZ6f4v5wKZJuv9292++gaJ3AbGVaLG3GLT5L
|
||||
MgCIHryfU6O5wRnKdoRGbHvxlFopITGKHhFYZz+GOuCL6HdOlKId0KZA1Ha7eCLcTopxAXTufPPW
|
||||
/Ot+PPZ4jlmidHMyBYY7JKgrJSgp+vjhxaTBHvy1G33z/vMqvj9/ORLE60I21YliVwwcG4Ewf2lT
|
||||
mgPMNZpY59tQEtUgmoJddr/lM/TkWGsQi3qMvLvgA1f7clw9tG8dD2DhkxieZHsVreqgNy6pWBWy
|
||||
S+H1mLk1dWsqrdR3F5EHtnt48HOlYQQTHdGKAso+gyoMljSPdXJCYFZwHEjJmIsUhoeYRB6hqDOQ
|
||||
7QDx5BugUh0azCiIOzpG85rhO6eVvJkRaxcKJTocrhuojR3zxWbz8StuvbUJtiSu8EAA7XyRkkKV
|
||||
Tc4rkylUQ+sxxbvXEfYD3zo0/npuJnGiCrtDRXPnmrN2E1Ph9RHerUmQteyC+LBDX8Pserc2qGUR
|
||||
pI7sAtaJlwYkK8MrPMMcAKf69VJjkTPHB/sHiU4nWMe+fPU/HQamuFlmNAGu144hwnHfm4/LmL/H
|
||||
IlgFJ1bBtVGlJlheA2It5bdy058dxd+xts8EmVSnsqg7/q8m2+N1LUbSfibpeTfTBA18DVqe88cF
|
||||
54qXPOMmGRdcs2vK3Eu/bgCBpEpvHCprlRat0XgDsG5Vv9El6gNX73cx/PgODhQTtvWI/Tw/tOnX
|
||||
tWxAkrOSBmQOoIvNf+tKoYYZANKfNGwx2zcrJBoochTsWOoufH0edQZVVOG/Fff9Ev1g8XaI0yKy
|
||||
0HlYLf7ugFF3i3ijRHaRO4QTF/52TZ8/yCk5yQgiIytEIH9KY3XqO2DedahX5AkhdfZh2XEbSyln
|
||||
z4VU7kW28K3U2A1VvVVAvQdopDNBRGX3DDa/o/H808Vd562iCdjJu4SW5kg1SqzMLTiT/6ipL0sP
|
||||
sTivKa+5QOSRJ9cxcg6/R+FmRpqsDbx6A4sQcOZX0xjDYx5YZ/AL3pQMHnDiSzqkpVXhePCU3/Bl
|
||||
5ZYOkCyaTrkz9Z5krHoMjv9jKDkCSWGG29CLwdgAvPoQ1CJZjnlixRsIyaF9DO8Q3CvS+7LN3K1U
|
||||
TSUIgCmDx1FieQcIvvL8+Hqk3wE/TP26jLDYU7hkCCjePw9HwsyBsrQTQwi8oNl1us8nezGcg8DX
|
||||
k9rvY1Fbi87xF4cqOn4YyMn7stLQ+LH8IA7WizO6z3MniNRt+xgwXfJSJ4AuO4qAYGO7ZhPqGLuy
|
||||
ch11KcOr7N+vh/EW+sP8l3gtKeV6hecHAG2vHOfBNBNqesTZ/vRp6Os6xN6aCABHY+8H0Fl77NuU
|
||||
KpbPawXjREmHE94vEa2xQY5TS+lMY7zsqozYndMoBRnxjpqX/+uq0u21k53BSYvppnncJ/enVzVp
|
||||
RL36i4zUhpkWB65f9xaNBm2tq63IO8eLk5aFEhPs5pNNyn+R7oM/0OfXFALWMjY2W1Y4r3zxZ/z8
|
||||
xGSd67e6vpnHYQBA6KfKhnB8BJFSQex4AYzNH1oi+RmoigGEmKTPR9NRn8RvXfr3Awm44HxWlf9h
|
||||
w11KqzHXcJGtM2+U57aykIoAGxo98KlVIV+c30IoLZESczU4GJiFUDxtxNNRejxVSA+IIfQIZk0l
|
||||
J2wrlWF/wWFRtITYcORjzR9deDp8er/Jq4yoakpqHaYGw5EUsBn8Ck11/o15IVPERxV9oEo0fkNj
|
||||
2y4TLYJln5FJW9/td8QYRn80WK7dg6QKXE4UNjGFMtdTWZvNzfoOYLDSu/gEQ38UbIfdTtBAXUI3
|
||||
p+FcP4Yox2y1Vpg59ncY1iQ1SE6hutzhO1iWhpftNXlbFfyp6Yk/u6mV46aV2oJ+jAs+VT/xg4I6
|
||||
vU6mOCciBd5ckqcE2LPC6LBqFFEa16hejjEIXZcmXR1fgH0CpqgfierImUraRkNtQGvvPeZIGkHs
|
||||
je0t7ZnFAhfwwFfm7eGkoW+yAOgmm6FP4vEfz+adOBZHX2XY9cOD+vWoVslb+vPBmJr/2HegSRpy
|
||||
87KbXeOg9DMwOgYyaKS+xDcnvCIkjamtOWiGH4QmcUOybJFWAHEDSom+B267bsihuIsWbiUZewkD
|
||||
hUjaydBFAbu0NTCA3YByLI52ZU8g+1FgiaH321sOCbLfhS5ZFBo1OQmEyBG92x6IHHYls3dYml07
|
||||
C7HGRGQfrlCc4+LPjGXI9Y5q7SBDGVSGl6bojo1RZGL2Qle4aRgcJcXSvw0BISaU77KCVLS/nbC6
|
||||
sAM1CdDI3gtzryAJF9hOZ++KsIu3rBNwcWwD0pKRORvjaiyDj932b3XAXnembdgZ1sGeX+SRZeLh
|
||||
qc+BD/NLw5Fw4LLL1NvWtI8XfF5pk1WLcIyCvt+yExqtw2FarvN9wPJMpE/68MsPuI4L15mC3IwC
|
||||
aIWgEcLeWwfdlsbc6vpnVKjNjqdxtGzLGIR9adxWz2sqK3E/s3HMRZJED0PTYoiI+OlsqYI/cYIz
|
||||
UfaYb7gYchL62x89oTUBBWwI1HruxwMkqBQK5xbxIdxJsORp4ki6KUUyStP2Psrsiuc9WBzUFR40
|
||||
MBT7PPcPTNSyOemrIKokjAt1YwLPQvd3qC9LeDPxctzBbJzyiB7SXWdi0eA4UWg5b1xRz/ojRki9
|
||||
V/E9KlXd16WustS35Yhy95kK8J8SN8eBcdHONeH2QcEjH61U8IWN8W4Om3XjbOkPBtJxpEJKZGpg
|
||||
QpwfY0axQhVY1JHSyJjDOhu07yBXkC2H6s0+QbIBI+PogiMQc4rMJQsjVdhQ3O5+KFtRkkoyDL0m
|
||||
DeGb8Ns4QF+I66xe/vuLnh1tOYEt2Xj34TeuXJ+JHd2cjAufoYV7jSjUjK4HNs2sWz2tHjl/P2Z8
|
||||
1Je6plj07LqsxObqpBT2RYns1txRAL+oNzJvyFN4hibaPKrIyFbB4j+mvH2MPlHIYLpYuQA/fLZH
|
||||
oLGYBl7Klt+2su+KDy7wNWQ7pT7B1uTKung4P/RQjbll4ewRfKjWcmJNa7Vq3AkqNxTGFRpbYvop
|
||||
qCpfGzbLuhJqB7/5IjDdAFqx45H7s4couJ0fVUX+XHh/EjXh93pLvNk7EWkLID0AKb8gBehVWrbG
|
||||
c8y68W5H3e32bZ89A9EvUDOy/p3Z3u52G9hJ7GBYEvBXGkHQSerqT5jp02tMbGd0XfohXrooPC94
|
||||
M3+tV4iJbVUy7EIqZmQoCMfcsFfQFy5Ozah+c3PZ+cxIQFPLDXcq8lv8+zh3brOzHUazYdjQHEO4
|
||||
f1L7cPUTQ4rTzTbUkmvRct/coKILfOz+7B2tCa6U6kKtvZ7UqOJdOCJlnMKlj+TEkOz2f2x5JU9k
|
||||
5pZS9IkrIsy8U4aVtbBkl5OYHeMgiGBy/sFztVr0N1WuJwTIpAA0xitLIPnKnyj/DWE94jQE5fcp
|
||||
rn5pwMpyK9sD8FI95kymBZU5m8/ZEmZwToqALf+3WCtjQwZuS0ma2MAbDcmCr07I79UZm+ZrSk2y
|
||||
S53OytR6F7sO7CC/YC0f4ch7GFRIHwL0j+maV1lP6gOGJr3hEahunDcNnDDtxqmCoq8BK+d0IOxm
|
||||
e+xOTpSKwipLyqb+DmFBXhhf2wZeR6nQCoitV6/+gwmWw8b2+6ArDYcb0+BPAnWCwzColj60IwOS
|
||||
pO0jXhblCyLIShnq0w2SHVXq2e/qXBGegHyp0yKF/sjygwbO0u5ihfiWsocOvT0yQFqHfIsvo1Wr
|
||||
9z+vgPigya7np9xcd5pxVSf/XtQGmhdbgNbjAGVnAPEEWjfbzZWZuRStSLSJ0Q1INzlwEl4lfrfS
|
||||
CfycUiVAeTRYMxRlHIJhfR0MbL3YqxmbpQgjo/H9UiTuaGw/xup9V9zxcrq0LjH7a6On8SMv2yV6
|
||||
T7AGPQABXQUtsPEbZyjZu5aRImCiLl37F6kEBny6xofqr+/7fy/tII30UM6iMilgroWfq5tq21Uy
|
||||
Xh8ZBgRZv+rq+gcZTHKFsJpZ5Tm1u0dNrzYPIqZbuRgXfZKzYY2bQ6qqVGWFOWnOF6DGfqaUPuLE
|
||||
sqLgP1maV5fVpjnIr3IEUO5CNC+z0aj9w/9UCg42MFcEYedUYeHwk1gbjcyW0XHHzVsn8zWP7MY3
|
||||
Nq/NOJs+iRC69QBgeOcHJRvhpm9YEOfI/8BKAK4SYBH3r1tIE1UOWqQtI0EA5r5PTUtRLRHVy1oz
|
||||
03fTGMkfuGwNqXlPImfCFf0zsWICgO0jMMAAl86l2n3iUibgOdxdExTpBPdZrwIwhQeIiz88QVnn
|
||||
socZjHvKPFvGNWQaY20o+UTzaIMrHR8Cd5mQnAv/FWT8ge7DTY9ezZEfnPjxt9e9qNVbx+Sv2CMb
|
||||
1J7c+bRRYD5fnwH8l2tHLK6y+a2IbdwOiRZed6uhUpKHG7ma8PdpcMNnrcqT7+Wfvw0EAoOvAVFj
|
||||
rmIRCssz+OKAh0K5DyKqutDNMTJGSMVBSQKveNEamlA4zp+HZG2lcqyKJMPRJipzr9oPSLPp2iqR
|
||||
Aspem9Wu9U2fNlhkqj38/Hj08j7qSGNyXzLaNWEnQ9JGLXuD/h8bxGGtKFmPjDpjb8Vt6hpMGHYB
|
||||
ackcuWdn3LmdO4Nz8tzGEMGIWd4ZfgN6//a4p/pjoKhNW/Ih0NC+lCoftCLiWAYaKMOif3fyjraC
|
||||
QVM3TqGRS9fW03EslJqGqBmWcxt62iDiduDy2G0vbSpmg1rFzQw65jQ135pI+lbo2moYriGygX8v
|
||||
Fq1bxCqqf/Q2ni/DzGzo5NCehKZPbdMhudvwv2Ribi+mlEcSVNXJClHFe0lyGg6yJBAZP5iyw5GV
|
||||
Y+3fPOsBqq+/JF+YTudXI5u4AICslmosvCo07EXBFHk5PWtGJ/Tj9IFUdqgmNlSaSYa8QKJiFjcI
|
||||
ostto4QoL11ebpFbGDdpVQJs2X5EP8uQTSfGjMLp9ouV7kNSGF01CHpiBjB9bTucJQLnZvkAwRav
|
||||
kXHgGPUmLuDsNIoJr6WchOl7lPYUN8Ez5lxC0uRs+zTIy+C2KJURXcVhF4MfSN8VqGsr4QHLZwV0
|
||||
vmAnQOcFYFbnT2nEXfir5dx4VfGtN0PQZjKNbCC1wh06eArtk8XJ4iW+YPiLM0yedn1A06Li318c
|
||||
Ez3M3BFhk2W9G8dxtdptgPECPeM6vTlWj+kENZD9sTsKI1IljgmX1FhyrL/Nn2AzD2aca3+ON7iD
|
||||
PLA2pf8bwc9WdMGypqjRVARl3X22VO1X0J87fJbHibkSnjTf+4Ge8aYDWFDYJVM629ewlozpIOCq
|
||||
2q6ymrIScidz7XHQkTJ23cVm3TDiSwHOvZ0wrGpj5BTgi5NpEg0pfTTa7TSN6lyDBv7psqqQMO/v
|
||||
Z8cYblTNkIunOn8ZO7lvU0jPOYmTBEcSaoD3jCMNz7mfIOIjYbXRXVSBBUu9DttkvpVjJK3drcCF
|
||||
dzsPdBPtrLlxLmAWK55+PI9P4wTAt40LevXLpDcs+MOe5O0A4Lha1P8OsxlQtBmI3+P7GY7/Q5O+
|
||||
nKipwm4YTdQ5nk+KvlW51n20OKxXxU3/Biou/3UWYQ1upvkJ8FL+LDmw82cfaFqeIG5W2J0kKB2Q
|
||||
XYdSSHb5kafJR+CgFMTn5aVjyOQtmefMzD9+ppPKxF+SZneVrigS7e+CttAd6IqEh6fikws66Vh6
|
||||
K8fhDJTih8KzAmOwFcqTSjQ43PiqijqLdsAXpTnnQLBT3I4Z+axIFgr9FuEbkSya+vSuxwMMFPvk
|
||||
oJkw1MaUinoFsswwRpTPdVQ07N7dsmNU9OtzN4r+rB3pUbaOmKw17TTpGH68hkoEzrBQI+35ODrp
|
||||
TRXv2YakVWhbtVvD5ZrU9nEC4kKv07IwNhauv7MN/f9n0Bg8xW2UmybMY+reV3bU+PicU+kCQ2XD
|
||||
qiMqc2j6hdRUxaJymxglZcipEV27NK3k6NuHHm8UoEondLEJOrNzYopfcldu2GZgKTtjQ846LTKx
|
||||
OfloB+Csc5yMzSRipH/77CdG3RvJ5mgu4cwwNSz65FfftY0/IH5DKVdZgjuSKDA3cx6DEp3U6vde
|
||||
hDGbh2vn37Bocx34rs2vLcWqisD2EPfO4Kt4GFbwcMRVbhzQ89cLVlfuGzi/U4QlGvNjKS9lozNm
|
||||
pK5JjBSV08fXiIFpq/5HnyseOc48hp/AxFhNhzeeWttrPC4/NPpggoWDrHHkdcXppFBmReDMRHLe
|
||||
0JSM+NrBL+eoXcnxOVnKuDp2a1sO346/QHFVZvlRWDl2RDsJVtVpOcwN5XbZ1brSdU/AtHulY8NP
|
||||
dbMqyfoWrZabMjIPm3ZGydLzNaZlx3NRw0A026iGWCX5uaUcorUu43Escm5WLyNUfK4xfwK76rVr
|
||||
YKuDJ1G7isZsGV8kYq1XJToJ2HGi7vaOaUTbNIgNUI//O1vOnHkst/dvULxGPL16Nc1FSrQOK33v
|
||||
BoYJwXRXaDbqwDmrHUZUgce8hJiacKB+mi5a0Y3OvYoc9Fkh6nPyAsCfvl5bfehbjmcESS85vBuy
|
||||
zMXZK1vV8re+yPq08we28KE6Q25KmQfD7GhWQK7lhlGq2oFX3kbqSLKwMwhHHB13QfLaqdQqDdAZ
|
||||
0BKNWr2B21hIPIw7Zl1Ch1p8QrCnEamgErJ+j9l6WA2yfgMzupim9tC+nWwTY1pxkC5HeokPPwAB
|
||||
SKBj4VVvosEQnU1uPpjsNqFbExyZG7aET7lAm1M3uG1ADP4scUhV79psZv6imZh8iKf67pPg/TD6
|
||||
+gGUuW9cHhy2jCgoTD5EuuwPeDUlcdgcUmxkeAuaZHexbHWZfBC7YT7mhllTt3YXoPoZh2yb1IVx
|
||||
M9OzbcQ+3zeoPjvXnAo9PRkTAf7nvGJ8dEyY1TYMRjDQeeiZ8t1BHqhuDnci6DYnjXb9imoJRnj4
|
||||
w+chcNl6PgJ+zCBd4Cnn9TRNaHM/3imJk1tT/NArekcM4fU2Hd41yxbKb3lMVNNoGm0WDl9g+TLp
|
||||
6h4/m6ba5wL+Z4A45IRePEbz58lV9IuSVuHysEZQeAdd8DZeNFUG2P9eNlLujNtjxZAdnuJL2jPY
|
||||
WtGPTubotCdMbF+JF5ITLd/si9guTg5McWJ7rHQ4RDgwPV/ULAQB6vJVOX39cu+uck7ymtSnf6u+
|
||||
BPggNwUyNUwnydu1qvEz1cZa5FjIdiW3WVptJuVCBAs/+oIafuhdsMRfw9AaSqZkV/GnNy+ifAi7
|
||||
2EoVoCPLh5wZgYHOaICne9vpTzszCHB5WBvaAeQyxwD8xVpH9jsdfpuN+LJH3Bb26Ek9n2GGElzN
|
||||
VpGUea58+uyz5qI5rGcfLYLvRe5AnB1wC0hKiy3WG/+ORButhpgrdooBQ2knmHB+VngK5hYLKsWs
|
||||
WQnasFdLphnoL1BjCrT9RweUiJWrSPf93OXc/az5W2dqA1LJc+uCcBQZQjVv5pxkVMe8jqoyfZ7a
|
||||
tTf3lA0d6VbhIcww/h2oEiYazTC5L+V39aGWZ42P6Phc5OtxknGdSDl/aIGoXM49Xoy8ABaiAKrV
|
||||
l0RUWYzqtyDqp5BtbD/8EFzBaleOR/abDO+JmNzojqy9GdCzaLQpCG7B25lELzCMU5JPJJu7Cf8s
|
||||
AvsQo57OOuup582Ej/FS/iNdYae97stwV0/a9oQqAazRpKbec4yJtS/8Ek+tjz1hTfvhybH5D7/T
|
||||
H6U4SO0+iTo8AuDNRwyeg//YSglw6kf+TvJR9TMiQ1b+NrLjdwzGVf/5DAnr6BM5bMJ98cBeagEY
|
||||
X3bTxnD1XZAM1QbQcbRwf/zO3nkVTG/VUR+TGkwtMlIi+ELa4u5NzTkbM99WVN6RoRT/zXq2rLbe
|
||||
zKSYN/ngtImr0YWz9fuxAExj9UvjGpbbiNf6XikLySvbBGEqOUhtpca0ZuFWP3OWafNBmuvEkvBs
|
||||
0k8wy/ytJiFxAoHbwaF0c8SM6U9jcGAQ36CTF19UNJjGySo1EsHw1xHVaRDG6FxWDEmk8vLnGFiz
|
||||
KQoJT6CU5Lpea7GQMBI+ZqFgmBbLuyhLZJjsar8WU938q99jC7Kh55kWOIW4nHUY1TVcl9shcfuR
|
||||
Oeq9CvQa6ypec7MG1Egj//Hy77eI6q6MHMlYM7UhgUQ8el51HuJxoUZtQOC0lX7okyj5lqt4Z/x0
|
||||
ro8CdS+Th4ZqiDHGKTPTXDfcaW15FHYPsQ/aqN3ykXzFXix9u5QiJAi2NrLofsbKBYy00Xb0Osx8
|
||||
v+3f/oS79o4y9WfMo6MbdzA7G7ItZxAhggthsYZVHelw97cjb3L+yxGy1CLwG3eyc2QhULxxihnH
|
||||
rs/lZnwHJaDROHw9tjC5E+HzAw7zqjibxtX2Alm0PRoCcgIQZWrYFXRyg1F+bCVL3EIQ0Y7p7PFS
|
||||
xX06iU+ZJUwRvRXkAG0SiDELBiLLI6JFE5dgT+fvAAPq7VuVwiu5zQ3MF+7rx4sVlsa5GObeynHd
|
||||
hEpgmQvtA/zGo9yb6BDKS6a8cL3nJXdb3io8cfW8dpTm3cb70OfkBBgpySiI1/Pf5Ill5STE6ifh
|
||||
VRIh5jS/yBta1Z4hsFfIB+HcJa7AuOj4J1qL2GS/SYLbJM47W9Zz7lyAbgXiK7wFZ2wlToMDxvKn
|
||||
pEjiODMgkkpnfewsVLsJCI2xTmZSCe02V0dHD3JZbBjX8LNdvynjysLU6Upv3tq5yP9Zn1Glcm0K
|
||||
hvE+PB2KAUxz/71IkmJb3FY8vohN6A728A2jwZd8nrKoxWkVbsBMeCJDzOENC4DssVqnmtfRC/AC
|
||||
V7vT8/5gf/Sunigz7Y0nWVcBTA2GyPS+E/pMzAhCsdP5VNOqG4RLBYpI2o1dWv6YOInmvRKI9HvT
|
||||
iFm92erbmT380cvxCD1gTgAPt7Gkc0S1pO55+DxwLi012Zi9/sf98deZZny5ByJgEHKD00qhNsYf
|
||||
rSve6HqsGOTtGFhiBknka4aWTZ08GFszNTjPffWuHsK7cqh+dzLDAiw9w84XQXEYhRm/hbdC4Mgl
|
||||
YL4HXFok2U1Alkp4SraDuE9b5i1x6CxXixL9ybDhGUugGxM/vgW36nWKJBBaCQnV7mrDxEINRXua
|
||||
+/J5HhR4r7MVgFnvi3/MrPK6oczmOChQjB94B+n+fR12BthumHPuucdlX4oiPANI55woNrtcT/SL
|
||||
LtOpJVBcz4ln3XOmzpLJJ95j4NUbmHDLBF/mdrZVMfCwAj/Kzo8EpuCif7g8A93+tfFJf0mIux1R
|
||||
FZLcRa/ovZS776O2yQRoOe4roSLMfr2HtT/E+y2ERUii9NHUm/I1APQBI1ILDrCjzuF541wgQTwG
|
||||
42AJIrBYTaabptEF19Qn+p7+4gTrljpUC3ds7QQgirH3m4vDhsliOwI4ra+E6erXhMiAQ2RJqAmJ
|
||||
AqH6IqYJDSdl4ybgoGdAGLmz4Wrn3i0IG68YoFdCsz+MDHNeTZnw+l0QYUkdTLpotiOq/1lpez0V
|
||||
hSFx7G/IkI9iaWNadbHzcycKuTAqR0NMIxm8qWdHoCy1H2MRsv3c7tAoMqTcWNr2skHTHotrpfyC
|
||||
74rrp9Nkz/NQ+IKcqk/E1tDjyP+PtiRzvxE0NwPqfFMc05wi5HbRU0lKxlpO+05+L9HlIgHWRhDd
|
||||
oGh9USiGXz4VgTMNE/wZy2g+RQz97So6v7SIJq6+RaZI8lSXfUsGRBRHsT27Lkif60BG8XLOf/cT
|
||||
5zMjzozMCCp3WFi4j7+K0Mxv2hkl/XejYnO28bh7PxKiPgqW2uclj0BFknzERADpfET5GmfE1i6S
|
||||
ArjSDaqMo6Qn5O9qyrxqeotT9zwbUb6ZZ6ccYhpQ+SBTcaNlMteLHnC2m6C/RgMykKIk+O/PuZxt
|
||||
34NZjRvF2QBDPq+IBAxHFMj6SSUQpghVSZWE4GtWJf9neOu766sAVuzcxrNkAKTSnVBg60S0WkbY
|
||||
BKmE8RFqO+e5/nfn8197mjhfoaWTXoSHApxi7nWG4OB7PEVeLAp62goYnkwiy8bTX5HiPkW0gPyw
|
||||
1EbKmZhw4OfLO3lK3v2R7WPHBgT8R5DG9iOp01hHRhqc68S5+YbIgs7SiQJnIgMAWZHO6dwW1xsh
|
||||
Kh0pFn9hXI4akB8acYfFE5yHSS+LNbxTrFwOKOMIx5FFDLYA+y7cp7np1vagCILFXGrI9kcHC1En
|
||||
1GTclx08PCI35gtS43DNzX/eUNvxh3WDuYahuox6gTcrXuuYGxSza7kdZZFzZdiUHSbZc6FOYKGn
|
||||
hjjZNwAnmgZ5XHW6mLSA9xoYQZmEDXn0R7YCRrptSiBd3KkDUXAd40N+4PB8L+mZDaTL09lbgH0b
|
||||
v4n5ie7oj6bWpEO4/Z4/Sv8yyICY6UAN727/NcH1x39f72iwTPgpB/9dYCHDVqd5A/iEkJj+SBQk
|
||||
duHTbfLt5Pazf/wPpsq050Vin2OvF4KPqLG6v7xkuwerANRK5Wbzv2gKmIuJTO08F2Aa4MeNrCZB
|
||||
QgOTq/e7D4p+B9KxWXPYc2fWjiQ9xzMZg7N+60qAO9YndbEowkbz5IWm3uOoQ84NrmBc+fIv/vx7
|
||||
Bo1t/M/WkZ3lxyTPzhZYcpC3ezLLLJGVThlPJOwrmkA3cdVuKzxg6s/0aKHt3sh7EYpnVa+I+Gxq
|
||||
BcF94j7t8iMAIA4S+A3eqLIYzFhm+xcdMW8Brc9zTEiuD3doaG65lWASJk4bj0eb7H7m52dg7e0Y
|
||||
ZpO7D9UCpCoW685GXajag0wzxBl9KraluEh2i7ANFzBD4wDfeojOy5AwXMFQ5A5N7GsSK3+aAZGE
|
||||
PGA8MvGwrCMgrUJyc0Lc9AhyBrXAvu/WyvY+8ASqySpWY/gSB/kf6GoX1HmVXefEIvlIKYtJShCr
|
||||
Y3jl7XspOiU/yzVNXfoS1WwyCNQGalOKib+NFQXiRBNM+76gKwKh/v2qY2PPJm/cGUh4zoLhrk7a
|
||||
oA/Jbs4lGG2/FbhAUAq66BX1xCQIMl7YCdNWb5ZE1yaC/9amFZhZBbjqF/WWsFovMUiCc96fu12J
|
||||
B0oALledsDo/GMQxY6DJwGyoaA7vdlDdkmnm6l5fE4t7nv1KuTG7EvpZEuz/lG3B+j/2Cg0/Zbgv
|
||||
IkcERzP1/VcyzTkqSQqDoXf8I7x8N79YX1bnLvow6kXMHCCu9C/n6a1xSCL3P8YxexAXCMiopkQG
|
||||
jpypcfXUZJ8WS9JKLB2X49eAxvOIzCltKl+g/FD71ajCIjOGAZzal+5bl3YpvYLLc987X2+d4/4X
|
||||
Jzi9xqiB+coGW5hFoqzgwN1Lm6LtKHVwRYEvOQjiGPnvg658SAkkLf8dNAX1Ve37upfmQVTgFNSl
|
||||
gh3q9xWILAZn81utPsLb1IQd7DYdpCt8aXmgTo3K973w/L2azWUSiqRIwb+fE1eKT3wHbOPmw+4m
|
||||
2u/lAQqxB5NiamSQUN55u+zZvRPoZZVqv3nM7ccqr7ZNyuGs2gbs8p5+AIyBefXO7+ZkMPWUxidF
|
||||
DqNj0T5zPvKR2KqPv9ICeJsbVzkt7KjxJm1EqNTgYzCIHMupOJO0+iR1AJa/AW+k8H+ilrLecfKA
|
||||
y0PIrBgEhG+UAdCg2fcKJKpMxI4DJRDdVCPEyrlJUzM8YZCVb16LmIiO0Gid+oCzg3aZBTlRyJoH
|
||||
KyWAN6cic1Kq7FBNHg023YElaCByDvyt4AuffH0KXySe5ce/yCgc9K+EOd57hl94hWsMnaNz0/Kl
|
||||
3fNqlHDInI3wh/n+fk8ooJPfx5QenSsdonXk/mcGy3R8poBAokwV63E/+A3SdUMRbRthpG2gykyk
|
||||
sbFPPOqo/v9ZjwPNp1gA0nZaOnCrn69ob+2a+0dWEI+pIINaf+f/SosO5FbGlEuFHqOulslC83ss
|
||||
NBe7BWgW1XJyMUuig8mtjRFfGh2Ops7YCDNRXTZEe8ztucctA02bhXp8LDtGaNdhi1QK5gAU3nQE
|
||||
/dPJQXDK9JUYnkJnkUN6/uJV9Uq84/xml3xwbE0C1mrfaT8ez0LGAMRMdgXxbcVMrPsO2xDxiVUc
|
||||
e/lDGx7wUsvBmleRU0Vxh1AOnJvVWuhvmMhwANp9rMW7bIkmvOsB2PLYLkg1Cxy8xjZNYEmB8QTq
|
||||
10CFpahXmbmK8Eya8uEddUtNXfjhktTdDGhhfvz83647/AE8Bh0hkhaBRPhhhT+um8/4IiY0qYxV
|
||||
aL+sfbKelMlzxqQ/OASBxUd0iQCZmjiyHoOk1eMTlW/UuoTXJ0WiUbhosohJWe//TI/cbrhmASRl
|
||||
JOD968yvBQVrh1qfAAl2AOUzMUe8fp7CpNfvdYkEd+DPBYeOg/DhoxXAYVu/Pt9e48ZxT73ZivVU
|
||||
mXaUetjLyYVXkkCnB7Bwr05MQxf1DUQ8UYD/mMHRmD/6aEHNgiim/VAR2lhgtaYSZbzTP0D9z1Qh
|
||||
h5qn8voFsbamYJQzS3jjWOJexMd9TJnJQ5mV8fsFND5MLaGlysplNS2l+lsYcQnZfQqPkLHlB6HZ
|
||||
8jp2fQi5kxXrOFBdyBCqezFEmXVCCTyuRabTT7pFuFDM14XE8eQQw1MzDAM3Bj3z1Ei+BSw7ccB3
|
||||
xe3+EIDlZrsmEG7ZLj8/Z/52Yl9nDOXESW+0U4jdBsUPy3P8zI7iYG1N20LdtyUEDcykrhJ4TUQ7
|
||||
UXxevB3o8xtIzSnJE81npUoYHGKoy5oXxGTzAGBKhg9Uc7zfNOT54PkYqsR456s4xE5VXYiguZZS
|
||||
OGa/v2JMsIkqTdW1yOqIkuzkokL8C0RNFbJ+wfvzNqYZBaR2mOLyniDBowF9VvSyHZbXDITl4JGP
|
||||
MYraXsJuf2tGh6f+KmpSOlebS4UargLWkqQsmnCZLbZN8EOKXAfBKyg6NxBnrc7+StPXzkMiEsKt
|
||||
vTBRUwPtPU+9RoNR8T3R8CMJJNfnDdjTP75/EMCfOl43uRY00BmCVANETqCOixEnnyXvzT0poKDO
|
||||
aZjeIgrMlj6uKziKmuT4RBX+wh38vxK3c0qFlmAGpaEaNzMoU5OrT+ewoNbQFk/OEE1QuM6IHpye
|
||||
EcO3lUjgt07uAakKgRlRUkdlNQPDSdEgMoZ/BaLgVst+E2mNjMjPkh70jC/AqDa6QmOZ2fm8cg14
|
||||
ytrCZugRP4VW99QObMkInuYN9taEyGTWnnpP6z8gmUdgHIdfxKVldSIh6mhu3j3IWD56uSG64S/j
|
||||
hL2CLQ4gHMFGZh5F9wvHmOWntqvNPslQu78saH6n+w3Qiwz1oJG+/QfXn7e71nNa1D2HXM7hE472
|
||||
xvnTjcKnJ4z98CZNDpKGoBLbxYGBuNbFzpTv8yEaKi+nBe6X61WHX912aIJlpQxlGgGWJrsrfu66
|
||||
YbfcWVzTdXkdcVvViqiP2xj7s77lsKoVk1lWurjrP+fi14isvV5PjJ1gkJut6DCnA2X2C2lWCbOB
|
||||
BrIRXQNN7a4aeytF83l+VGlwu0wYQaV/oNGDneu7s81wcSCi0IGkrv7E55r9l1aQYAVyuZod+LhW
|
||||
lsW+ax94t23VQT8Nlk4zTY54dGzRZylBykkLSZzLeKYu2FhKW2XgJnMVtC9LoTrQ77fhQeThwpj1
|
||||
7cvcjPWkKtrpIAJQbYfy1cGTOglkqmHVt0CVzaK0PwTH1QKCCCsIBe1XaXWGoHwkgdaBMBsDBIpH
|
||||
lWA+w1lSC+TQcrNAll5oCxX9V84UtzGkJdLOKuRLKSnb150rG5gtdimsnz+1s8SXCjEtozr3cq7z
|
||||
y2LaMuTucBAfo87KOjTbCcc9yyEnYxZPc2Nl3iGxzh0aKID8MzXJedQDSpXYqlFV91zA7k+U2ave
|
||||
8xO+Ht/5ypq1odWQOdbC/t/iz4a+DF2K3u29Q8wBlHjAcWFpYmedO9ZJm2t891etqyuOrU2flHbT
|
||||
QRVF/ZlCv6y7tRwVubob2CHbrowR/7rsKR7co+knE4IgFfTGnGbjv/pSpdDRLSTB+nuu3482ASD5
|
||||
9NXrivUHtAfLYKblBVoIghxTqDhxql0TukL4Y9OT0QgPhYtH1dXd1h9PBdUbFYium41qkS0R6I3c
|
||||
MlAt88JteqDITUIckXlPX3l2YXjt2Nv8RVUIzBzn9K4XVojV9m0cttUvIGioi6znooTWG32BMTRy
|
||||
I+Pc1LE3L5Z/IrAX1hbRY0NSUhhPm/wpSmwxc+8oMuYhiCPJ6pF3KDDC6EMRpXS1QGsIJqilALJb
|
||||
NFxOSdd8z/rAISyZkp+ZgvIReu91agWu2abxlJgO6+BxC6G4ElnNlgvfz1xOgeDYreGDCc67rB69
|
||||
GVCy9Mi5vpgSVCAkHzS0mYiG+e7L0V5SFwqtPgh6a0NKQS3mdkE2AfvvXNNIpk25iDZw1+v6AmV8
|
||||
Eq8Q4GS7XkgNZZl1JXycbggxT4gZ/230n3y5tNIbEVHzSVUdnlqoJrw3mSWmdO3ZPjo83soZzBKn
|
||||
KgAeoBAHPvX6EPHq8XTE5laDyfa4WVw0dpfUMe32z9vK6ftq4vWeaMqyTvuJq2M+0iN1Xe9gq/Zs
|
||||
cnN0oStE5UBC1GYAZOLumfHwqG8tFK7CH8kttui7FPIcd+KLLv7tEqw38Kqq4HmaLFcUVYHvp10w
|
||||
caWziAgHXBKhrkHKNjE842QTt1PGPIQo3voPnAmefRm4THhD16kOyKx51NwYs5HEqBgljEssP75K
|
||||
aht2piCScnSfTqI5dc6nC8+e9GC2Lf6Buo7YeOcPf5FHbfN4qlXMQyFgUOH4zF18ip1JySCOaoot
|
||||
QrymwQnKIHYu+Hjs8zqI+DNRxV2godHf1gLhNPfyhaHH5C3+gLel2WQ3OqSBiGoBaK5/h17RLlE9
|
||||
4ZzoTcwAZ5MHf8ufqhkO64VT45+wPNTP8XUq+bHA0hCPPi2Wc54Et7uNuw8oxAdRGKlIOw58ynUC
|
||||
JawMJQPaSExZMx+vFDzEs/mOd2MYe3rU7kw5gyUPEOHeJFNfvO5JiTHTUA5fln6hHpeDdgpILYIJ
|
||||
fNbjPwetWb5IPXuQy5kdmYql6GADhre5KOk85ctxDO3W3QVq+OlrJ7OgCh9X2SDkcvhNBBcROBGR
|
||||
5fDL7xmzV1l5fI0b12VsW8G2I0PNBxduS21ZiRiVCWqhuPE84U51ub251L4TzFvYDXpI8fSuYLoz
|
||||
p3yzgv2mrYKlCKZGHzSqKPHqdT6yxSE+gJJLL4KKCPePlw3g+Ru+09AwwIpr5dODmtCvUdb4fMXF
|
||||
kfgWXSo5XpV4VDjemG7oWZ74/D5Af/6CTHt5eUQTTtR7Y3V/5bnvBmfUk9U9rMCF581AVJM=
|
||||
`protect end_protected
|
||||
File diff suppressed because it is too large
Load Diff
@ -0,0 +1,46 @@
|
||||
<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
|
||||
<html>
|
||||
<head>
|
||||
<title>Hierarchy Module Resource</title>
|
||||
<style type="text/css">
|
||||
body { font-family: Verdana, Arial, sans-serif; font-size: 14px; }
|
||||
div#main_wrapper{ width: 100%; }
|
||||
h1 {text-align: center; }
|
||||
h1 {margin-top: 36px; }
|
||||
table, th, td { border: 1px solid #aaa; }
|
||||
table { border-collapse:collapse; margin-top: 10px; margin-bottom: 20px; width: 100%; }
|
||||
th, td { align = "center"; padding: 5px 2px 5px 5px; }
|
||||
th { color: #fff; font-weight: bold; background-color: #0084ff; }
|
||||
table td.label { width: 20%; white-space: nowrap; min-width: 20px; background-color: #dee8f4; }
|
||||
</style>
|
||||
</head>
|
||||
<body>
|
||||
<div id="main_wrapper">
|
||||
<div id="content">
|
||||
<h1>Hierarchy Module Resource</h1>
|
||||
<table>
|
||||
<tr>
|
||||
<th class="label">MODULE NAME</th>
|
||||
<th class="label">REG NUMBER</th>
|
||||
<th class="label">ALU NUMBER</th>
|
||||
<th class="label">LUT NUMBER</th>
|
||||
<th class="label">DSP NUMBER</th>
|
||||
<th class="label">BSRAM NUMBER</th>
|
||||
<th class="label">SSRAM NUMBER</th>
|
||||
<th class="label">ROM16 NUMBER</th>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">gowin_fifo24 (C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/FIFO/data/fifo_top.v)</td>
|
||||
<td align = "center">46</td>
|
||||
<td align = "center">12</td>
|
||||
<td align = "center">48</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">1</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
</tr>
|
||||
</table>
|
||||
</div><!-- content -->
|
||||
</div><!-- main_wrapper -->
|
||||
</body>
|
||||
</html>
|
||||
@ -0,0 +1,2 @@
|
||||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<Module name="gowin_fifo24" Register="46" Alu="12" Lut="48" Bsram="1" T_Register="46(46)" T_Alu="12(12)" T_Lut="48(48)" T_Bsram="1(1)"/>
|
||||
@ -0,0 +1,44 @@
|
||||
--Copyright (C)2014-2024 Gowin Semiconductor Corporation.
|
||||
--All rights reserved.
|
||||
--File Title: Template file for instantiation
|
||||
--Tool Version: V1.9.10.03 Education (64-bit)
|
||||
--Part Number: GW1NR-LV9QN88PC6/I5
|
||||
--Device: GW1NR-9
|
||||
--Device Version: C
|
||||
--Created Time: Tue Aug 19 23:16:31 2025
|
||||
|
||||
--Change the instance name and port connections to the signal names
|
||||
----------Copy here to design--------
|
||||
|
||||
component gowin_fifo24
|
||||
port (
|
||||
Data: in std_logic_vector(23 downto 0);
|
||||
Reset: in std_logic;
|
||||
WrClk: in std_logic;
|
||||
RdClk: in std_logic;
|
||||
WrEn: in std_logic;
|
||||
RdEn: in std_logic;
|
||||
Almost_Empty: out std_logic;
|
||||
Almost_Full: out std_logic;
|
||||
Q: out std_logic_vector(23 downto 0);
|
||||
Empty: out std_logic;
|
||||
Full: out std_logic
|
||||
);
|
||||
end component;
|
||||
|
||||
your_instance_name: gowin_fifo24
|
||||
port map (
|
||||
Data => Data,
|
||||
Reset => Reset,
|
||||
WrClk => WrClk,
|
||||
RdClk => RdClk,
|
||||
WrEn => WrEn,
|
||||
RdEn => RdEn,
|
||||
Almost_Empty => Almost_Empty,
|
||||
Almost_Full => Almost_Full,
|
||||
Q => Q,
|
||||
Empty => Empty,
|
||||
Full => Full
|
||||
);
|
||||
|
||||
----------Copy end-------------------
|
||||
@ -0,0 +1 @@
|
||||
RESOURCE_CHECK=false
|
||||
24
src/hdl/fpga_hypernet_dir/src/gowin_rpll/gowin_rpll_123M.ipc
Normal file
24
src/hdl/fpga_hypernet_dir/src/gowin_rpll/gowin_rpll_123M.ipc
Normal file
@ -0,0 +1,24 @@
|
||||
[General]
|
||||
ipc_version=4
|
||||
file=gowin_rpll_123M
|
||||
module=Gowin_rPLL_123M
|
||||
target_device=gw1nr9c-004
|
||||
type=clock_rpll
|
||||
version=1.0
|
||||
|
||||
[Config]
|
||||
CKLOUTD3=false
|
||||
CLKFB_SOURCE=0
|
||||
CLKIN_FREQ=27
|
||||
CLKOUTD=false
|
||||
CLKOUTP=false
|
||||
CLKOUT_BYPASS=false
|
||||
CLKOUT_DIVIDE_DYN=true
|
||||
CLKOUT_FREQ=123
|
||||
CLKOUT_TOLERANCE=0
|
||||
DYNAMIC=true
|
||||
LANG=1
|
||||
LOCK_EN=false
|
||||
MODE_GENERAL=true
|
||||
PLL_PWD=false
|
||||
RESET_PLL=true
|
||||
33
src/hdl/fpga_hypernet_dir/src/gowin_rpll/gowin_rpll_123M.mod
Normal file
33
src/hdl/fpga_hypernet_dir/src/gowin_rpll/gowin_rpll_123M.mod
Normal file
@ -0,0 +1,33 @@
|
||||
-series GW1NR
|
||||
-device GW1NR-9
|
||||
-device_version C
|
||||
-package QFN88P
|
||||
-part_number GW1NR-LV9QN88PC6/I5
|
||||
|
||||
|
||||
-mod_name Gowin_rPLL_123M
|
||||
-file_name gowin_rpll_123M
|
||||
-path C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_rpll/
|
||||
-type PLL
|
||||
-rPll true
|
||||
-file_type vhdl
|
||||
-dev_type GW1NR-9C
|
||||
-dyn_idiv_sel false
|
||||
-idiv_sel 9
|
||||
-dyn_fbdiv_sel false
|
||||
-fbdiv_sel 41
|
||||
-dyn_odiv_sel false
|
||||
-odiv_sel 4
|
||||
-dyn_da_en true
|
||||
-rst_sig true
|
||||
-rst_sig_p false
|
||||
-fclkin 27
|
||||
-clkfb_sel 0
|
||||
-en_lock false
|
||||
-clkout_bypass false
|
||||
-clkout_ft_dir 1
|
||||
-en_clkoutp false
|
||||
-clkoutp_bypass false
|
||||
-en_clkoutd false
|
||||
-clkoutd_bypass false
|
||||
-en_clkoutd3 false
|
||||
133
src/hdl/fpga_hypernet_dir/src/gowin_rpll/gowin_rpll_123M.vhd
Normal file
133
src/hdl/fpga_hypernet_dir/src/gowin_rpll/gowin_rpll_123M.vhd
Normal file
@ -0,0 +1,133 @@
|
||||
--Copyright (C)2014-2024 Gowin Semiconductor Corporation.
|
||||
--All rights reserved.
|
||||
--File Title: IP file
|
||||
--Tool Version: V1.9.10.03 Education (64-bit)
|
||||
--Part Number: GW1NR-LV9QN88PC6/I5
|
||||
--Device: GW1NR-9
|
||||
--Device Version: C
|
||||
--Created Time: Tue Aug 19 21:40:50 2025
|
||||
|
||||
library IEEE;
|
||||
use IEEE.std_logic_1164.all;
|
||||
|
||||
entity Gowin_rPLL_123M is
|
||||
port (
|
||||
clkout: out std_logic;
|
||||
reset: in std_logic;
|
||||
clkin: in std_logic
|
||||
);
|
||||
end Gowin_rPLL_123M;
|
||||
|
||||
architecture Behavioral of Gowin_rPLL_123M is
|
||||
|
||||
signal lock_o: std_logic;
|
||||
signal clkoutp_o: std_logic;
|
||||
signal clkoutd_o: std_logic;
|
||||
signal clkoutd3_o: std_logic;
|
||||
signal gw_gnd: std_logic;
|
||||
signal FBDSEL_i: std_logic_vector(5 downto 0);
|
||||
signal IDSEL_i: std_logic_vector(5 downto 0);
|
||||
signal ODSEL_i: std_logic_vector(5 downto 0);
|
||||
signal PSDA_i: std_logic_vector(3 downto 0);
|
||||
signal DUTYDA_i: std_logic_vector(3 downto 0);
|
||||
signal FDLY_i: std_logic_vector(3 downto 0);
|
||||
|
||||
--component declaration
|
||||
component rPLL
|
||||
generic (
|
||||
FCLKIN: in string := "100.0";
|
||||
DEVICE: in string := "GW1N-4";
|
||||
DYN_IDIV_SEL: in string := "false";
|
||||
IDIV_SEL: in integer := 0;
|
||||
DYN_FBDIV_SEL: in string := "false";
|
||||
FBDIV_SEL: in integer := 0;
|
||||
DYN_ODIV_SEL: in string := "false";
|
||||
ODIV_SEL: in integer := 8;
|
||||
PSDA_SEL: in string := "0000";
|
||||
DYN_DA_EN: in string := "false";
|
||||
DUTYDA_SEL: in string := "1000";
|
||||
CLKOUT_FT_DIR: in bit := '1';
|
||||
CLKOUTP_FT_DIR: in bit := '1';
|
||||
CLKOUT_DLY_STEP: in integer := 0;
|
||||
CLKOUTP_DLY_STEP: in integer := 0;
|
||||
CLKOUTD3_SRC: in string := "CLKOUT";
|
||||
CLKFB_SEL: in string := "internal";
|
||||
CLKOUT_BYPASS: in string := "false";
|
||||
CLKOUTP_BYPASS: in string := "false";
|
||||
CLKOUTD_BYPASS: in string := "false";
|
||||
CLKOUTD_SRC: in string := "CLKOUT";
|
||||
DYN_SDIV_SEL: in integer := 2
|
||||
);
|
||||
port (
|
||||
CLKOUT: out std_logic;
|
||||
LOCK: out std_logic;
|
||||
CLKOUTP: out std_logic;
|
||||
CLKOUTD: out std_logic;
|
||||
CLKOUTD3: out std_logic;
|
||||
RESET: in std_logic;
|
||||
RESET_P: in std_logic;
|
||||
CLKIN: in std_logic;
|
||||
CLKFB: in std_logic;
|
||||
FBDSEL: in std_logic_vector(5 downto 0);
|
||||
IDSEL: in std_logic_vector(5 downto 0);
|
||||
ODSEL: in std_logic_vector(5 downto 0);
|
||||
PSDA: in std_logic_vector(3 downto 0);
|
||||
DUTYDA: in std_logic_vector(3 downto 0);
|
||||
FDLY: in std_logic_vector(3 downto 0)
|
||||
);
|
||||
end component;
|
||||
|
||||
begin
|
||||
gw_gnd <= '0';
|
||||
|
||||
FBDSEL_i <= gw_gnd & gw_gnd & gw_gnd & gw_gnd & gw_gnd & gw_gnd;
|
||||
IDSEL_i <= gw_gnd & gw_gnd & gw_gnd & gw_gnd & gw_gnd & gw_gnd;
|
||||
ODSEL_i <= gw_gnd & gw_gnd & gw_gnd & gw_gnd & gw_gnd & gw_gnd;
|
||||
PSDA_i <= gw_gnd & gw_gnd & gw_gnd & gw_gnd;
|
||||
DUTYDA_i <= gw_gnd & gw_gnd & gw_gnd & gw_gnd;
|
||||
FDLY_i <= gw_gnd & gw_gnd & gw_gnd & gw_gnd;
|
||||
|
||||
rpll_inst: rPLL
|
||||
generic map (
|
||||
FCLKIN => "27",
|
||||
DEVICE => "GW1NR-9C",
|
||||
DYN_IDIV_SEL => "false",
|
||||
IDIV_SEL => 8,
|
||||
DYN_FBDIV_SEL => "false",
|
||||
FBDIV_SEL => 40,
|
||||
DYN_ODIV_SEL => "false",
|
||||
ODIV_SEL => 4,
|
||||
PSDA_SEL => "0000",
|
||||
DYN_DA_EN => "true",
|
||||
DUTYDA_SEL => "1000",
|
||||
CLKOUT_FT_DIR => '1',
|
||||
CLKOUTP_FT_DIR => '1',
|
||||
CLKOUT_DLY_STEP => 0,
|
||||
CLKOUTP_DLY_STEP => 0,
|
||||
CLKFB_SEL => "internal",
|
||||
CLKOUT_BYPASS => "false",
|
||||
CLKOUTP_BYPASS => "false",
|
||||
CLKOUTD_BYPASS => "false",
|
||||
DYN_SDIV_SEL => 2,
|
||||
CLKOUTD_SRC => "CLKOUT",
|
||||
CLKOUTD3_SRC => "CLKOUT"
|
||||
)
|
||||
port map (
|
||||
CLKOUT => clkout,
|
||||
LOCK => lock_o,
|
||||
CLKOUTP => clkoutp_o,
|
||||
CLKOUTD => clkoutd_o,
|
||||
CLKOUTD3 => clkoutd3_o,
|
||||
RESET => reset,
|
||||
RESET_P => gw_gnd,
|
||||
CLKIN => clkin,
|
||||
CLKFB => gw_gnd,
|
||||
FBDSEL => FBDSEL_i,
|
||||
IDSEL => IDSEL_i,
|
||||
ODSEL => ODSEL_i,
|
||||
PSDA => PSDA_i,
|
||||
DUTYDA => DUTYDA_i,
|
||||
FDLY => FDLY_i
|
||||
);
|
||||
|
||||
end Behavioral; --Gowin_rPLL_123M
|
||||
@ -0,0 +1,28 @@
|
||||
--Copyright (C)2014-2024 Gowin Semiconductor Corporation.
|
||||
--All rights reserved.
|
||||
--File Title: Template file for instantiation
|
||||
--Tool Version: V1.9.10.03 Education (64-bit)
|
||||
--Part Number: GW1NR-LV9QN88PC6/I5
|
||||
--Device: GW1NR-9
|
||||
--Device Version: C
|
||||
--Created Time: Tue Aug 19 21:40:50 2025
|
||||
|
||||
--Change the instance name and port connections to the signal names
|
||||
----------Copy here to design--------
|
||||
|
||||
component Gowin_rPLL_123M
|
||||
port (
|
||||
clkout: out std_logic;
|
||||
reset: in std_logic;
|
||||
clkin: in std_logic
|
||||
);
|
||||
end component;
|
||||
|
||||
your_instance_name: Gowin_rPLL_123M
|
||||
port map (
|
||||
clkout => clkout,
|
||||
reset => reset,
|
||||
clkin => clkin
|
||||
);
|
||||
|
||||
----------Copy end-------------------
|
||||
13
src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/doc/Readme.txt
Normal file
13
src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/doc/Readme.txt
Normal file
@ -0,0 +1,13 @@
|
||||
Note:
|
||||
|
||||
1.
|
||||
Cmd.do: Modelsim simulation script. For reference only.
|
||||
|
||||
2.
|
||||
Check the path in the script before using
|
||||
|
||||
3.
|
||||
(1) In "Cmd.do", the "prim_sim.v" file and the path should be modified or added by the Users.
|
||||
(2) "prim_sim.v" is a primitive library. Users need to add appropriate primitives according to the Device which be used.
|
||||
(3) The "prim_sim.v" can be obtained from the Software installation directory. Its reference path is like "Gowin_v1.*\IDE\simlib"
|
||||
(4) Users can also create simulation library files of Modelsim by themselves, and connect the work to the simulation library.
|
||||
@ -0,0 +1,13 @@
|
||||
[General]
|
||||
ipc_version=4
|
||||
file=gowin_spdif_tx
|
||||
module=Gowin_SPDIF_TX
|
||||
target_device=gw1nr9c-004
|
||||
type=spdif_tx
|
||||
version=1.0
|
||||
|
||||
[Config]
|
||||
DISABLE_IO_INSERTION=true
|
||||
LANG=1
|
||||
SPDIF_DATA_WIDTH=24
|
||||
Synthesis_tool=GowinSynthesis
|
||||
682
src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/gowin_spdif_tx.vhd
Normal file
682
src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/gowin_spdif_tx.vhd
Normal file
@ -0,0 +1,682 @@
|
||||
--
|
||||
--Written by GowinSynthesis
|
||||
--Tool Version "V1.9.10.03 Education (64-bit)"
|
||||
--Tue Aug 19 21:50:04 2025
|
||||
|
||||
--Source file index table:
|
||||
--file0 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/SPDIF_TX/data/SPDIF_Transmitter_Top.v"
|
||||
--file1 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/SPDIF_TX/data/spdif_tx_top.vp"
|
||||
`protect begin_protected
|
||||
`protect version="2.3"
|
||||
`protect author="default"
|
||||
`protect author_info="default"
|
||||
`protect encrypt_agent="GOWIN"
|
||||
`protect encrypt_agent_info="GOWIN Encrypt Version 2.3"
|
||||
|
||||
`protect encoding=(enctype="base64", line_length=76, bytes=256)
|
||||
`protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa"
|
||||
`protect key_block
|
||||
Sipzog3WsJZDpO5/LoFTASQHL3FZlTKQx1R/BdfyfgPFaqxLrCb3CcEotzf1EkdFpJxpl/RRdkeG
|
||||
X3MeNKfdMdVsFOhv0c0jGY/YoYDB7ikFTir9yhzFs0Th0+5XRIg1TWQ2gLFdMnxciNrrtC04xFdo
|
||||
0Bi7cOy9lUW5m/rjtZ7GV5mo9ZEMn+dBb5Of9e0T8zmWXy5G4sLQ9kctLfjpRteCtUGdDgKVmr3d
|
||||
eSdiB7goQdZQ11JFG3oRhfyVAAPkqTDj1dJYR80AhwQPafFpUjvXY1m/eP9P9yyklv3Zsbp1XW/b
|
||||
leCQGJG9/upETBwP1i614RfqSXM8gr5v0OgdpQ==
|
||||
|
||||
`protect encoding=(enctype="base64", line_length=76, bytes=37120)
|
||||
`protect data_keyowner="default-ip-vendor"
|
||||
`protect data_keyname="default-ip-key"
|
||||
`protect data_method="aes128-cfb"
|
||||
`protect data_block
|
||||
KP/MG/c8VAIEDD56Qqaylq/VuOXFwWatpdI6KPdqliDVywDURc1I7pi//o3XXXQreJGmuYU5IU3D
|
||||
pKtPsFAJus37ga602L/JlO+SygNSKiybgNryZZ8uoJHs3uXE/kcDFyHsOpES56a4UalEoM7v+XQh
|
||||
PJgw/e+NwZmVjtii3L6P+tcZCcGyDNN9Yoz6+IVPAbwPoQlvH3OfgrCRirLVU/vMrKvJH59718iy
|
||||
nnN1YaLUv/eV6kNT6Iq61auPbgpeAUBBzDUHB95fNV7tiV4e9MjPvjTWMbMHYzhp/sHZIDlVXm8L
|
||||
rtkH94kV/TBCMNOkCeVvnWCBNuqlA2Cq+SkoR5lBgtexwAPBbDvOxGnMP9F1UcI73bjPWSRKhFxO
|
||||
R0w/06ZrpbYVPmYC2Io/XoeRCjiiASvtNC52veMKeIPNvIXduyF8DT49JS8qPm0oRM3XC1iH9S0l
|
||||
qNsnToJe9WrzPqeqK6eiFYErdSkWmuIBkE44f1HnO8reqWyaXC4Y8b426lXKZEJaKSj9boMVynur
|
||||
UiglFj5lKbRcJzMorMMSSlPIQhu2R/OVvZgL4LYe1w4wYCHGLLda8OL4J20BPnEc4rJ1HyNTGV1s
|
||||
YId4txVkpkxPtWlKuzgmj4OV/bs72BjFhiC2wGUj/YcSIg1mdawEJxJgJjvVbLjvgSA1aGJpLkQA
|
||||
6cin2SO4atJmaVt37yp7KFFmfOUETQOoy/bqeT8i5HrhWxNSMiyOaadpWYnLMPByphuse7oZb2Sx
|
||||
f0IRTUhdNYbtthCCSFdUf698ckmgaX8b8ykIlrOyOyyOlqCDI8WslovjkeCSTjoXv3m4E4CWGpVL
|
||||
1cuxH793IIiuKjsvYMmSzTS4AlAy7Oo7GeT4X3dYIVoRKOZ8mMB0cu//vsDxb1zylvkw7b60vCXF
|
||||
5KSin4j6xE86JlgDFu5V9sF6aQi6pjqUc80b1+I7lSsJyQj7aG9/qILjX6DTg1jvC9QK2cEs/wP9
|
||||
nlfve2Koh1r/sgePo/MYM83lLyKQNaUZ6Zmh2nqOA21y3NPRqIZTzfUhyaaO2WtbmMWFR1YoP/i5
|
||||
Z6xgkNMZNcSYnqMfs7mDNj16mmB3bqv0T7U9bIRi2L6CQt0N+tX+zS7VCeyVL8vwBZ+O+yN9jBf5
|
||||
XKrJH+RkzD16ZxE0BvqAcUNP6aAsQvmmUZNM9ZXrCo/j8o68A+knNhrg9XMqys1ldPO1aPJ0ewxz
|
||||
nI++ZwMiN/8BDXGEdQ03A3GYXw09QmlZvXgrWa1DBEYgFDa2cmNqMmCpJWBJxpcMdnRrMK2MW4/b
|
||||
yhjh7psBOa+pGfkMC+QvwMgLlW436pAUcLKwgEyMNhW+EQWg7U4JTJjf8HcVo5eYKzINGqfFMNEF
|
||||
IJruQk0fDtDbqueqQS3sbmLQELHdeJEcTm1Mz94VjMCPpTOs3/goriBcoY/J4ED+nHRuofN1Z1ia
|
||||
+rt1DLOgwHYU1U+v6/4TyEX3C8cdl0MgeINLYD9KzRuNj1TKTh4jgbMk+H4/qcV/Z34opUQdkRX3
|
||||
j3IEXoC/57sopfV/D/0xc3km+YQgr23VuQmfRF/4Rq8bqGdnE4pNDjky76h29m5S3zaF3x0SG8ba
|
||||
FEn5ITYwD3rk10N8iwrh8wHxUb/Ft6gridh+bdaJAQ2JTZXMsK8BSx3S63HrdOamij6fIAo+E0J3
|
||||
9qJtxIRekHnSv7yU8sb7nPNARdthqebNlfbwOQfJNCAV4DIvf6yryFb7UND+v30RgRUdY2rKuGc2
|
||||
YY+lzlspKTCKdoTmYZQk3WtsxnoMDHuaILb+GYftuuhtRrXMZHhw4j57FejtJmxZNRPIslgnvgh8
|
||||
TvaFoY5bx6b367OXuJy6iEJ8OVp5vQRCQyM76CQGzy++psw6Sy7bGz3qB1E35h3mjmQHiFfYsvWz
|
||||
z8AyAy7Sfd2th0zJenWnqJfcEDOvIjM7ASYG7ZG0LhQdZsNqxcayCePYRKufL0Hghat1Hc4bL3kV
|
||||
5SgeezoIl0iUSEACh987xWWKDpNTVTKZe0epFKk5RxdNNtlp9VKGUgEIXqo1O6hOImLIwrX8Gkws
|
||||
WjfXAs/gZ6cbilM4Ugu96JoRIL8ks+199s0Io/8UCVsEbimz9gQGoD5VcgHLeSPm6BCh4svv3Ept
|
||||
tMCpMWHR+ZVRN39+p0Yw6bgPOHlr53LIgjEKaWc6F4VrGevj3Tk6VOs4aFWEzaPwkA2/v6Bv4DiW
|
||||
Hq/vTCwo+rWL5MmEsaE7g70uo3ZYZJ5pKGQ3GKffhgdaYlbpLv+IAqU8App1zY2cFQtlwXADQNF2
|
||||
rSAHZVSmlW+r0Psba0dItVnxWREai3wNvtCo0dFZhRGZ+kZpAmWQWi0heky6Ye9TswATwb7Gm1Kz
|
||||
381nNP5kwlw9N0hFkAnJ/S19mfXjtFz49mTZBlXaTFkIBVqOZKfBH9zeSE6OWzmBCBYRhaoZ6PxW
|
||||
inQQ0n4yNu2XMcevNZ4EeBEaFnn9FyxLHpIom8hUyF0To5gt72kk/58YLo08HbCIvCrpM6ahVO1N
|
||||
KxEMzXP6YTCn0jUan9PaUvtGrpAEvsVU6y0uSJThlCvCNHDvgPqyb5HDsf/cdH9FUeAftWTcKGrY
|
||||
4DBH2SKSz1oC+zVZW41VYUaDGvPNm4cWwN6335pinuYyPnFrXukt+JzWSxuuvrt9+jNZ/kgbIZOi
|
||||
1uM0M8fWXzswMFKoaNQqJvz2B0LKF1TryV6XhwiBTGFiOJNbQatF8LkhSWb5q0xWxaX8uPNOrq87
|
||||
gHpt7/OuWbSi8WwiWtVzlKdr1iEt4eyf+eDZZMSKplYiwUng1z7a7zB+sCMH34QNZ+ygDvReegy8
|
||||
O0465XORx+TGqI0UoouM0BrNrOduC7ztHQ7TvXQrXaF0F87dBHYfaI6MLfOHPrUnrp7q21VE2d47
|
||||
mCTRcxdPTHY0qi1wEAiccYz+qM+0RXp63AACtbDNOZfUzC0J6gj/fLWozWbi2VA6GHauVGQCEzq6
|
||||
wBAukbQAlXKIi8MFq84S2xxD+J+juflHtc1jfLc/rVfeZZ5+ZpFFdk3j2MxJ1xIObPYi//aJVhwz
|
||||
4c5rCTEPV41+Mz5Z356QF9kP/A2p6JiAZzdIk4fX4jX3JKtbhXszyvSZPzVGQK948uTDlrsE6RBG
|
||||
ioJ9vIWa/DTPKxzCZ2dYnFvg/iVaGztI8F3Tq5iMvxM4A/yAxnHCLYF+Nk/OrBaihZQwgCNgvcTR
|
||||
i1pnD4akD9QgT4tMzD2OKe7Bp793xbdW2Xt0+t7pJZPt47W72ghUzTulym8ZqkbW2+TMzTQWwFsF
|
||||
vlChIcnH1dkkm1Vgl0qVXK3qg33tOXTldNACxe72WsJx/MVDqQCmOiAdPwxwAWSrmxKPMNjY4RZF
|
||||
6cBIirI/MmvU3gfSy3yjIbRDRbWiLYPllwC4Lgvx4NMYOmwEF7I+4Cxp8iP/YXzZrNWJ0RIdOgA9
|
||||
jJumgnxsEfULS1fpqOI3nOOfKTsyyJD+RkmedBMV2YFUovJfJeWd6pcqkeDMm3lgDUmziuqQglT0
|
||||
c2eT0Q8TPx1Go/Hmzxu3bS8BG1hly3cEJsHIVAWvc83B+TbgJyiwNeqOQ1WiQkJCkw0iQ8sLB5Hb
|
||||
B1tBxSaS4swfunizNQZYGJl2Lm7h9qIHg0coCv1Ofl0/DmyzI6FrhgGFvhgRWh8DOr0CDBTmBnYc
|
||||
AqXTDwsXWWhTJjcGA9E8Ts6LIl+i7uYraJC5SDOvZdUd2MqvVToR8ONKdLlUiY1Be2+IItVIgpOF
|
||||
epqHYCJdhBkm0zjrD/VnfPW6iReXiEaEhRLJm1igM/SCQIR3tz7HqyZb96zGbzW+rsqPDCoTVZtr
|
||||
22zgzEPvCBwx6IGP0lDdcLcY3ix9YH0zVAdNcvZsQR0FwZDe+2HMs+KB7U+yt0AzztEQTN19shLN
|
||||
2iCT1+yEWYhf15JdFaPNJO77SGLjz+g/36iKWrTne1RznCjiqJsFCn9aCrA1JoJ/fhAlAxfDpSdB
|
||||
cQE2shyjEKq/Zty+p3h/HJsofBUsgl6lasaT0RfrvBISRoiDoCU36ap4pMIWvpNVeY9KmGbC5rTd
|
||||
LVGs6G1moGRGAD0aP14242unUpC6c1gWEqe0u/9rqinUKAbppUo6UeNCl8RydaMQiwsf7irAhVlC
|
||||
ckzzICihKOpAqbqEs5HWdOvy5swBX3RDGAWiVULreMpPq9l+8RaTfmDrHxDl4vGtnv+tQvxZIH5a
|
||||
k5TEnf06Ap9pPjZj6ksb6i4cJt+FOGEc0fM2YEGN/OqPIXjYfFpBVRPA9i2AxPMaauQpEK4kZAUe
|
||||
uGCOxN796V3tMxwpKNCYhYBkFTGd+cGJMQFtAMdtwLDgjeTw1FrutZOUDhOzHLZ+5kGNDbOH/0aN
|
||||
fI1lI0nzx2nyYIAtG0W/Uqi1x8QAhyRoYFpPydWXlBTYLSTCQ/sbh4OK8kRGRGjAkVkb6yniQYgO
|
||||
CBhL/yRzjdSB1eCQgqTtjYtVVCHISKyJr0qvVa9q1D8SUXdhEMKPoxcwPC4I6EGCMHI+cfWAqOYb
|
||||
oQw7q3YpQR8CY2FcePgPkQ1IsYCm9VxkrO7Qad8lLn4/UwZHTIVNaZFEbSUb8FSfJmtkd5pYMAnr
|
||||
Zmo6hAqiag6qdJdX4jmm+yQsWPx04TyZhtc9udGMGwdlcp1KqOMZJ5rWdMr9cIWKdw8IU1Ks0ZqX
|
||||
ykRCVCMrLNhc+jKKFj+WJLtsWXhD+YuaUgyuXIZaKhspeIHClhnP2r5+uxk6Y6yVQo7aQj/q97lU
|
||||
d83p4jgD//mJD4zesfoUwVcVbQqeXD5eH1LimGcwOA27kKreIXXYqLpNv9NiuIyvM8cXE6iT/fPl
|
||||
dcQIJpWhXGW2qizxStJX9NIRExrxlLnyRRlwrMCGvvPTs2B7DCPYG3X/dRbSfWVoI1DJPA1gyKDF
|
||||
8/CvH0N8Ha6ioFWaZ9M8UC2Ucyo1RPFXDFeSACl+2+vYU2h22sTFfHvrKwfV8Oaos0GpI2kaBtqm
|
||||
MqRLP0CCYXRCFpLI45WhJlAWoJNryTq1c0UBXVb9pCGhnfuYYY1rvMtpM191PvmCz11whSlnuZqm
|
||||
4SF2Q3FU1PrYyR20MDLYlDGxS+4cWot/dB0abqFBzs2/TayP18MDhjr0go94tpaurHouqq1L0yS0
|
||||
X9i5Vghr1+EmSwSSET8DebAfenkjaxqEaVuAvfPS+crI491PTaSRqT1mu8cP6NTVvmCSUQjQ5XBa
|
||||
gJFyFwcEdhS5bvRGkRqJGHGW1A1OsHbcfNv+VZOz3rQxDy57hDFvGhxO2AhXHwB0/rr58bL+4swK
|
||||
svO42iZZTnwZUIGCMdC6VDmWBrFQbLYe1NTwOteprZEA4YAPo7Ow27cd8SYbaCeeRpRyQhd7DtaS
|
||||
Gnk5/z2r6SJ9+NVSa3p7A6nZw2TgyFvIyfGvE3V9Mvtgyh104oXLCVFN44GMq8uf9xq8UAxHrkQ4
|
||||
DG6agMT3LyUFgv2vq8g9OFPvJr21aEkJtMLwF5YkwJWPpYWQX615P9pFT2R4Hog34Ring/PwJraj
|
||||
NKoup+gnkR3h72VPT+O+MpIQbVtqdSCaPm9/jtffQ6X6/3Wva1wQy3cqDxud9M4chdMoz2qqR+Is
|
||||
3MH9VAs/hZolbrKGmhQ9mr+4SD5Q+rq/3/izkaCfyPz3CGYqWAP7v73WzpWJvOvvfdxFBJ1hP/o2
|
||||
2oUFh9lGI1Iap1fnFT4R6ZcJYsnjYLqaI9FTW7wIJx7rztDn10QA+RnzmkcEp8Fqx5BQyRCpNVPg
|
||||
IWEvcMR/pZYcvhmzjLnpWzzZX37k1BUR6LNuaJFn5WDZlENPFRkYRTXjqhwAug2AAxjuCoIXmN8M
|
||||
Gkf9bfmpQf+EhkX+Wwwal5jb6pRl8n8gYIg1X6v69Np8dRAkBO30/JuLYy1YzlqJI672EWukX6in
|
||||
Jtg1OOTAt167eWQSdlX83v/fox1+8Gc74MzlnSH7iVgasRJPE5uKhGX9VDjEhaSuTyWHdOfnRU4U
|
||||
89nOL2AQRKR35BLK0ZAQ6+zQXLkX+/P9k0snFdiF4287xMEcKw8DkJJYzhI7baKlHxHQFHSZdagJ
|
||||
z6jw57APaAjL1yHjacxVPDV/dTyCjc0L3JCeBruFfpHIvoNtZC3mfGKik2Wtq57WvgHfNvs7ZjE+
|
||||
gVM8r9QgLATl+ZAUEtIerd6hD8a5kUoyL8NtAMhH3loLZNVfPEZViXJX19aRsxEneQxEioyb2yvY
|
||||
/D8kXkBqT1G+7Zfu8O8qb+R0NrzS5x+Y1vMgTKITqzh9RB7QG2fEaY2Ea71kUjdofJtKmYuesJcW
|
||||
bkk24SLDWDtMHqIGl3EYooT7rONqNYxyojy7vTqua756NuQ/uXt6lkolFIKId5qu1ewBu14cbnEi
|
||||
jieUi4ZxfDRfbrlqr0jBnEoktBwsJnmmVnso1t7V6oGiF40Z20cCr9vsut24LK3BfIyyGX6ZLvcK
|
||||
Ikhur44K/nwjkt0n6JfJD5UEP6VfH9iTHmtTVLhlyIZXJQJntm6qsHFiWA4pUaDBOBZBSoKqkHFY
|
||||
7zFW3dYO6BKS6TL4+1IBJ0zDxXKQWRF9McfZkpHeR5aBPEuPNaTAJ4tTOI/gvEHl0pj7UUh48pWp
|
||||
0xf9xmmpDGMgAcTGNMaj+L4SspnG2gl8RrDjVrxp1WrcG4b00va0gxc0J12DOMSmpm/43SELy7H5
|
||||
IMLm40k/9C1RRok5mQnYhz+BPCmYU4IF/1SPVXHrafiTr78VMtpEzAVnS4bFA8eE03FnXAhSoTdy
|
||||
R0i4MaKSDX1CJKgppxHsiZpKKiOPsureXn15DcMh2V1zd3m7KCwyPpVN9H3ws1azSOp0L00+7aJF
|
||||
WKvj08nxQyfSBn3v8TSG9SIdezEEWvKrvyRUP10l+WJTpLqpr3RJUeivqJibto6OuRrr1ppSBsbK
|
||||
MHjR5en944Ppd/dc5n4IuMB1NQsnJSSYvd2XxkGMswaAiXIdsdBDaz1nr2mrIL70izQtPoItqawq
|
||||
5R73Ike8IkzQ+8p+fBpUVmS7UHh8CPT75vajgIItn4dciIK8UA/K3Oi0nMqq6sl7jCO0Adrl5Y6V
|
||||
lLg7EX9iKqPVq+l02vcKvnK6YqGs6lP1cNthmulyP8CzpF+LMm9FMb65Uf3xMkbViG4EKF7AZcN2
|
||||
GnwZTtqMvO9dAElKllrkbekRaQFRgg64tncjAF84CpvaBuGdgGUZqx0eqBegTPTyw3yeIinDDd7i
|
||||
WIVv70ND8i4MbumNcgG+Sq7TRoWHxxL9vHT624jU9EfVn4brIZ2K4VYa9vfp6IjvNgia82PfYwPC
|
||||
ITI1xdkb1dTfWfUxeAaZqYNtUh/wzqVRQjOQc/xf0VMm4WtNYpO+faOM0nu3rOmflRvzQcdH2eM6
|
||||
KwHO794E1rDn7N6aI8Lcl8vYGakT5k6+uOASjYF8p26zRRFvRNXVzXpepsLe6UvB+hs6/essdpl/
|
||||
WN701pzK3G6MvUO9C3jDxnP6kLXrhQF2nF/L7K0YUEPxrtK7i0N94OsQNf/hSghnKTwjkjGaaUi0
|
||||
+UCV/Ez+KG18Qmk56c4UlRSMMIS/X8JezNsn+rTJoeXZTm5zhpIULmfyS8y6yx7+SM7J1Ey7+m6D
|
||||
C1slKygh9/Eb3Z0uDlHKuPJUZlH/q2/+YB8ba5mX6Mj0TZbTO5CpybNpSrT0O+uhoXlA5R3UB4p7
|
||||
JQ8Wdd1OZkFWFj8nI5Ss/HAqPpvmgeFEVgA012V70Us5GobM6PPpfbvMzZ9gLP3pri59zrR/UoSI
|
||||
S0vaFMJn1d3NqAdMOMMrVjwYWJtI8/TvfgfTQoI76/aNlHTArmob9WgEErKQ0KgY+Vwr5tpZL1lT
|
||||
htfky/Y4kMffrPAvTJi4HwWgRIWkSn/grEnN/nJY+Wz63J77d7y/BJXgmpsfzNLbCliCubQE/xoU
|
||||
8fR7hZ4TdjIJ7YTlowl5a8pEzK6MvlAyH/IWobwIEma33KH1a9YWBXsDC9ZTIe1nWJpJKLt/wzyV
|
||||
ptOgDi2u2OdlrQpmnxF14LvBbNSJxRAQ658ZM506JqpeTtu5T7PvvQzAuoZzPp3wvlBjmVwCmK4p
|
||||
ICTS1FhM7uO+TBKm5ep/PsUme01pYnGhk4By9yKQGiKrbjFzr41Mm4mI3sezdo4wy1783OJf5Y0j
|
||||
27rjJA4lYVr1vbdGWkr7aMfe1ikx/Lrdw6Q4Ntvgy9tYMXPiKIzSidoJKrwhN6KFqlNoGzgnhBL2
|
||||
Dv6uvxStXTOd5/n077RUe7KKBvUoz5T0W12Ek7L2f9suNJgnA0ggBgnoRsxApxL7QP0x+82tjwZl
|
||||
Qm07zpmRwcSUkMSuBXr+DevfGWjy17rvZR1eo7TLj5UoK3qhBo1XMcWjZrh3JezU7QMWV+J3ZyI9
|
||||
xpdoHkdB3v4UGfXwsJAKXmy1nwkLizaGjUDPAI9xryIvVBzxF7nYbwof5Qd6BB7vaSDw9xxaWhwN
|
||||
6BpfLqFjehSjWgMwtHBlGDY85eUX4PiI3FBDfIpA0oobzqKH8OnJWIno4pDovwvYMmrCkGd5pv5K
|
||||
U32lMMP7cggJZKjtdqzl3WBR+cUQTB8TDp9LriHKaMym1iF940bt97CZMvh4v8RZEI7dEysi3G23
|
||||
BLDpuGrpS/y9miky45Y4RERyIf1PHmQILirq5+PMjp/NJj1gNx2hu5G0Qsjw0ST0iVKUZ56U0o5D
|
||||
rVgoCuGWaPtR0AZZcXSy0n83Xx1fLuhllTOtm/uvqP6quvn/yYpVdv5rTaiK6lSa2oRpCYlk26+4
|
||||
W2U7qIiup2jZIKDTUeyRUyBJTBK5Ev2QCpASDTerl1f70NYWXGDSRTRbDptCY4cyWOfrrWDHaGgQ
|
||||
Yxt8V3dgcu6G/odPvLQTF3YQpLpCvsDyRNm4GwaF3g/RAO5JwmJCMa/PfTa2YcPVgKdFlhqcWTOf
|
||||
YuLq3M01kiJTWZhe0pvUanWW9khSwQIsOitKEPZnugbPfm5GsxdIb3gaufG44tDy8+J0hyv2leZt
|
||||
QLxMiVJiE//wrEgxcxyOe5KRUT7AGAlmNG3l/CrBjPd6RmEplwOHjnURMoDxZZCTv/DziGq0ytMB
|
||||
MlV5pFW6I/FwZ/4mKpb0Zb39AOnA4ZBLsYQ1q4v2wAtLQo0y9Gyrnbhn4B4pKY8g5yB4Ojyqs7Ml
|
||||
DtP2OLGG5m2dgKp6G/Zm47hzK09FcRq2OU8UqGtIrygp19Hxvj1/+UoU8nCdUqUo9oOcP24LxKLk
|
||||
qYFgXzFT8xbyLyvADXJpERRDQkEIRFHoFFX8OCbJyAw+EYrKRUTNIH2Xu4TSJw0RDvaROXTUxjmA
|
||||
7kMjyooCFO62HbfhHuBKUfQXtjfx3AE9hr0bCmPTmDcTAz1a4+fjVFZIQzlbSBxjt1q/GSqNZHR6
|
||||
eVB4dWUKNsJrqtk68vDdf9iRcIZipi6IM2IwopdPm5k/f5VaLuMnf+bdfuGUtxkrGLFAXK4VWvfG
|
||||
iAQBDeTp2ciIdZkfPTMafn0HmGKjLHgGYl/6bO2v7SU8SZwETuqZ7W99/Bn+09wcao+kii/cGLja
|
||||
Q0VmgPLZh4iYGbOaNn59xcr1+Xi1FtMaAxp/XtGXCNT6Sw0JmkCcCkEPQfmbMbBlj7HG9CddF+2i
|
||||
/zarAjPuzSkkti1vEsio40vvqio1DG5E+THcBktzq8Qg958ZAY+j0+yyDrnUgtRzMASF5ie3SU1H
|
||||
C7NcFv1IV2RgLeb9L/pdS3nK7KafB2qL59EB5GNBf0F0mXkhn9e0KzbUxFIEX8dO9dT5cXwZibUs
|
||||
uYFLFJ9LBcrrLvAkm7uFpxU3RKvWgzklydzTeJsnQyU8wIyeYR97/6RVMv0i6NOYec8nmnOqi6+w
|
||||
voG/jUgIl70rib0y6jYp4UWWLYUxNroC8JpHXRaDe83my+k9U2ej6PT/n82CQPcNAImkn9Lqo2Up
|
||||
2xv6nckQvyBxgqIVK4IEkNS5bDMdvCizm+ynKkffNaDBswJ55glO7fBP9T7FOLAYb90BsximHNXB
|
||||
YxBtVjODJN4yXGoIxMLQyZkPpYjiKuucIfTcH2EgLxf7LLi8/Ab751bvtc5rrSRd/QqdkNjGBZm/
|
||||
kJ569qycOuccuQvZJ8iY1SlKcFMx+mj+N1CbDP/zfywWZ9toJu8yjY7T0THmrJBTFRCfjsaZW7dv
|
||||
E3MNoB7/k2Y20F5bJSV1mORiaV7RdGqU0tEbDeJOFgepf/GIRANWo7isQBnOiwVbEW/jRHHIX6jN
|
||||
cYJO2SEW4vNX6jZOmv6esQRfxvFBfJi05i5eOje1RsfhRFdon5cDRi0i0svtfUr6/VpGolMV/bKm
|
||||
ukFFAAjZbswUYaNBgH793KVdfqznSG/d59eZ3k1ZG3IpU1FkiMZB6CKh1QlIrd0nDn+Ix/tEAF7n
|
||||
xW7pa6HuuQ06GehJu2g3Grl9pUxoxdvJXWUGlMC/zgSNVMU2nEyovZxp8k8BNDpn7OI/xYSZTeZ+
|
||||
Pmg9wXuXLa0Nk55lbujt8WWHFenxuOvKWD322JuMHJ7SRUsg/rCtGraeEgOIhSFRZKDbITqOQOnz
|
||||
ex5G+b3GpqaA0uvQtj1XMQZAiyUqXylGdmwO3iNb7bRHB0NpSetIwfQUtCJCcVblJbQXOiwDW5D2
|
||||
bRN2h91OgBDIyTwa7u8ndtlXeSr/LVpX6yQRPIViNKAsTeFQAanYZLtXw7ICE9koadE6VGgBFcJH
|
||||
nageCuQmsxigQsyvMNX5pujLOO6SwDLFP4GIbaF1Rc0jPcXJJttZHnxMWObZUfZUJKQSDZ1HkQ+p
|
||||
VVg+GvUhKikuMCH2TtsDrPeNrNZ2k4gKLUZ+gFgzYyWoWgTLiuIOXXgI+GLEvL0j8qJsB4QbExtn
|
||||
l9ifp6T5fcMSjHvnuFdKhmjc66UZZcRE6cpBFEg0dI1CXhYKuVS044sDgYW1uLGpN9LtgQPYU081
|
||||
ALMwAnm+yd0UE1SCcd0T9023MVsTjZoDaWQOQBOWuy54M7Tr/Ybjj/eLMGjNIlJw8qAYADOaTkFX
|
||||
iPjL1sySRjb/VhPax982J4qR2UnpNz1zODsrD8z3Q2ZORnk2GOCAmc90oi5UJUWDvOlmeS7m/eOR
|
||||
Z8WHZl9+tGNsY4WaUfSqX8MjlU8UlFgudXgou6fIG2nF9/WnLyoz0HodTLrCZRsyeeW1dtUDe2j2
|
||||
CpY+34emlHb25F2YLLGo7figWlRSOKH2+OPIs694TbmPJP16W8FmnQyuiO+t3pFkJUeSPL8iBW8d
|
||||
2Wv3jF5cLWO+TiOEem0WnDI9dlpinenNrevE569g4lUmUX9gHxm02tQ87TUMe0vDPKdIqah3/DIR
|
||||
HTwE7ypXsC254IdOtWx3kUBTtNvlyMPFXxRu292sFjXmA7wHCOCF9vmgbiu6LERtnj3rCyUaWrMQ
|
||||
/cgOkzZBc13hbBtKFuBD+WIpgZfvOy0Ept16x28d59hUKIFbtX63mkTrMUFw/E93CMsfWtlKMJ1A
|
||||
41LqVMi7oHL+i7JHZZdMsrNPdqoMHgtYIEqcbEHA32gZBPPI0x5mdnSDdJaVL7tZ/Vm54E/odWVv
|
||||
LQzmmwJ7h4GRO4v+iyXNdoET6RVpPIgWoXT6C0S8sJ+0931V9SspyVpNEtOoLncaWbTBJdTHXt5N
|
||||
fgu9RVKk5T7MLUu781JdOcUJpqQRS1wShVsI0aHSuiAlLreN77pevM/V1QnJxkAl5RV7AyMwhRzy
|
||||
TpsSx+ejbZ/9U8F+o6eKaT15buhMuqhL3QmDXhQl1VxEBTQeXRjnf7xCYKLZAUiL6Bce5gtTNtri
|
||||
rjKHuxn16ipPzD5aw4lHqovh+ySfjmBZw3nU09J6wZfowxvX4WOkgFSMkA3tAgEe8IaM0LYtlJxD
|
||||
KEA1GfCrntoDwh8PVjqxRUimf7dKr1x+pKAXBtJ79A4Kuscp6GnrKygCuOKKI3iQy4Fzwys700r7
|
||||
7Ph+7LPQpEMbqNnJVK0i2FOHPkVltTsaBTPcx4z2oj6qHaNcC5JqMyo8Gcm+5SoDTH6VIM0/tDn7
|
||||
ZDD04A20cOvqiqX7v4N8Y0DeO3NfFDRpXaJE+I0VLfLHk9EwKqmnT54wcCrkjp78ycCgUtE8wetz
|
||||
ds+kt1P97vk1YCH0UEAZiHO5GaKtgHRFXUG3HNXpfRXk0cJetuoMINzfqVa0uH0fYtExU5xtgeky
|
||||
/BBshl8c1Q/HvH75ofzMfRVA/6GPiogFQFV3s0d4C97N3IbWPsNC2bW0kLUD0iaNMhGc8HWFg9OM
|
||||
bSlVhm3Fu6TpuEa211Vr8MfxmbUU9nn2P39yrMyYS8ZWfmhet0Tg1fu3IindOJDB9qb9T8AOP641
|
||||
uNYm7ZqqHiA7lr60INDV8b5xGXQs2DQ2Ojq1XT30+OTFixAHCGTaw6atCAV6eSUvjHo4gsxFCi/t
|
||||
0vXs96cWSx/fs+IVo+gzovJDmzydqhFQtFne5b2OagDIF2+IuX/ckJxUSIdwQAltUS0OK9MvOgjC
|
||||
4ZNoooeQdL6k2RbCzrIX57+n4vPAaIn1VFAp7GyorFHsQb4Y0Dg8vYQGL5DvioYFG9LWXJk7cHhC
|
||||
KctqLVazXfSVHauSZNGNXzc3FOcDBPalonTvVT78WezTaKl5AKNKHGNQ5C1SNZP4JQgNus1W82aB
|
||||
sHZKpqsr/176lI0sR0vnTEQkvDAETvl0CBb1/8s2ru3vF11VgszYHE41v1DJqgSI44ioIxsdCbG5
|
||||
i6BOtS2HQo9kikhEB5BfrC4WyLZAAWZkne/OiXQAEhz6G8rsim6oNcX72C+VhSw0lu284HFq5uj2
|
||||
g/5nYx7Cr2PMiM/ld6wIgCtuZwfbfbOyXwLnUQrNI7yhs0oPrr68+/PC/w+LKhZY6R8eTESpmNon
|
||||
O5foXE85HraO1MphxaGB7i1Bw4hU0ndGTqKVF1pwhbDAQKe4zjygho0oZr4E+q3ps7eCsaVD72qv
|
||||
Wt1Iklet1o/Eg3Kf8xUsXEkT15kOrrT6sVO3ya0qU7tR35TIoaHhcgNJXQQgjtx11kBrmX2feHwm
|
||||
Q4eu4H0FmKLg8m0VZItkdgTeGPgipyOWPc2ESOsv2zadCBbtUtwg1ZCm3/v4TxJmvY1xJBPMHuC3
|
||||
j98QHD4NxppslZgdCMYIJGe3+GV2+bebsokh+Yvr9zUp3CnZ2VeoLzj9TrkWTQVLlqW6h6L/VPPN
|
||||
R6mGiWzBOl378UXsUNTLVq4FssOzT2ThoAPpeRgbi9Q21+KEEQ7wawL+WH9NeGWC0yzh2/GQfKwn
|
||||
wap9ix1ZMRp/plCxHxUxtfpcYz17uTQ6awUWoMkurtRvSNRqhIaPVQFDG4WQ/iNA42cjyp3N/rBM
|
||||
utsMjhEmUN0oeTml6r/9yySA6XjrOpen22vTqXuf2p/AW/jPUJ/KaCJfHpQnXRaWhQ5oOzrzDtuk
|
||||
WbMnGQrKT3HzMqZIKWe4Z5kv3M60kBUtODmzmV3bIxVmVM8ic/7KS3CmNktOJV8uqSK5aU4emaZF
|
||||
nVfhydsFjXDRMVf5VAHZn93otuihob7YRd4x+bHqtJYg+KOODzMMZqh2mjLxTGylNGiL5OxS8Oao
|
||||
5RxoNusDbDJJtVvcw2Riy9wbNFex94rLOxDzQu0J2SK9BeE3sCnRUEWWejry+Tpew19BFcgfbo0K
|
||||
ZVv7WOgcEzKntjxnstLgPOgu9+eWqmbzcWIScS0ovkr5TtTn97Cfl0WqsDiLonz4Wz0K82guf2GO
|
||||
qSt+/3y0SAnDqV1nbQrVqm49Pp245oSqiL6nzrnRKLxbms+I35FO0rkmXOwqYmHu8rWQOgnUO11I
|
||||
czdgAoVsaZZFz2151XdbIbHVOw2HT11cvO1sg60jatLbLCPOHMM5zZ0nLfvMwgthe/rxS4erVm+N
|
||||
X2iy1YX/JAKDQ7hAXY+xnGwL+pNRwWKIN68HKOW+FniUe18HVyHLDphsKrdJGt+rxBj2IuHtPUto
|
||||
4NcpFszY2fJR1bIFszIyVNWwIhD5wvtvfJiTwIxojQpxYg24zYkuI3hmbNa7GODykswkIvjaAvRU
|
||||
7XoaJ1UNZd/QTfl7YeAxr2hLTtgIXCxQFZ37/SNBA3G7GGJIwtvvF1StrEcAsVXpbtyYQlgPfJbH
|
||||
582/WmgyFaXe3VShvpsOWXcjd/vvM0adpBkrqAaFQJAo2CVcp1VNTY5qr/UNaT6vWPtUiMSCVHuS
|
||||
ei3EqvBL61yiWyGC7H8OQ+A9aSC4AFvmbA4Prtxd66CUIEzSYJJld8TEesXmU20jL5JF755wBM/x
|
||||
F4BumSj6DYWxEgok5UCkYwpRLYt7uMdSwr0S06ybrPWn0clNUjTrU6eMetcdRU/U07aClEH/vSF3
|
||||
uamRUbjc0sOvXRdjHZz0OEqox5RdCRj93/HuUZdx2/Q/Lv39h0oOZdG6ITIOMM0HzgZdYk0XdA3U
|
||||
9lgEFJoPf4cFu7fbNJEOx5DCLecY0y+ccwhvET22CrdS6Mcwtvjjg4y9r1j28c/mjzC259h1UXv8
|
||||
LlcnLqzU5n1rtRAlZG3tcYZCaE2qpX9K7pNop4tLDsfLSlJNhiEhZNQLtoY9VOD+WmTJ5RDzOjEZ
|
||||
1CwjEddlY7GddhIa7oZMB9rD6R/rX5l/I0Sl70dUXUaE+87bls/MODa5g+cPAL+Wh+dcaY+0jAYh
|
||||
IT5b1TNa+VqXh6lvcxdX1d1MH6nWrCuyTAIzi8YV1GofaXkiNP5dX9dUugWJqV5L2oy0u6sFNRxO
|
||||
KOOo7iuM0THOIwMPxvP8OgJ4ggLs9WcRzn0GNNmLM74UphlEGPaZLeYnS9u83/mnGYDH4Hcc4IVn
|
||||
BYw1aNrkGobQXAbrXVgLWBdEFGBgjDELl/nArUhLZ4o044hI+2FnuatUstW067XrY/Dj/1TWEZ1M
|
||||
FhKw2blGGct3+COSQodp5H8oM4FVC8dbEbWMXITC9/y6bTvDNOnTjONoeEoPyL9KCjWu2/ZtsGxq
|
||||
NR9qmUPNg8b1JJEJz87HqfDrCxXb6eedBv5gU0lCdVCe4uMAfHeCQo9uxHefTj5EWDtYjJ7oEqE2
|
||||
R0NEolBFKUPE0xh7WCZ5swfpaJpIkavatXguKjjTYJyyw1P3jShUujAT2vLluTcyEKqUXKwqDzHe
|
||||
XqbPlg66EJPwtCW2/R4JCuIi7xHNMCXo/YHcuJvfzpKJlVbN8xgclaod4xwwMoPgzZyrsVvEHP1M
|
||||
5vzMrW8qkB9HTkM2no4Tki8bEeg8THhDxF2WGaG1mev0ZSbGs3AZ0GchZFzLDXoylCsh6ezWKZbB
|
||||
pxwAbzE0EWtdZoYC2AVC6eHp+J9PRoQkmmmIdx4B0oEcSAh4sWRjktXjMzwlmHO+7Tbt1xSvjR0T
|
||||
BAtGWPZ46Mo0HgCsxd+jjK1cfujNFn5xa3lSqtliUN9InB6AS8uXc8A2/5ZYmcos3lXnGkhXWgph
|
||||
8Jj9DuH2C5SZMI9ATZ8nqB5x8DRzlmWtygsF0sCjVGIVYL+dL1bIXYwnuPiIdGDZKYPUkwZk+978
|
||||
r/lhniAqIkbY/AACejMuZ+qvR6QxxPofSbFMjEx+/0yEiGpdLCsHLdjWVASxAfmH0o1IM38ssXAS
|
||||
HXV31QwRF4KaSbZIgAdBtxNOrrxdXjp4kqjPztJE62NNWZWAJ45JHQ7y0SKwLQOVvVIvZSNvPtYU
|
||||
7RFkmKTne0mWUJIXm0DXaqDJxQFQI4IMP2Pgl+69sJ/9c/jwvSY+PuzIPq5Me7J/FM3+AIyEaQiG
|
||||
W0hTWwr/cV60qiLkesumf5gqvIJMWQ2lMrQn4woVUCnjDGYb1kMDLRaNOkupQjgKOKZpE1KlFo53
|
||||
k77kWnmXU3o8pBwjNFC9OPPdLRe+2DTUDRzrAnojFGktypx6PIG8+b8wqaxmZxzayawjfmiWHkBf
|
||||
+P7P4J64cZfo1Wz4udOlXaAK1nw4fPzhOghXT9CnsASIx2T7zCADX1f0/49/olLBUcfbmp3YxmuF
|
||||
qEzvsnJbJQWTeugjO0z9JCrFqhtsFhYxszthki240SNTEiB6uX33ztUNaD5yP08TsR2MDRdVpSVl
|
||||
avv6FTXcLcm8rLwygUZ01nuzW56G7JcYVGGSFQZoDSLqDSoc6kxmUABGgOJ89aQnMKl/GbG/hbtE
|
||||
zX8VC6dMtFuoAOnIvk3Q82ldv+7z42RkotDM+qVNDh9uEgN8Up+R7fb6pB+4eaa0Ks0FZ4ejGVi6
|
||||
36iOjH4jx2VvxVHTa7ozL0NJoK9u76NB9HeZJu671dx1hv5CvJyqIFRkFLLCBEjYqztwrzOAQdwx
|
||||
uRMmZlIXAEkvMJDFZa6nNIFATROORBM9GktKOnHAxd0dgVpUnXH9CrHV2m9SoVJ99GZU+H6EH2ks
|
||||
c0eZt42mC6WlJIIJl8l/X2OCmIsk8J5iKL0eEvlmluDd0tPXRacsWevQkGr5mC1dJYPv7UxJtcNR
|
||||
Gcff1GjV+6WQzno+kbJ6d+meBWb+MsG7NeOCm3AMCsTdnLpojHz33usSQB9bpxp/QOb8RAi1u++2
|
||||
gnOCXU043Q1ZescW9EAVU5CSSp2UpShd2CMY4CflfKwzff+AGs4NbEo5o3SMtXSTogyUmA3wFW7b
|
||||
Z/7TyUKyzlefTxTqdF/d6b8TCJh4P+PMz+e+HT4T3CxttLAuoQrbk8QNnAm3gI/0ce4FRHt2t8qq
|
||||
3e62dnGaq1ApNtf8NXcT1rqI/ETy3P0zJnAMIqBVtydswqgXB77e/gvpQLCV7vd3OO3NJHr4mquy
|
||||
0g0WWe1xrbOsNwl0Exg3CCr5P1LE4tdsO8Xi0NXFQsQGmP1TBVkw1ZO04Gj8ZMVUfs9Djostfr5o
|
||||
2pAbqxxZxGiHnw4T0xf5TApi8zZjCGRkdPLcmAsUkB2Q45dJhYkZf/TGdmWIUFhQudY7mWLaYQ+w
|
||||
b6LWHvvuJE19QGD55I/CnYdyWmDxCTdOI72l9Tssl0xaKFOtRQgiTDoLT7FGwVEhtZ2E5VX9cEUb
|
||||
VMV8lqyaz7WxCaIqOf+Rdbc/03tzk7ytAA9QKjl8nOS2zvz5Vx1g0uITBlJy+u6iI5KFGl4DpyWr
|
||||
6IFRmjj7kjQGwszkrZjZgsV/twNSg0ktfOuPoHfKn4R6ZxfFIjAxJYYt0rYj9yh0AoS1MaqsJ7T/
|
||||
ZAQ52ysWy08Cb025AArKsmSSDM+poZhySaS46De3OSpCvWzAy3TcnmajfA3r+UHc/44LYq1zO1m0
|
||||
XRidRbAR5pDQR9Dz9qT27hGTgtFNNtF5QMlzBQRlqg3LtKbZ1gk4mH2Mw3muwvzg/YceHNWE7+Ma
|
||||
Q0+KZoK+o6IYapsyJA1yWz1hiAg678xCEDgzEkoxaCp2XaadDxAEXCUDdw1OTGVZzZMk/4+F/ZJh
|
||||
0rRmkv5aOQNuBtXjKy1summYQQPLCBs3eXLkox0bJ/D+N9ww390yuhYHUcYkpGkPV+agDXjUPH50
|
||||
2YDP9VM1F5IaAslLSBQW93ATkJMNX6y0sFuzLX1CWyUyXzcb6uYmLdtuY7UvSooAiXZwoiJRKwlI
|
||||
Nbun2r7oE5MsJQItNK6R5NV8XdpYCVYytHPyBjgEEwLjn69lx4NraEnl7wq/N9QoL58gX+a7kfJC
|
||||
TLQtssk2vGJ+8ZXSrWPHHz4YAvXKY/ehvmjGpC0kafZzr3lZ70IfyeLI348HJKJNv0r1dkVWxCAQ
|
||||
fnExRSp61w4ls+0Uk4X/B5l4aQr1PrqjUg369fOG0VshJQEV00bgjvPVRrZOdsNR/nxOyad55lD/
|
||||
nXKx1CtD4CLwsk7CvhUbt2ONZjMDFVN+++5zMtN47qQp6yRU+AjNuAykOPpm1O7cs9Lo5PsNgNX0
|
||||
FaRf+dJqKdMFl3NUjqyb9bJ8DrCiO9BgfH34dUfLuchA7gIrETAa4OPsO6HKibhwJbzS84PD5Icj
|
||||
3gg0P/VKLofiWD9xJP9rWANfoPR8f7dIyLOkwx4+M/ieEZal5wpYESczHzf40N6u0wDjn4AaAsil
|
||||
VHHdqQbRHND7eY1Nr+2oJPxdGEaPBNyG+3/GVEZZmxr1k61yLJ6pZW9uB0D+WbhM6CKCiErQVTW7
|
||||
0xQjn8ULnAUQbLGVs8JTklVTM4a4swgCMZ+LK5GUB+PIkuqzpVnhnLZQ/ZHaRqEkCKHW7vlmprTE
|
||||
Wg4EI9EMnuUCelcphbpB+33XiQWahrTbiguxESslrTOSnZpHiZX2CTTf3pueisBR94QzE2obBSnH
|
||||
8WOavikprrND9C4ROSVLTzlgD0hd8L4mzgWyMzl150JEfqPYuvRBVVNfBOUqea0oZAMZYRGM9jw7
|
||||
0Lf9/pmhVz1yC1ecl/olTHdnBR31P3ZKPTsZPuL+PDyvzJVe114OUo6YGsmcUYa4iddWsVYKR1wK
|
||||
cbGwMq8xotW3uJsm5yDIvOOkS2oY1JExIP43KaZydwqHW32j74nhiH70JNDQQLumrzUApLQDsifT
|
||||
1bp5H9mdX/xVcnGHn7EQ8nROnInmvaNfO7t8woDiof/i3CDBVvqfP75fIqbR/6CbzROEsR4yXsN8
|
||||
CzkqJK2nEoydA9Q+X26tI/zFyPi158cwJHJyCDcTy/CTJWIcrzHUZV8ctmu+W4Ar+AkHXqUPU6N6
|
||||
Vq8v21S9QLo1erL6olm2Vmhz6wcPn/99aoRXSDCGzy0VCNGLM61RDSA9wuEG+aexf5XRMpvYIsS1
|
||||
TyGWfnYtbiuzhCPOGYsRKr4W1nGlNrOdlY62YvwDgTpyyoGo5+H8abogkPWFG4mGgFUONuhoNfYb
|
||||
DFo9mUuh/oQ1egokNIUh76eXWCzNWOsuQvmSRmHs3lixJh94uZlsKgqPzvDrjoBxjsVDSrG+jv+1
|
||||
+13xMCao89ShBG1cf5prxdwjr7RpJaCi99RS1hMHHKQ0JKjhJ4G33uGpaekkKzDqtdm9mC131z8U
|
||||
A+O4r/xberEGgGKv40QPyMf/jlmv1002NPIC2iyUyZUKW4GU7Lq0sNS/0EyjbktsHGUDYymgQz4L
|
||||
fdCc1P9Djr36rtpf6gAdQ9zOcRjO+HTiAMhh1lxyVrwgANn00stMlXqiPImjmkIaCkW7F9pDZ5P9
|
||||
4kxvvmD51fSmDhPdReepuXSoqJ0GzJET8UgKGTAgMxd+zD+AINl6rO/iEh6lvCe8rRaFIwudao+/
|
||||
7tm+xaZOHjiBsUS8gkeOnh2S+L2fqGnVENAMzr474bCBO0PkjaEI/OPKUDnLIHm4UNEzSyihSUSD
|
||||
D0++VA+HumxaGMmAlglh0MyNxGgl0yIFbuURzWECrOfMv1ZrOCrJQ+wLxRF5TBC83mQWIgkbm9TG
|
||||
NRlbOUobG/iQzLHHp6J6tuG9Fawl3VGT5AGzR8Gq4AI8MbHAnDZoM5n247At23u1CSj6c1ztO6/C
|
||||
xzGHVRshfgh7GWWFktVxqTMBOVhmiQeiDfoVyN0eQPFl1xFnQQy/j5K7QsH9aGwM7Iv/yeAd22iF
|
||||
IJ0S/UdeCGMm+f40gIXwU0Qtwi567temS6qFsb+0OlNBzkTBGRuTsIXjTiJQtHvPjz/FaUqti1bj
|
||||
MiNRsPiZ0wHArNLQ/nnW5ZUevKdj/UUpuAY3TddL0YTF0x9+3kFOaA9fCL2H32w4MTaOOHJtElPQ
|
||||
PNUfTgcNIH9R8v7Y4HP6VmCeWxrLIP87sObWFvkpzC/jG85KunJGQh3hI+0Hu6D/GMtMFGeuAsjH
|
||||
6Oab1xzl3t6Yw9IMREIyFSN0i+dQx4vsN83qRa9BGiJcmaFSgL9dOZJKC42iTYP3Xolek6ajcYrx
|
||||
aZSqkjN9w1nb1Q+pP4CxmBLeL1V5Hu/fwrfI2KDS9mj24Q/TSa3XMcU8vmZifoWyt+WdQmgzN0sc
|
||||
B9xNRjIQYgUe6jO55HYtH1vjGzbU/BZqSW+tt/i98V8BVLRRBWugMEro2Ree/XpcDy3qwndwlVbX
|
||||
vkGkto+uVM7aApcetx0NwBG7edGz7TsB5eWxdRyeL8RIvic+nsfiom6Xx1GdM4gmUR4Q7AYpUrWa
|
||||
ns2KqisAzryBs9PuiP7gFOoZlCvnNdzSTWXXh9GIFFryglcOuBSLHvadZGUCCBLjXk+CrxP77COF
|
||||
210XC61EU/pGh3uiHxq9SQ6ktDptPO1cZkvRNbg3yfRHhlqvLKwQL10o/MfubJepB3pNz238mjXM
|
||||
lNk/Evjkk19Zc/mcMvCIH1YeEM78PvMV0Ib3FnZnjwVQSkFJUyB1ea8whuo52PggX+7T0j9nf2W7
|
||||
3P4OVvazlnOTc0a3fr2526CVai0M/ks5f8BHBD1OFkX/+4Ubhd/mkwEpqoM+g42mniIgzpPM44LB
|
||||
/LDop9BobzqFne+OQlArMUk6NGOP8Ks/rbg2durz3eVJeVsVdi/1WwIHPv3VCyReIHeJnNB4Va8M
|
||||
avovZuNRtCJTQFQIEz2mY0EyuNotsXnzXurhVczi36COIHqKO+CxpHH+c/te7BThWkZ8ySKA2YLs
|
||||
s7uTIiednPMASg5s2M+yJVlPGprQoG0lzGEHz6hk1pHAwbq1rfqgewYV9zerbBFkfqLgP1yCxP1p
|
||||
1nRizsMlTFkHA0Kb06hiTJ9QiZ1ON+NNPfqAXga9KdUdhianRtJlkenPX3+vI143LNzJKX28Oup1
|
||||
zdgpZZdwPnUmlXPo8AnN5ZLnZ1rJ5O3vGfUrKKC39SwWh2TgThtVy4F6cJgoLFapuSRQ/M+EPBbo
|
||||
Zvgpr4mm8qLqDwRo/1Deo4icTxpZdCzRhsC1xnjxyMq39QMb3tWtt0XGnw+i8VSIWzxeIy+QZun1
|
||||
Cy08nSUHvZddm7461pQZ6AusL7vpDFTWmzU0382OiFw0Bp1hdpbGMELh0K83/ccEpJR4aeUE3RYt
|
||||
EYnAAhcfA8jrtuukomqo7icDbSjQeP3ggB13ggdAR7A5ZgCPyVVec6X/RKY65GCKQy5P+syDc5jw
|
||||
vCjiSlqP81PpUfw+eczfNNPPRzhUT1SkIbQZWPy8uFpO+xlHipfVuJP2RhV/NXWpvSNzJlfUa/4z
|
||||
EffZrgazmWMsoRLz6zoDYbR4TDAiQ9M8AlXb98VqsxM3O09JdptDua0JOl64/MtAJwgCNeau/PUC
|
||||
byDh572XsmuosunvYymYuVodF4oDwOP9+2yz/X5nxFVCIryCLQL2TAVl7yafBqX77uoEwvEJsnwP
|
||||
bNRPLeEAlQEfKjs/8JS8PA1fpDW0IrLZVPa32P98PLnryB6mBoakLEJUXpcyLlpE2MXgnuJYdcMr
|
||||
2k1Gvu8heIyiW7kApyhczsP3M+TcKiYM+XNyGmXBnzSb3vZs90UDGDd7hrxtSzvWmJJbO7TPj8eE
|
||||
6iHO2Nw3pcXhW4v3uylyOEAZ4WPAQFAJjs9GQc/vkYlKwtu289yOsEst/LWdjgJuDcaJoyP0DQyd
|
||||
LlV40nTH3gXyhnYC3AGdtfdjjmJDGrHa4gJoG6AIGcVkWILs7rj0r4fhR2e1zT9T2ukeZIT18IVZ
|
||||
I9QZnRceg/QGVNkvisyQPUYrk4DqMzgZmQ/Uas9m9Q6n/6I/kw9D+VIe/9YtjXxo5supxsi6aP++
|
||||
spNe4yYsS7ttZBjTPJ3qeCRW58G2oCsSOHsKVyawjkz7g20pzeg/5tuK/jxG7konTJdshwLWDqSL
|
||||
7FGq75M7Af2TVRDECBSfFVR8ma0hmzwGuC/PlyaYxM0mjZNKkxtWhl7Cp+iKhH/VviVji1eFZFAH
|
||||
8AbQ1CqMd0WuFIxq5Bhbs1VMoKVzOW4wTYngX5iweeX41jzOd8CuDsQNUNJO84jPXyUD7LpMCmMr
|
||||
T674S95+8U5zM647vpLUgrhwy7FNZuzfO6BUeLrYi5nRslVSzjKBn9XpbX930A0lgsQ7lLBB8DLX
|
||||
5Cz/7p08Z0EEK0gFVG9Uo3bEIok+BW/QV4VIcX91ed4906kxfE0nwq7cc1LHb3bQ70ysaDp7RRJg
|
||||
NDlTnfa3N2L0h0FZS+hbozfhaVmFpwQsm+aBMThjAS7zigDMYGPJntIlVXFFWIQl+ENGBVlT1QLz
|
||||
cOpv1P9Bkve8yeDh2HcqhijAC5HHqfRWJlb/yUr0zhT7Jb+MpdVGkl693SYjy3w6KyrP3+qILbyG
|
||||
+f0cVrW4RfZEBwcGncNnx8uR5LXn1WKNkUXVgFaMdR9yV4XSBkcPf0Se6L5EVQaCZo9rrk6q7uqJ
|
||||
Jn8AHosXpzuOTjiaMTSx5AxpZ+MpGm9TSXAzkdS/5AtFHB1XlMz3tmu4FGiFg1VckPBvf9qnPtJb
|
||||
t05ZP+wD3fTlpwcoOzGuSy7rwW7/GloFCBkH8arJxxrtZ+cP7uwM6zwBb1r2AXdclVMuIb+jhI/S
|
||||
+bh9+WmQY2nve+UQl6TzWy0DouHFtgpHTHeNodWVS6XboI9F79jGoz/vxB38fpvwHxY4tqa2KmDp
|
||||
5L+e9V+L9iBWGhQdshDfaAp+JTEDxRo6UHhWQb6qbe7sojwqPQArZgAMVeOu8kZb8ICSa489x3w5
|
||||
ZarNbC/1qyzxjF1QnrnMRXLxFMMJwUPeZuGuH+xXZjP2FqzVMvEGqnc0ipMjTfMHihxM2yhLmZDK
|
||||
Ssik66YbkN2CHx9SmNt8HgG9WgJ4HOUhs4Ig9i6zq70YhNK7GXs/20g/3qZC24Yy1L2F0IxCVV5g
|
||||
72lBpLrbR8RWAmmg9BDVnKT/5cjwJI+3/ZIp4DBDmXvtP7MLIuWGcSzr2kvA5D1wIMQWkaaq4MEA
|
||||
snm9V4jHodFllPyh3nfUEu/HpbIOaEoOseJH/T6v/jBo4DrDUkNPU2lcFGPKIfpH81yI+Znz0eRB
|
||||
9woKFQO5kPtzjPPcN86iletSdCwQCJK+99tlV4GS2rPPhvDotWu6sATQmvAgYWuQkOWxtBw3LKWE
|
||||
zZ9fftDU7xk9G+tpXW5HIsj4QGeF9zOt9oA4ifkBS7PjnUhLfQaC7pNSZoXEcAHObwX8pZnlXPG+
|
||||
EcYfk5RiSo98VU1hY8IL0FguUSUHQSERmIr02Ma0xhJfS+0RddJYlj3ZIXACiaccmsyAv0pb2Ho0
|
||||
eQxGJLxOwL2/zfpz0Zg+jwGRLPEuAMUn42PExBzUsZPegX0AIvyNqa51/wR02OQ76RojsA2e1a/0
|
||||
8LuESosrEvcdTdijNv0mxAFmzMRSIspQfD47TWBJ0k4lcM4cSB1rNgoIgyYqBJQJXu3LADTWYEsI
|
||||
w22jpBnt6S2j5nFDG+t0c0bvK+TAKI32G2hiM5Dhg1AiGxivMFyzBAxzhfAAEiZM5seyIxluMQHS
|
||||
/8OBORt7qINAKCs4Qkfn4pS/E5rSkmz3nVa8+UYJyi9szDOtUpYz0VKTviPeYKOrYvkvA1xs97k7
|
||||
IElayZ+PTDKmfhKCzwd/PvwXxSrl7kgZHLrfaTGXEtD7pmCoYINzp2fRKS+xZl1A1oGLs7Xk/DDg
|
||||
IRFQ/icqEE3r9Vp76RqbU1yAMdHORvG3pkYUn/RXRIwXp8W3IMMSzREav1a/JssKYJY9ctTElFvB
|
||||
YcZH3Yn1sXhB1ok8L76OSawzAM9I4ejiIqo+rqo2V8PLbE9rmcQqJdQXkxRGNF8e77/5Rx94ZhU4
|
||||
fr2hDfzhhRQNutdl1IFXitO1kJ0vpIXKa4qEorZKjTkxmRZ0V0h7w29uYvHhXv6lCq3TRXQMdWbc
|
||||
GK5WjmWursRnE8TTDkrO+gLIldcls5zm3pWE2MxDS13lk0Me1+v4AsUzR1bVPZe9W+FydCzRIclq
|
||||
APolLCB6SO97wz9Ry4TB8wSIPZgvxKyFOhtOWN1GGdGGoYV3KojbtbkF7Hy/KWhNgAfDu5wq/5Sn
|
||||
8bR1ZVs9ziRYogyRpKIdEMDJ4w1vhmDp+7dLl+o/emHsWooaRaef2TYnLv8pTHjbBfJdlU7Ijo0I
|
||||
QZYdv2QlDQsN4wLod3nw46a2lLc01sdrpmdk8r9eR6X7+9og9EbRf9cEB/Z7oXWyeVRvF0LgpOoJ
|
||||
4dpKSyS3P5WNUlUVZ5gt+TR5SXo9inqdxlgDvO0JI3jhc0qw6rwXn87SHIVLwAz4nyy/GP9gJ4eG
|
||||
flivPeWsIpXyZvqsY4QRCm/qA8mfJQua067vQ8larJlOviuR1AJ194GSM1KFnc0FGLc4IgS6K5ud
|
||||
NLNVLTc+BRusbDyCxFXcyepB8dk9QQw/kZfJMmht6F29me6MW6k5JxhjIRaXvQRnIpNt+ZQxqg/l
|
||||
Vfx6TjGl9NDenDHdv9tP0uB64Yfy2f4XHfx4ZENo7oAqpu0SJdtISx5EQmQZzHzsgNBAGJQm/nbm
|
||||
ZUNL8FPkxI+WTYVawu2pohzABa8EmPfGb4Yaw2eawMN4jWBNT7OCqDnoK80Oo/dvcKOOBXxZUXfj
|
||||
/jSRgV+HTxsMGKmJQEhJOpkrguUuX6relkcFiR87xplFRtCYQUEESafy+RQogRbvM/TvMN9GxB0g
|
||||
jMt59GJYDgHDLH0LcIHyZfmT1cAdzlhFmhtFDBrKyZkTgeAHi1VKLLcuHbC89WZckpMoDk4H9D3l
|
||||
K1JDbZfMum05uk7dNi0iGkapOhA3hul9SyeeixnViZZpC3payxFiZWCU8zaaFKdcvtS0shGmcLnE
|
||||
rQKJdmjsp0sN18l1/jBMYaDbV3W6Y8fKQjy96RLjv9yypOrgT89HEJ4s12jPC+Gajf6A3y6EpFsB
|
||||
rIfRhxm6va/t5e4ggY44HZeJy6p/5UcgQV9fJ+YT16FRVole20tkd6CHrbpKCjqRywLEN4gl4WPe
|
||||
PvXC0q0rDZcF9Hzgjqi5NgA5G6tZn+vv2HzMgRIXm5Qr/WoCU6tFFUVxyAYJrft+ssk0RJPdZXPc
|
||||
me71oT7zTEaZ3WGb3kvko5bOLVrCXg7C4umfUQlN4XLq1/Ujo5y+sECeDrJ6lg5jdaZOlF27TvAH
|
||||
Tt9azvDjtYlJzBjvgZYwyRp2Rg+VIr7n5sf+kYsKGwI7GI0IDwFk1erv5DcfSFKDIkPpDXkC33F8
|
||||
SM4S63anD2QOlheX5osUBLZg7ITBdH/O0udeOgVTDUGdaJirI/i6n6M8rJJKkrPBn/Q5tcIfDy2V
|
||||
sZAKOnVDk4FCDloOn4Qkyofag2bxatZEqhqym3rgCSoNBKpnBoyMRBywd6vpId3BP/Uhg4qcDdEm
|
||||
d+Zpl9ZHaKth80IOILalwOX5LSMEHpeUig/rvpKya7EwRUh8v4AgedaNYIWITIZ1t4KJXni1ByfQ
|
||||
5iOD/ktb8DO648Mcw/J9RrxcPzX2QMYd78Qia9tWRahABrw5smPsf3km9Me6fVJ8eB2MydG8we+8
|
||||
BmEISp/b+PTo0odEGLKkIGw+hS0Tv57pUqn4IDTdqe21CJ5QUrtjt0ht5BtObQoaMjOCZ3vNPrlZ
|
||||
pToj+wNJQj1RuhmW5HZJ/S5f/4gBE0JyuQZ+x5VWKG/yBqn00Hld45hHwUMDPN1+Cs/9znDZKtBQ
|
||||
tKTPbZyCd8tOPj+ElgqFXfsidNjGa8RFDazdlHYX8J95tMHkKs8j+pE8ClPJoFqCyp0pLSzHa/uv
|
||||
IjpumoIWPl1nd6lOcoRaelC4wTsT1QIAq7D0LA/10J0a9Q6sN3O3ftzXOHyUaBkaSCeNx8+pIx7m
|
||||
tuTWVCsEoDqCls2T0oLjGfZHUnpUj7dVyG026sLFufXfQ5HXuHnG5L8J+xwcRJt5blwcH4vmQvD0
|
||||
r4F6dnWpmYYT2cy2Lk8AEBK9ojDNGXCN+1tkU/lardNXxRufP0gsbxn2gyGUhZvwLHi9zygrdxeb
|
||||
wIoyTolR2KFuLfaosbn2S4qMM2fXFRALxlNlpUdnXuihMgQBtj9Llg8JUIagdXgP+ubiPgA2Q3LW
|
||||
NRLCQI7AwSWpxqwyHThTJ4b3bS1KywQoxglXN7YSDPE1BlbiblNM+E8y4E5BTuV/DYbqTjqoA0D5
|
||||
thTHmr0ufl2TJeVwS9+Z1c4bcsfL+uWyd/4mo+lE5SXacya50i1mUIsdyKjgnvdkvd2hreW8/iTl
|
||||
8xEwNRnE4xpd46zQ62xhF+UyJ8jUu8jlVZjRt/c3cgLdJSH1+MgYm/DdbAe2/VpMnQB9QgdQg9ih
|
||||
lHenCvJYtCZSoRFV+j7259HgGj4pzeF5NMY++SugqUXM38M5OqFHCRiXNPmJ8hxyVT7knsDaEEah
|
||||
yM/n66JBn6NVemeDWBbJuJjGFBkLhz5hvQL5XW/r0d7Gzig8VjdcJkiJI+BKYs61Wuu3Pr/htT/k
|
||||
VuUB893YLEErVSjsbq608mtJVh2xLw5PPOu0hbNq4M6jBytXhYBWhx/+BwxEauVlWv/Ei2efT89a
|
||||
uoemSu6eEUhFs0GRfvN7GjHUPf3fa4xE8gTArW8dRjnBzsxS41SOZXmR3oMrfpIba/pFfyUvOx2L
|
||||
/ZDKuf0LayKFOfA6CtYHcQmsUYt9t/5C9RztveZDIjo13N/dT4hA12cS7mN5/HvTHEGPg4bu6woi
|
||||
jQgCURK5fJqhef5Ul8paNw8f+EE/rWo3wTRdtzYpRrzGgJMOGpB1zk8wkjQnIeC/N6XE/J52+Rw5
|
||||
SKzmjvc9kFMUQSg9PSRvU/4dk0j0xGQ1kVcHVO3/yUws4j7h8TrQZ1v9hdPcTOLSTfVyzDcCWdqt
|
||||
atcijig9rW8DKgnhgc2afO4M+2EaX0IcSUwYQmhnrqWTDi3cyyKpDz7/fgO4P2O1Dsdc9FDLVTus
|
||||
A14EvS5Ke1DZyCZVC0em8qQr3KI0De5t/60NB8VnOABZM9tVBMG0bl5SHThsIniaOwK/BCikhuIp
|
||||
pxYtUncK0jjOJrYo/VMErpF8BYT18fl/Aa++rHpjRF2uBploJIUV2YVmdXxJHPHY2Y5HsuwYLZ21
|
||||
1caiW3sxG0EadrziJLMCvBmDKq+sBQQO8cSt9NuF82Yxf9mgYokWd5nR+ScAUVl1+nHSwlzrvfRT
|
||||
LDCM4Us3PvUqLX1BURMVqObcHKIhzyJchRi2luOE8LezX9fsPCiUcGSaldoIWqm9/CVmx8oohyNy
|
||||
SUB5ZEMnELGPklH4VpJ0CypgRlbOBUnzP+lqDDNPdcWMccw+UbwwfTOb5XQ/MOHPom0dgIsG0my2
|
||||
zvf/7MmP/C/FQGxmb8H77R/X0xrdCFFrxEooLdd30sWQhzGYnZxRd2plAsMhMmXL3GsLf96KjiC/
|
||||
pPChuMqduIpk+88CXMrVQrPl+SDVnPjg+e98aIBpeP+RQ3BK+PV5fzpU7SgXyAS5Co+BJzkzu3LQ
|
||||
lhjgDwxtkhcdX83Cq0TDnwrEzfhPhp6ennd7bq3IvDMlTuSdlipgV4x3gjqMb56gevvD647DXMZP
|
||||
lVjYwaoRlNG3JPMIzNWcc5hF0KNTJSO7zgknxqCnycEGvTUmiqFGJD8AvC+UeJPLU0OhGJFrYVxC
|
||||
xZADgeFoGxbapp96edXyMuDxG1h6Z2tJrXrAot/sHhp7ph0/bTwVtfjgXB8AH9n5IBCcnbCx1NSE
|
||||
5q7Wefu353W+tMLWtL7XhQAW9i8tubDHNDzZ9lT2Z/QnTwwd539ArJkZeo4HHfGfeld7yglTbIP1
|
||||
XG2FIk+0E87J3VILQ+HIuKhCQHRuNlZRLkcg95gPZVfwOTEDk0M/yJmhGkn0HuIsLKxMzts0VeRs
|
||||
RhOj4Vh/qwhNCXC86wg55NfxaqwCA06oI5JNt6COJMndl6paGZZD7u15qMCFJM63Ni+7FVhMHg25
|
||||
IsDbXJo/u8/Li/Cf7l1WJTHHd64upXJI8+zBM+oGoAP9SFzbuvb3S9zs0w6MDLmxC4nU8xShpPdO
|
||||
ZmDEO/yOhqewIqyUumWyONlGBZpE83heJDD4Cnv8fxnYYUy3uybP8axh8c/p60ov4X+B01zD79wi
|
||||
ePDqp1hv9zaVFBgUl8kYlgzrAXxR+ix9Pkfn1pxCztOBvgZOACUepCE+6AmYaHYQsTmJtUvo4QUC
|
||||
XMlGIJ/ZmxVt9xn5jM+kggp6pOM3w4bBt1gdRJPz5W41Pu8/BpvwHywgLz18jatTs2nA0JLLwfJ8
|
||||
dl7fs5ggqF/YxDhZkfeQ1pL5IFiNC68oIIu2XXaW3B7htWssDkyLKq7ecwVqoZvKQtFDH1asJhN7
|
||||
BqvO4u4JlQQKD/bUdlLPwP86qUkcz7Vnx/6p3ayWSYBdi9o1iibiqcdM2ooLqnWvMNBpYUZYY8lN
|
||||
vcAgeyk+2GujyWga8UajD78KMvnJ8BOfUf6wj08XjjK9HdOhW1Q9VCcbKLvzI+6qCnffibr5oH+U
|
||||
fwSZTExedqEVXcpC2GKlM1ukZRXeHgBOosjeOFAtzfcKGfYH2DcnTEFqxRELuP5HIzxS7yTR6tJR
|
||||
lNHYpSm0lENk8rYfQasgMjLXK/klRiJbAXUGho5DNjkv+0gThJ7DVsEfniGKWXMM55pUzWlouSNk
|
||||
u0sTwYoTmjEoz+rUbPnbatWiJ75hIBRgaz8AFLfI85Xuwhrnq3RB85Zq6XjhT7LJbZOfteuGCR6w
|
||||
TsM7xbbEhtu5lIN5c5Fr4pMwdsEm3Mi3cTTg6+JQ+3yHj3v2dH3Lpe5St0Lbh+WKubpuEZABmhR+
|
||||
Q2sttqwsSyyanqE67XS0WJiZxVTSNN+Me02h5fRpNktD5i8MEyrEfCa54GtDBLZtaqW8hx6W6ARO
|
||||
4M87FhvDteQxVKKgGvmN0rU3wYH9KMEjdZshIR4lEiKRN/eqH2owCl/zZ9OHBQPnUwyqukz7MlbE
|
||||
gYbFyOq202zBfOiSiMX6LDwqgsQ8xUltovYWoiLl7dzCvkt8bcvYoF9BxwEFLE1GSWPvMD2qtnaO
|
||||
ekcRZGxR2ivzSEYny4KLOrDgpEugOxhf9CpLuxBGd022oVyFHY8gJ1F8fYAJ7A6nHP5gMoC/6+2E
|
||||
Z94tgwhrCSMLbZZx39k2y3LkyEMV0i3GEvMFctiKJf6khVvP5Nn8hosz61eGWmVi6varbGwJsqGZ
|
||||
y4glF+vQJr9h8ZM1fpBLPbrdMwIWiVdggLaIKKFJ7nm1Yc+1/4Kx8cwMBvpTL1nPIfwOoNd/3DNf
|
||||
yw6/yCNJ5v/ssFngB/T9hQaRVGUxKGqIk1AYYQ33wHqqxAd91lTC+ztqbe5QKmTtyDJguCn0g2MZ
|
||||
HKZwUlRjnTMhGqNpQnKhD2O+tD5dsQnwZ1toqLovRDtaOKEk3/QU7BOTNEp91OFqcmh4I5tbvsor
|
||||
3n3Ny/j4DghYlPS7lEJEE2QeW23YY/SMqYkhlrLMghAsHemaPA4vjDkm6MhTctRXZ1lXiJ0kXzMl
|
||||
dC9eIl0XEmfn/TvJzoW8rxQHHKXwl6kJvtF+CUwwgJiPahKNN6zbbQ94F1+K1CBmU3Ld2wdUd2sA
|
||||
jWXEG/zW4va8U4cYR2i0lNduHNGP1VnP0xUTClVG4wjINgt48ETxh5bFInGL1KhqZi7DpbzbXpv4
|
||||
k/GlkwI6yNAKnJs6OJs+X+D/FZaGdI86S2juLmJkvHaQjjsLVKtXfK/pO6nGEsvQEajJN1nVX3qR
|
||||
0OEQoStaz3rb5fcbHP6nXp214v2vmJJuNvedlLMPHFOC78ZEguggt6UuosLOdORkGvweCjkiybgy
|
||||
yOOAnarHOgO01EnOwJDslBX7FekNBXeXrcDgoQBvfqfLnUcPQFvNxMEN20InVDsjrKy1RRe+nVe5
|
||||
N5xhp7g3ZzO0Mc9SlbbduoCR06WcImzxLKoCWmvgboE9U3Gctfmpn5r6akRKUIXbDs3imNSvvRUd
|
||||
hl0XG+KohcTQ9cUOTQhDWkIOfuL3rmKe+4DEohCWenKPceZ9TsGVrCToN6WT6jh2agC65pyWQg+f
|
||||
wyQg8yiNCmrodXy+0EVjm0s5mU2EiobxsWfqPOeOUsoQr4zjX+dmsz6bRaXxNjBWW8ea+AL2XIq1
|
||||
F7IFSYndLJDHNTbSp7ayrWvlktmKPCn3ZYT/cKgw4qs6DU8PYAsKCaYTNb6HQS8vZiVwhhlCulzG
|
||||
iOI8/rpbgDTG6JeXD3+2tZizao361xGIWIEvCTamEzEa5AkgTdwZUmwNsmPYXTpcfUS8/r3tL6MP
|
||||
EBA3H/UW4KJEBPv6joPF9+6AdgSORdY4dy3z6GK1cI6L8v5ZrdmCsnqH/Qg/Vp7ASFKFnfYEB966
|
||||
T2n1XZkwk7ay6McED614zg/VCKlI8ZqUcIgM6PhllTM/q9OGyedhb8ye5Usa8AEREAkdthsvu/jQ
|
||||
0TKO7gKzczRujbrTQPIj+WS9GX5d1jV+ZgPlrRlSvH32YnH8zQWnCrVpo/3lrQrbxjYwVqrqmfEQ
|
||||
tSS8GuMycFA7Ds/HfSw+YIro/VlUdtJi2777N55J/d/8PXvkfhTrwzwo1Ub85yGmzrdGr4glGFDS
|
||||
XQOi6CSMqYMrTG3KgsjZEL5o8Ybo4LWkYcACdx4o8mbvHE7vNTlKymnJ2Fro46t5n7mYzJ+YXKe+
|
||||
onl8y/jHKH5R4oDzuPWDEeYI4IbpPfHl+9nLZ+FrCGtdMNtmpTMELfqCx0E5mJwHzVSVYhHyAfao
|
||||
CjMBp7P6Xt4E/zGNxPvXzg8UX5QIfzMJd83a0+OujOhxZHXL3xmZfmJewJmUbeGeB8qifa3e70Vh
|
||||
4Fc0AMBaiuV2IXSEwvqePqocceF6tRRc4jCkoNles8CsVRlnxdC9TAMw41eZouMkQdREy4IND6gT
|
||||
jWKBpfA/Xe2f8BfChjubVI+tcwkfcjhY2pMAxN3cTy1O6nrWvHSsn+TIwOvzNpQ+K2CPj1X3D4Yv
|
||||
YwmWoGVW3wapFOidLZ7j8cBs2VF7HaCHxUCGJ3j25HS6nQOUzq+eyCFY5Pr+/EUvWNVVTo/R9bMT
|
||||
9p02jVMJhiYo3Zz0oX8xvy/q8D1CbqSNEg4K6Jbu5sIoCsp166fa5kagQrP5JmUSZxtghZDssvOx
|
||||
CnBwL5+WBtfPxkVrij51GYQ2Yypnr2OEPYpaFPagfA/G3V++8dFn37+92e42yQFbaoWHXyWvMED2
|
||||
TEOAAu5AcZWRGkeKlxvn/mAxkuOpjtQgtvmX43soBtceUeJeK4PO8kcf9Cku9xctacGB0ojTLYB8
|
||||
RkKtOpLJn6iiTyaSbsJTUzWkM1ohNUdQ0lTeWus4dbzisnLSdjl8f3JMj+k3G1GBHYt/AouZMIOq
|
||||
QQX6f9pg/aPiISpD8nwQhpYdk6UCU3kkhNCHf9sF3xWU7uxh2J3wGfkjwMUazEEADGck4yDctqZE
|
||||
7Dlh7jehZ0IYEEV0HDbn4jvgrvcuDjIpr7IU2oow5Vip53wTu2OTSOKfXqLUL8LhPY2ns7XpMpC8
|
||||
CE6tfucHy+K7DS4GDNiQMCbTqPoNqr9AZeihwf5FU25USwjzmueI+lM6im9mZAnhuGjveo2MgzoF
|
||||
eGJiuUZhUVOiWjuVNTurkYkt4FXFuu7mX+JhzwusBVX19jEy4NBuKGzu28Q/6TFhaiYv4zSr5MCg
|
||||
U+2x3wBRTifiyefnsfFUwiKYS25HMqxINkR+wuPkNJ6kw5ZueyCMHN0C87tpco2FLJYdwmIk5nRO
|
||||
0tc9923GVVTQbKxyhTbUA4c5rj/0vsVUv1RyCJzk1jPfbWAkOadXGiBTZ45YN1CxmOYcL0Mit9rq
|
||||
OIHGESKcsYEho5jQ+1g9FNIrm7r1KVdzJSv4qgR0rpCl2v/sVDJpKS/mG2HfyMXQVjFCr769wE20
|
||||
CV/Rv7EnPFmGRaCDpbMUdk5Jjlkl1wCXX3fR/M4mt64bSOi29V5q5IxD/h0cGjxJalJo4iltjelu
|
||||
L7HXZb9gt4j2oACLczgLL1d/+HhzEjM4f42zeOl+Iq6ixkcHhZD91HuJSjY7X26kPuhZSBtAn7PL
|
||||
TpVVZLzR8KnlOvOg+SW/zuWHjUER0evVchcAj3QkK2tvm13aV4FClK8FfrBpSkbFNxo2Vy2DBjZW
|
||||
I6iykiXPaFSf2txLpE3MZAQZ1gBjKF2gY7ba7uFLYse/rFBlo7jgMhr2NlmaSW/g+XenYOCWK00G
|
||||
7iKkLOXHa8w+lfmTyCqPoKAuT1q+GRx8P9Yz4vUBsS9sXmm8E4IbZDz5Lufl4d7eCIn2IB4Xdi1v
|
||||
O+VS7Mo/vvageNQdGNgeo/YtAJ4xYLDFnvr1ayQAmBSfg1If7vEfyah4trUh952o1d8fX76fURaY
|
||||
uVdvi5E3SllSAyzcIdSEu1etuvVSjJWwh5NrtPpMckX6QjZkQxLo6bevJF0X0YE1IErAs4mDVEb4
|
||||
d6pa+1OdYhonq1RP4FugOFu4q+b4RLET7IwHs9xO12TN6RzlY0mSN9I7W/bruygV0uuuDXHSVmTw
|
||||
swMWfwOP42eS+ajqREIhFwDcE6Y/2r3wYMUkUaTGhO9+MVxs7g4sqhr4uf+Gft0OamJugthN5Uux
|
||||
GGr/5lZvCRMRg6ZmYuSIylNcvWWGoQvNRebkyu4svF8qtGBgiQ8/NLFeIy6Zx4+2VdCVfXOD7fTU
|
||||
4TCoISBdQBkeYFxS5ioEWNN1xxnGYCe1suaYAB30gCZWi/Ll/kEmqr+atEo0xykONOC2ajUbZ4CG
|
||||
qGqKxBDhTx7xkMOpdC6QMyut7VU1tUlTcK7NwN4rIMuI63aXpjlsfn3ksCCA30iy36a3FNbVbSll
|
||||
E5Q4gzzUZfVgERGWkTeei7KL5+zghq0LiQUBVkCsuOveBc0TeqiZprv4NM1DQDH1JRGCvLhIRiwV
|
||||
jSkYhx2mYv8575ge3Lr7nkXzlxwUhM+D1Gu7NRIoGkiR83maqv7lfPUbm9B0U+qfGyqtC4e5XTDQ
|
||||
I1nMQTSUTsDcO/CuATI4IX5hRgySMA8+gFJsexxQTeJG0OY3ghn34ZtoLCHAvgM1R2Z8/1y82x9e
|
||||
3xOY9MyszQce4j+HW6Zc6/dfFb8DBuof52IZ0p7T/hamuG1D9IaY8F33+vJJALEbrI6SLQ6KAwCo
|
||||
WE/NxhqSTrhQ9LXElqohljNOSYJvuRBgtERcy6rS+nl9ffiqo+SJFHVzn5V1i/Wj6R0UeqFd2SCb
|
||||
lc3H22mB2VUs+pujxQq54rlfk3CGqv7/65gzucgvbTs5m5aZCO1BIwM6uODFacQPrFSTa7zKAG3X
|
||||
S2OJuP3UYQ3VxE3FgwgvFAh4lE9XDPGT/YRogl53jSgOnK2YKqUbDia96GrwUpsjkd6ZgysALC5Y
|
||||
f10Fc7rC9atL+zIWoh6oegTj7eat2Kv5TL67KAiNoLqPVdoXMqBMWKK/+hWkwt6wllCVBbTEqqsC
|
||||
VBwo0Vt8d6CT0VHLjRhrx8VNg3OFlg1wU2ZOBSW+DsTB6P3Fw3lxpjrk/zvHZ4++zJ0n3TAso6of
|
||||
ggP7ufl1yr5+/z0tcUIv55pOyuSm0cPdqkEWE5FdJEq1HCAIVhDJPI2i3i+3/Z9eY3T0N9u/eKsT
|
||||
EOTTJB3V6Ahr/H4td+JIhKYfF7iiICvtvsuAle0GbFC77mqv1o98CFfbVlxPy3NrRbE1kQeAS+oG
|
||||
An6Pm9Gwm5Nhu0AIPzV5pGede1oiJUWOsZfpgHUy1czUhQwkLXFRahbtnNMPT+7+NV2t5xlCdqQb
|
||||
8P/DJE6M03gdPIZRGqSEan21qTZ6C4bC0RSZhFYvEoAM5XEa1GxHd2DwvNsCEkNr1zQnE04A/YRG
|
||||
XYh2JZJNO7bb5ZoQ/9sTCu3JtASR39hZbj5pAsRYWfomgSoOQXdREPGiftG0Mk7pEN5wMJRwNRGz
|
||||
fywDQMcDgdGIZpj3RB6iCqhOSEe2Vf2IPhmJV90L+2z8n19VvUDd5euvpyFu47uAfAxqR+gDWG1j
|
||||
9lxiC/IuCskTyr/0PnpTDX7TUhltQk35NHcCN5zF/LLu2K3MZFxCb3VgusV/qq97eeopeS+KDp6w
|
||||
3z86oVFddROFZq3POxM8DmWC045vwTY3KSscQxC4XoQJFPG5MztsfuWMGWe/1qiffVJZeV6VaQIt
|
||||
yyUhbnHMMK7noq8zgiDQ6iXmqCWSHDTu/bypj0+kuusire76I6Eq0t/gbE8ichDLTgGgSt0oMJNK
|
||||
nOaxlwPOqs/C+ari+Zk22tnXCnie4/88EJLk1BoeKvD+Ilc9efJNN9nzZYFHjwMRD3yISQiC5kcf
|
||||
TaeQKskwfArak3CYKbD/o5iIgU80GEeCAyQVqH3hMQU6a27ug6lONN5y7VrsGlqgpSmAuL8mY6hg
|
||||
UAoIVqFCsUb6O/uial8V0yzJHD1cqUMbzEXGc2BOotp5au4f7P3boYyr3Zx0XgmwgIakZ1XQgHoC
|
||||
hbe+O3wvVEoCJgL/Sq05uH0g7ESzpdGRFpKOBh2RhwC+zyRyu6x/67A2VaUgctH37J5xYQBpY3/D
|
||||
yXzmkTlNIfxn0zzAC9Htg4hSIEJUoL2L2JnZwgkuIUIZMSylvtw6nnOrlfedCOki5vnB2guge8+W
|
||||
lZMZH2+CnuJfN1o3hRhoeWeS7ue4IzEubk7HwroKkfrkMgapiomUrTAUqdtpjd6jkc6Dw91qfcRp
|
||||
rvOxSX1WXF6dOBxX4BOXe4W1fqWKBhWA2ofYXPWtxhAjdMpSbEB0wiaJ4YnhoahHOaaGUL6NQQSY
|
||||
PUN6HqWwp8tUEdN7hOvtonh2UNrjWWG8VkViYB+4oW4Q9OPdm4xQ2a70s5koM2y4ZEKa5kek0McK
|
||||
3BwYaUU1y2u7c0u1nAvCS/zILoWR03XVH0RmXLttlpquAmc3QQZ2nkK32BPzsRNsDEHNB5QEE88U
|
||||
F5CT93vO4zeJf4GyVSauwR2AOuXyQciXEVxkWBDAElraCyEptL9rEnwHRfO3L4n8A6osCvJ8vQxq
|
||||
2hIhOo6rtNgrJxiSlJbZji79jmR0WcLxe5wmOpVG3WLfURBXEUqaW6R6dgmeSucQ1qtBQv0W2n+0
|
||||
ok80P6LVgkhbu81/qJk31dGtmGK4xplrBlCrpyAlEIestBL5BBPCDd95YeUFKXtW+PBQ0qMTpBGF
|
||||
BQzVg6G3fgZ+wUJ/wGl/enw6cIIiZMTvtr24tf8+NlpaxTWNYqnPzGKANexYzUlrvC2s1RVWefFF
|
||||
OSmbgE+E+M17KUZP3pKmCHjGM45DzCTypGy3w42umf9BsIrfv0p8ItaIx6XUyUCb6VoxIE37+HZh
|
||||
ZX3n2w0X1IdBOw/F+F5ulf3RSq9tX7ZD/J+5dWfS4nQMeK9qsdnUZqAVSF/uHCCN4lMwZUEGArcN
|
||||
u7B0tIJ8YQ3eTHj0ytQpKOHOcBSK1L9MfPnOQB75eCBb4M8hjdzm94kRGTT61Iw7+cnWgy3athuJ
|
||||
gAoaMlcg3IsyiT9YObEbkiZBTW/Ag5Sz1SEo5LpqpIVnb2xGXyJV17F1b6shUvYVpzALgD21WUC2
|
||||
a3RCzK2+jGeci7qZQg1Qld2ja5pKUkjRSRA4rec3h+ggyMlGtXfob+yTsArY6dpq8iqqUhRy1/da
|
||||
JId2+Q0cSwqj6AidSDizOPEMQVFCwiQlio82H6BoghbfcPMJSV00bHbfyJQYffT760TzjY269eTq
|
||||
zYt224ofk/ZQSlaK0DnbXBBEhJ+t2wa/ZsW+1n7Ks6hTKF8QJEhQTqfM6HBKAaJzPlBw3V9DsfwE
|
||||
EPBOOL1X0mHiffw7zhe5LlScpL18l4ZJKJ1Ui+1kUXOOmV5UG6tVTm67gpKmhmx0SEbU6t+BB8xK
|
||||
VufjviC4QnqfJsMAJLLi1ze4Q/sfDXcGB5K3Sg1sA3GVF1u2jZNeYRVITgqorLkAGknTDGW42zVv
|
||||
SlFuZGjBDqEUuFbY9y0WE/Obj9uYJYooso2MhQCXcS3WQVQMmsx0ru+QvcZ3bcASZrsB8BeaAwZ/
|
||||
DdUGio0ax0GuZLdfPzzGLIv7ECuIs7lPsNSwhOdQIumKbBBzglQTBsYirUzZbLWLzP4fAV2SQJcz
|
||||
47Qn8Y2n3E767Qelrb+fBW/tEMkLNXUJDZ/rwQj9vWLsgqsUaMTpBN3CkcMol39hIW723qnpbFdT
|
||||
jcfssMK0tNT6XKAnk3GqV88pnLQ0ACxthQrhVPz76ohnbVFGAwGqLKjM8R3wbLThSgOFKOp2UbI/
|
||||
q7DQnhgJAfixDyIf0UhJfkYPDWs/CiHSxwMDr6R/7TLf8pYH+n4hi25JsUNgQNlaSEUJjw1bgU2D
|
||||
VaOw+Z0ntZifuPyOE5KCbREMlfdDw9fg8OjR4Z/yVKL6hywIsv5OxUjYfAzvQhs+Und4AGyUhEki
|
||||
mjUOxyAmD2Rtob77Wgl86LSVSJmd+MWGBl/nXEFBsQ+8JYFma/255MpDCCWlkQj5YSMyg/i3o0JY
|
||||
DMcAX20k9EzsS/Um/BpCcjakzdBBOMRjKhCsAi17OEsdvkvjomyaL5Z0F+DIdi4CCq0g6MQ6QRWn
|
||||
fAhMT8dQBvklhjVN1Q1FeD8L9LesibydZmo+UqwoF2kWIkO9pRl1uHdKY5XjNMrqjc3yTZ0uBKwy
|
||||
Sn2rcjJAeIJHf16dMAn44Vk1nU8krBQaiLFrTWgB8pJCT/I+lSpkpogTy8nZvXaI/cejVblwjrxK
|
||||
3aTCgFYUpOk3mjuPcXC0zPyqGIFKQm4oBqdhdg8/f6uE7xc4NYd+mK5KSO09MdisdsEqgUdCDiMh
|
||||
bzN2Glq7Bcx7xubbtmhQy2z4PEGHha79mERpZ0pw9KEpD1u9xBmI/YC28SUB5b+BhFjdh3EBPrGA
|
||||
/qzNEEADnBjyELpizMIBB+39EHB5xicrvkOF+kmAtrpGeYWk9782KEGOIL5jmhLvoDxaHvepaAO3
|
||||
d86HkTMhT8jzSyEfwiWz5ymqPyN7L1cWIBNz4bXx2a1nufv20K3Em55AtIiGIQZjUGieNz9M9cvX
|
||||
d82erDNUCEbpD+bKB6E87c1hhKN7p03FdPORDWDd+FLhHZ2ZahrozM38agoUg+o7ZRKupMIrAkNl
|
||||
lJEz0mDyenYsEBLit7Q813t2IymoafM72h5xsi3Af9z/6+cgxLx1k9ycz6qwAGIMcprTybWESicK
|
||||
XispJNP9O0+cFWNepaaL9D9frwpbWKPEtaRoIQ5XCHixzDjAs39ewoUJvSoDbfefww1+ulWzwdDV
|
||||
8DBfFKuvZqB2U+yrzszYjXUDP7r9+ZPv0DPLTg8nkgx1JreRMCOL6Wjg/Co59l9vNDnrmiZFmnrh
|
||||
rx6r3nSrIHZeNkrGRoACwv9j+ODpS1ULsSkbNP+/DSfy2hfEBftIv0C2Vi2TUjxL7aI72mNPoGmw
|
||||
krxvorLVAT0j0XRMsJmDoliXmn/9Oi2YV0M+1sHVuqVNMw/JA3N10y6JYrSbZzMAm6EdXUR4q9wb
|
||||
ZuHRWe2deCZ/2hO/O8qurve6kDZKKhfksJIEz+S2fEP7/i5cagi/fQOf2juRtciFeoiOOTtSy67v
|
||||
RpOlHjlbSo3VimtsTd5W2RMVjYytX4RK8Cn4XRKcTe3ZvioI7j9ECTENfFZgtzhbZCbmFPSISd6D
|
||||
XTciRkU/lV+zqVfMBbz7xF6ntJ7FFnjUoW01ZHjTmAhnSbUO7EUsGOEIbFCCtTkwnA50qriC1Enf
|
||||
X3NRW0vBbYwK/YEcZYTkyFrVwSmiRMYhNBTqosCxm3M0GFSkb+qCuQ2b5sX1RE007KGW87CX7Lor
|
||||
EDXW0XVzZWgIB0HGZg9QBDFQPQKAi8RQj3g7DP896fsU0k4MYcCZIyNYmMgTRH+n0jOlOTtVGaQg
|
||||
jJ2Od0FuC5VMm6c8YRRadUs3exMJB7KH3dmpMnMpfTwAAXUPL3d4pV2L3RoaMtGUNuwQNpu1G6e6
|
||||
ZkfOtrjlPvxOYRpPv5o/+O2/cIvvF3Qf4/b8EN8QW5QR/5925J84YfJmOb23mDdUXLiyE4l3GISp
|
||||
csFmr6PlnZKAqFYajxg0Xw5k93irEr8CApuDh2qV/hrUMpVnmDXEIZyIPmWo9N+faXNTYGpriWTP
|
||||
06i5s64t6BQ5+qDxlIHSsHmJJaMO7n7bgpy7dgkkyOANEVr2xiY035qNxd4kdyNky9wRO+yAXPmj
|
||||
Eog9BEdeG11xazJrgOEQLdf3xL7dH03B+kepAAOW1FkBDp2qHhjyJFS6tFEGfzdHKEG9QiQht8qH
|
||||
LgdtS7rTFZWYgw76+G/UlkeBoy2jO/jl5OVFEaW2qf1NE2nOOybYZOj7IcioZQZIRBOehaFlGEkk
|
||||
I1ZxyzkODs8hQvtU/Vae5jbGCC2olElzP4FOQ12erx+XSCR8Uk3A742ulxYf6rAjjPagSCQ2+b5Z
|
||||
kZyzRzIwZm3F/EQ1LqVQUZX4CbuYgzkXFq9i9YLBZm95LRvijPJmVL0b2tzDBgUzaeG4P4Rf0yxX
|
||||
lJsk93ler4H7wVBSHUTgTyHVSUIm2CofL/u6MyL/SL4oxSiCbwWGQm/17sPSIH6zye9vLKynmZgi
|
||||
DYz/Lr2WovdOy+ZuiykejzgRQM8n7/fj4awVJ9izGgnZUa1HnloXqJDnOe81AxQXTweuTOxdf8JB
|
||||
CFA3GsbuEa9d1eRUPRv39G+f+eA/SViEe8DQZn89FWKXYukfSc4cF+/YjHRjYXr5/KgyOym+siEi
|
||||
dGHd71XF54hZKLnv4Jd3WsNsGwYAbYD2mCdEYnHReptmwAPrkqQ4jDzKTtYzONdtu0txclV7xj37
|
||||
ags3XeffL13yu/PNNVdDOTZ9jduuazc0Nr3WxPblw8XudqyUyZna6CNYUad+UfjznxvAwSxbBKWZ
|
||||
9X1+1MgxK3yH0hcTMfaJj9IJXpaO6CM/EacwG7OH8Wz/Dr5JuXnZFXR8Ma1H/eDQaCMS5qD13/wz
|
||||
gmEYqHOkIVOARmpX3f5NqGH32J9XjBj91rX5GFDK2u0mMFsuAiNfwS/x70GNNkuOkL1HBROrqS3j
|
||||
MvS0cnQ5ZryIMlYk2duEu9NW7lsxSQ9/iRijy0NJlKDH4s84PzlYfI4Bszqqf3yVqleEZfFzNtdD
|
||||
n/fks2mui8y3ItNeLBBxUaIdejU65I4ea+3I/+eUaQ2u60SK6fgki0CAswS7E2q91Ncfm/f/rzv4
|
||||
MwEAbEsOreGcdk/Iv5zoy8j4WxdBoLxWNyT2+wJwxCraL9x+KVUBdHAMpWL9dVIOgBMpULbNolMT
|
||||
YHUPLmjLtcn4LdtBm5KoO2vLsfEqkMIKV82APzkb/9e3CBmpffo5nlvwA49CJVLvKoKuhJn6V54w
|
||||
ha1t364erqwfTVeclx4Jlu6EZl2pxQVPVd3ihmN4TcKg9gqs8PDa9sQRBmfqcjsE6IBRQGz/gphx
|
||||
1pG4oMc4I68UBosP43nSDSNF/nxGiWOaX+1cQsU0e7fFrrsPo/YmUOGM6NSxcWi2ZShL3/rVjVhl
|
||||
zuxzogWvCZsiLCbfM3Ak8YXet4In8bfl4pYJffBnDTW8aBPkXDaw6PTuv0ivRH5Im5s6NDo+UP87
|
||||
96CVtbRV8P+dXIBOSIG3SLrpHbhC/tXs8P7KWoTtzQwRer+7pOd7B9ve2RFCcVga7N4u+PnesQsh
|
||||
AUsGm5zGVKIqe8l6EPznfkFJURhRDIf2DbzN+2YPq0bAIm1pYhjDzcj3mXrNC9gEJG8AM7xE7BuM
|
||||
kYbVwZlLM+hHQenQkjD4ePdSsfXvmTTPV+K3jJBCcp0Topp0S9Lywsqb2xFBZM02Uvv9NkRDCxUu
|
||||
wgUjPToWhMPtLrCUm0gALVhGR1uSbkb7aHzqb6ZqVJqbt7qXcdow7fJadixQQ3eC7rzFsiJrBHAE
|
||||
MjGtVA+zw+cRNtYC49o31SP3RtoFent8S8EyHVWSRwQgRr9179tXdAQjhHbeLM/5XnN4FuILqZz+
|
||||
Dw6BIc+796EDtImUYIdSrE0YAZkDyNExDjr00Hwf/KQhDL6WI9Z9sUW4+B6UFyoSuPZGa4sSa2no
|
||||
esGIkMHhxAMLrGb0FURWhRaGIKBbTjF7/XnERbvW4r2lpN5HKw9Fry3p6XGhqdDuba4p8HXoJlGS
|
||||
4aUPHI0ZtFm84doR/nPwdDadkHqxov8c+xv6zfCNS4SaWLn+H/FdWX4gmHrMYiD3yQf7xjGAU3vU
|
||||
lelFreFeDfhZUoPDq7V8h5PnttBcIrPnixqHGhucbilfteyn5fxYdv9HSNH7jWM2ytNGxJ57LB/S
|
||||
YpGp+ln1u/qQtPg60SITQH6UHjXmqxZqJhB7uR0TbWJx7uEivD0RkC8n5X4D676H1NxrPtugQIyO
|
||||
lEz3wa9o6uJiCaKiz74KtwDS2ItbzRjiC5U50hZ7+SxMrOE/MCONL8WwDRCXFsAfHrXa1o68EIVv
|
||||
vPYrnlKRb4H3Su55m5k9/hVfuRHFZCHcDExiS1j8aFsFfNF15Hqj4UpxnqTulQHXNvZefLXl589P
|
||||
+8xZSfZ0Ru7xHPpOdNBv/37Nz0VYDb2U+lf6XyKLshwwe6IpgS31P0n3bDaiddxrsYLEAufhTC9e
|
||||
zWYPLfV+P5pFvDElSd4Xp9O3Hrye8vstL5a4ByTQv84XkTD3uLtv9e4Wr1q3bq5e7f/6JQJVaQ+m
|
||||
LSytxjsCqN5XasgqZRUqiN+YZnPT9lngLP6a83VdWUN73psp6ojYHTfm8v+C3Z4w9vyfQg84sbLv
|
||||
pvusa2uBR9UAxdN8qBbYmft+HqIVXZTLsRWziwIq4ViWUyCerDgPeoUflocJ7q7NqepHlvUP85ix
|
||||
tDpM3HF6KJwxJPF4IEZZTWLP4x7vIs53d5ZfBGj0jUXNBnNQ52bguFBHnThp84MSpLwZveAuJ1h2
|
||||
eTshAViqLpw4dOaqj5zl0IHOO67QztWCo4sSntaEtxByPJXh1OsisM83ZUbU9RI7a/YEHAjhC65r
|
||||
LHCEzSMo8RGtTsGeJNxd09Pg35EllgK1eDb3jtmCWsob561brDUWI/GsjmHKgvIwrvV52f7xW40l
|
||||
t7KZ1vjyyKngm56Pd1oDXzlr5KllaCAxh5Fg3iNuPCcnrZAvrgqBP4FPRJ9cy736B09nkHBOPQ+8
|
||||
VylkC2l6rYhub5XCS5NCaWSeuV53TwPg92HxZEwUtGTx7C1sFLogSdNPYt8KCtonq2Z4Q1dt3OWD
|
||||
qTB0/oI9KPnCNHv1em8UUgeuFeyPmlT6/V4C0a3jEqkWe3bULI9LOBmgkQnYvx+mAN9KZHsXHQTG
|
||||
LZ85os04OYeFLajTdhJvCIXTKYzNmv6Zylww6ubEq1JIgF2Sk+G6QyNtlqWbnNj+XDgjHZAER2C2
|
||||
4dSdrlu9EEbs53mjX1WlT+hW0Ky7LN3BGeF9kDaQFRo26dqETCXzvXXpsHqfEoOaL2yI2u1dIPG3
|
||||
VPrIMibqWu8cxnq1JduxjWH9I8XLyk1YbIGs5ofScStMQg3/kpoFBz9Ne5JiLB6NF99KPfo4XWe8
|
||||
xVxYwDa6breyYZTePSizv6vSglZJY39Q1GmTinUXlWPdP2GCUJSFEaj/gOaVt3cDwGWTBTmnqEcU
|
||||
oYwm3pQMl9hj7K9j5lcEo4VHfi434bOREkkORyj/I9GwYmT+9bchU215uFutKoOA2GdIsuTivoAn
|
||||
2DHvY4yU1mk9M2f5aADxzgNftye4dIH2LB1cMsAfqiuVYUiTUE6NmAHK9EGC+OnllYN6iHULUJ5J
|
||||
zk+fd2Q/76QJBsFlNbcUHXgzuDckYSK014nEuwDfPh1VHEGDdKOsQc0ObkaIVKaNKOlaT2NoaBRS
|
||||
Cj0/Pzn/PHVqvPDBIql5AoQeBLebNZbP34iqx8SWDk5Xt5fJdOj9ejVSYaMQdFM7R9xLJcW9FPnY
|
||||
X0Y+1Rfr/C228rSsIDPnVtFi//+Sanbl+3kui9snlQSw0/NSHOCgAAR9deBTGvpKAV9a16bCIxcg
|
||||
041Fl3h8hMnQN7XC4ycBQxy43xe0Xzui3sKKvySWjGnvSrB6423mOUYSQkRSQMCGRKbcunQ0WO7O
|
||||
sfty308Gr+XrNkeS9bppFJiVGAm/QSDjglQP9GO1ajN0PJdWg5Rc7lffgDyBPr6V2vqzed7crGb0
|
||||
NlfT4P5m89ZGDh8EpgYE6pmzVH85vEt2oeI9csjERxF+yjQp+MEGUbE3PB2S/8CYyX0vG1fTxHsD
|
||||
qbd/TSbEscp8DbTmQ7YLWucD84KGl8RMqTHrV0Y5nIqHT12dCpOBKwqC6J9xXsLi6+KNcEpS0Q+a
|
||||
juLWkKJ+vDSxQOruxMotQGhSadRhd8A0F4iDsxg0XfF2E/rp+pfenXIZ3ZlZQHxOfZ+iRDAbwGi8
|
||||
119P9bruMJQ8LNINhvVuZp4aRCyEKbEdeQ/J7GkHMHT7NLGaN/2j+sgGY91LTHsngiGfGN+3JrL5
|
||||
88aXoKqpQxgT5i5ikx2UPpoyMRB7odld3Vrur8FYLvtdq0Ad4L0Ll3yvLfMwP1PSqmRTL0yQXDn1
|
||||
1qAGLZKWCaS1WFew5LYFDRB0VYZg/pjlDiMrqufkXsdjxKnCasc4XZheMTatQknhsTaEpZBxaWmM
|
||||
Z325JopNVSW+Msr3rOvDWRMixakkNwnLi3/KwdpFR3ubywF3c46sPZpwQ6+ZOnSmQrAzZmDmFjTJ
|
||||
16aQk83i3XX2VPJIIHGx6iZj8bLMOk2PeOwP1Tlg+30uXNE9sawoOy+H1jazhSCmva1xmZvxNO+2
|
||||
+EnJuQ4XSZgldp6t3uhAHM9zUh2o/IbtZz8Euo/ustS673iJOjcmdn/0pVnC8fNbNS1Lkya2Oxw1
|
||||
caUdSXX7TeAAqSUlxY9zKQzpDsYiSPKrliDVISSH10+awPJP8xeDGxEeO7IU2/5srJqZD75IjxYR
|
||||
XUuOF1J4Fh4TCj2solmT2OmzjGb5m5+GyUolIUu85TFdNm2ZFmrHL/L/KiVSm1+kGvU1m6dVDJTJ
|
||||
/aemyD3DQJeZo1RezzCoCw94FU0eAJ3Xhq59Xycr6K6KnLgfrlfy67WwUC5NxVAuE/ILkfYCRBTe
|
||||
0E4QbngaTH+0xUHR/1akJnTHl4X9Umq4UTr/+uhDl2D3xaPdo6oia5xyPGJlWfA2IW3RHggQ/+pb
|
||||
tFXnemrMLw3ZbUXzUTnuNMvAuOlV0oWbbocwN++3mOS5WP6Nl1cQ7sGYufwA+BRitIf3AGbFRAnH
|
||||
+BXbBU27FLqNANHqQiSrKowkaVc41joGKV+kLUDQfLzjUzz5X4dDZAxPSolelWHPOH47rZ+KtpBn
|
||||
+5xP2tmvfa3XO+yuSrEDOsMlTGhyW41ufFCS35NfpLQQ2cy8Tdzyk6OLDW8ORuQx7fuOausGFvp+
|
||||
1SVL5o85SMIXsrWsHMOG6v8btqbeSC3gsTNfopglsDaAJp5nKuWMvK8OEeLdmLzRmn+5xMK21M38
|
||||
fL5eTghMiKQf3TOukolJVwliRBScFVLmVOOZYOcEgcMdK0nTwvxekaFS5uq+IJaGXPtKv5lf49vm
|
||||
o+5vlDl91NE8iiY/k0OT6fqipMThIFurIlT4P1BVNOdj58iBBr7U/uI7hcC21cr7fHgaFsD5rw9a
|
||||
nYL8Zp8J19d+1vxrulJOirSf0iq1wPvtxZPZtrIhivKkGr25xBk/rI6ZegsRBo5usHg7WDzLi6E9
|
||||
Lfb+zj6ndKlc4s5dnd5YI5c07yuqKb/K1nDGWBXBVHwnsz97xPXwu7xjJc1j6O9qeYslyptz68fu
|
||||
mosTz241vWvyLi6V4IeUmUeRSOQt0C5kcujFAQAxJySWswqfsMJ8WQbbLN4+WUa6qIxioQ8BpzJ7
|
||||
MEsBOYmjY6ZU7O53GH4yedD1FWUjiKEE70JNAsOtrI3eUsr8AvH4zAANLl53CPWi5vS8DjJQBVO7
|
||||
7fOxovhI5vmMfnVZUWrSEaBTI7D2InumKGcd1V3IJi48HneDzk12AZBABRPfvtQ7rqkGo6xwiA2Q
|
||||
v0png4RGkQ+Hikh71LG7z3B6eTMx4/U4xUC/r+fF2kOMHTrxs8X2MAJoraa0z8cXakc/p5V5XBSn
|
||||
+nZIHsSPQVxYZDr1L9/H+CIHdvih5AdBRnAUFLWmdn5a2H9kjdh93mzS0oGiZiyrzoFMBZsAf915
|
||||
wbJPTwdfzSWoFHF+jTGgb4g0XQy9+rpvsE1asQogCqLzimi+n3yinlVUvScq0tWKbDf4bG6yjpSp
|
||||
OHWeLLvaJLqNhcqIjeWC1AqaeeRpEH0elA1sTPfDrHCdC1QqpSrXfkm1yVU4xkarOZqRCfho2yTa
|
||||
wfBKspT4s/y0ZCXTKRVFrALyXRT4k5Q64lnYRpfoKzCguLJGbdSEGZDJjoNRgFEnoh+8sKlBn2Te
|
||||
tUp1pSnWVT0kjCQkto0i2bw1OCOu0+toatzrvNoK1CBD19jY5sIz0J0ghFZLuou/PkVs1ZyNHoIV
|
||||
IIr/ZrRcqwsPtchgd/nhZeSMpjS2bHmR+N8+KZY6PTTDZvgNAQmJozzDg8FnOM82KesHrKwvqgC3
|
||||
8T9Kc9WVh7jevPGZwV1n7ZZ1lWKHErIKe1kCd16lNKbL7g+2c1hi9LCSOJGmEJif8UZz2TxZgHwg
|
||||
efDXl9oo1q8xExmwkrgerQ42+iURGwUAB3qR/eHHJJ2hEEpOl48CYu2ytHhVJzu9g/IYHNuNPK73
|
||||
g9nfQRwGgxzYguvq2lzL4Nqp+VMu+tgJOq/QA983/yhsG5mlTYYUrKpGq6DSL/cWFVINnMuYFq2T
|
||||
8qgaC/e1uivHowGxzfInhCMV7tQnjtpJ722HdMsrLikYu9TVnBt/52jhDhUaSpfUHQqShlTzLvfT
|
||||
PzKTbjhutuf5QqW6zoCptIKGf9/Mx458BuPllNWtSrvi0IRRplzbrAFMDWDvYCz+3fMPt3kSp3CJ
|
||||
i/buKsrUp+tHFx1ZU5KtBPSo79E04sSz9iZdMgEGHaJPeGGFsesdOTucIBBdR4KCWjlJ+FAl0Mfd
|
||||
TIJ577W5kgSEDUbdADD21FicfGwKLCM3Qewr3DuQI9kpcsVcFUdMN2kQiMNr4CdzVmf32iFOtgMe
|
||||
M1RruqlGqgnksboOWtpQ8KH5ylhKHpd9dKk9ZUIrFVkVfwBvoC89VW4gP4tZPq7LULkJP01dqxv3
|
||||
2RZHCtZDGeZ63UKxSUrsU6FoDAO05Ms8nFxHjMHgzhcjYvbqKPgBy0jgYA/MJnjX6Gxym9woVmIw
|
||||
cmlxIo1PYO1BJ1JO/ykWzWCw7emyxggVIF/RU5SrXWZqYXIyqFLrfNk+dnEPfKkM5U27xbWS34J/
|
||||
kDEF0AzXgC6n06jylD+G/1mmn6kdw7frJQHHTOq1M8PazTrYS+M7ofBUqM2MHCkRQxnC3YgAStNo
|
||||
lRvNbZ02u/xUlQWb6sGyARguJBR+GoXsvFqGO81Gg04ZhlAi9TIy27qOLeW2RqK6fCDngO2jOUXp
|
||||
bI0cMDV36EwBw1qY+rme/l6zM3HF06Fe7wCZML9TapuvCdqAGP3MjYLPDtMcNUZanxTht5c9LKLw
|
||||
O+eheWRf4/CuAllQsX2EF06hnfbFqkcrXvI4rlCVZPsVt/hrQGv2tvBbCbDi9T0Wu6NWA2k6tsfs
|
||||
ps5UwbV+QwrCY/DKtzqVDmn2M1gmAFDJdOTkF9OFgBRP2IkZomide1opcUx8CrTfPGps2JrID7S4
|
||||
/DjPncXLFOAfZV/aLaHVpIs5mCDAJMGs6Qp6rKMUPnPebsTAf27rCdTzD1LG6logKajqsCGEXTm7
|
||||
gijZgGmffpHGy9BlYxIRs7SmdxmepVqC8UzNDY7VcwEcxksx1Ts3sDQS2tfxXZEhWi07gWzxc2yw
|
||||
foW31fhIw+iXb7c1+Z8IuQ0nadroLGEM2nr6Lo5+S7R1jPQUmp9PLEjCZjYVJ0GUjWSrlux8FuST
|
||||
xrNE1SupKc7mKSDs4uoQT0YQckttHzzZq6RgpE6+Y1Cg5KuN09D3mRRXpujP0/RobtMtbyymIeFm
|
||||
l2zZZmNjhPctgvIkojemixtVQm9iizc9m9D2c0WoSH3H3iq5dDL8lBWjoNE2zUQi10vK9nMYNc24
|
||||
LfRLd5SJTgIT6IM+iYMDXV7jr84SfOcvqE1xPH+I8V6e8PHsVHLeRKdLNEfe/AevZWlo2HzimDT4
|
||||
T5gZSiK/smV5C2YWe+Hyrib5unzQcTebTg1lXPtXhCfmnCDpo0wLcPYB5/hvq3hbEMcZSjlEt6at
|
||||
ZbwfUDkJi1JHXqMogf0IIVuLhJplvGpf237+o6JUEGvueM+v7vrxg1BnVXFfMfHd7WbrjQBADFP0
|
||||
M43hTX0Ik7Cn7ctr5QXrnHfreNfuU7wEOQRPTsX19oLB512K5LsQZrQVM0qYtWaALxPAHhgEKyGS
|
||||
k1c5tD4MWAIrogCS9VbpjjSg900hpASNpkmCLaROJrJwMbYx71APXuCqwr/W3aePmMHw07iPFApg
|
||||
EHuKTrx49Wv+69k6JP3CzZ0oLlhVACyJnctBz0Ah61medJXNJLKFYkJ7bXeX3Mp0MR4SA5GQxA3Z
|
||||
jxaNtoIh7GWSi3UuptdfUalJVw/IDrMLiTuqUXZTbYXB0HkOOXpcq7PEuIVFlfnj6hUtvOT8jZHj
|
||||
vHUwZSoJ73QIGBZYk4BTD11U7mIeKF/+ZNgXDO/2dWhAycKkh92tuuvQYUxtE776uw4Z/qWHW8vu
|
||||
jEabrW/aq9bJ95sewtKwrYw+huEhBzEKa2B7zWI4DsVgUASCIyc0oK+bcCk/9+LuZ1/CaKaXv8Ev
|
||||
zvoZebo8txqbZdxmTgpaKZj3XC90ZRvJA/HhRTLHrnPpqfjBAxxZlbKvopiTfBz6ZBp7y8GbOozA
|
||||
qSiM3/TsdaNfZ6m0j2AnoE/J4Fr7dSAZkwQMP8tMn0uLEd7QhSt6azBxyPRTGDnfa8V11T2bRYij
|
||||
sHSLdpKNsF90HCNu1xgU8SNW4OMetTsVFmnbkvbFEiIpobMYEEt26/fSXItkaQlWJX+LFsiHCqfb
|
||||
DY4X/SLYWKQtNuMPwjwXrqMys9k/+4YNfvQxaVz018sFXTkukJk7rOsCVqBGY93ofWcYMDkuLpDH
|
||||
YeoTfqGP6Vqals8HtQc6WGPJ9VkXIOd7Ysojeve0ptU1NgZw5lVMJkgWVdYGA19uoX4v+1R6DKou
|
||||
f/OHHe3HcQamSAUPYzlfATkYI9H93uG4ih2oE4G0qEznctV5KOdHBUNF3sgtoB1AS48xGuE8JnfD
|
||||
++ZeQDGLVA5bwV2Q4wOOshWfwq/nxTL9gYrm0tzMh2bGlxd2iDxxoxgoWgWArIACfQddUqsusQCc
|
||||
5gGFhJ3peeU2r4gGzx7fEVWJN2nOQ5dnFe9Ugd9vxbUdxAtNT8H6MK9rY5sdFXiMk780/48C3BHx
|
||||
eGgtvzC3UQ1zSIevsWg2+cT7IuREa2q/zx+wFm4qg5KtvRqsgbcLpeirIPe+rvxPAE81mcQ632sU
|
||||
7lml74mYoHZkSxq2kKUfqeDF6Mcror0O/d49HWWc80pLvdH/CwNDkUNno0QWVdo4Ht0zYOLhJXeK
|
||||
qXQWmVfcl23CMjZeijf6HY9UPrKY/pLcuu5E7o9pyHil1WGBIFsXjA/TmI/cSHU+8XHZihVVjoQZ
|
||||
XXDjDQB7M6RTXcIWLR4+dBcSqvGvh03n4RTr/D7WiBdpUzeTLHL5MTD5iKQSz7p53J8aELYXNcmx
|
||||
TWNhSZmUAr6iEtQED1hBqsqGStZsY6hCaBoREmw5p1Es/OZgYsXZv69/l3LDtKrEwiean1/sOGzV
|
||||
yaaJLj3dlTudlTif2yLCndqQefEA8sX3dnm22Xref11x0w9ub9Pwc6VGg5vsQI9J4FwqK57q6wfC
|
||||
eEMevOf1PVJ4n4Q4kxq1ywr5v32ffhMII/0bdI22zA57zKNyIa1VAfKLfyjMYLeJw3FC2Ymll1bx
|
||||
kDKWGhwLWgP7xb+mXG8XRAa0of1GvnR8y52VkYPrHY7vgMwj/yC4g1wiTKsQqzzgC3KkDrkOV6A7
|
||||
7z1scTAjGVUpk8RoUaAitZK2RmJNDmhILY88Guz1Pwl8bMyPT1zMtLm51bWGq6SfTPCMgYfERHCH
|
||||
PcJEMLxgjOHQx81490H80NuAktMff4l+y9T/Z22j3lCmWtwdOSzN1h+wJ4f9nXOPB8q+PFEqNwaO
|
||||
5EwVZw3Q47AlciH/5SatXY4l8X/oivLT5eUZvjPAl5/iKk9Tmp84/THUTr6dtNHmL6qWmfYHc9ot
|
||||
G2GmOKoCv0UHeamDQDzT8STUdSDzdlFDA7/kJJlHqlo/LDE9IC1aBVgVVcfTbINuW7Xcf6IPHFqv
|
||||
fEoyXs9iGM61cuiGpwbYIG1C8Wu4sCOya9dLZMHtcZdveiLKCLGQbiRHRyY6jeA+iwbYjaE5D52I
|
||||
+tUM3Bd4NvBiEccdr1yGVps1LFfQHH2zuEwUO+6oUL3pqKnHFv5ito6FJeKv6D1XPyXlabd7RXhm
|
||||
N3/AlftAYDRoZpUfp/5Vei8WRh03qR9FkVajNoaPSUYaEM43iAmu8g4obMV51BFrAHGLmyaEwqZG
|
||||
6Tl3VEHtNOrHBMLzNWLs9k+XSaQ+6QKXLV6fX90BU5Lr49YPVCoDklMVsUR0Qg8YEJccvrlX7u4N
|
||||
0dT+AR7eafKhVWYVS7EqIG8fDdQd7nEE3uU0qyuk7MUwT0P9clgkG9ToyO/FcBNgalP0EHUwT7Xj
|
||||
ymOKhwVBlHuRI3PUint7VSmQ3Qt62+VFmLODaBZjTRghGOmJuebr8U6WtFW7tqlKv/nVWqix6S9T
|
||||
wlz8rm26tVq16xeorIqrTQxgs8zerUWHBOhOsFpQEzgqls/HbrnWO1w8jUYR6IEsyWF3YXIcL2Pp
|
||||
VYiFP+N6e5Wm2i8ztGFQgELdrPXyiLWCOe0aqo7bHSM56x62eR/9CIP9qIIxGhrjbKL/Ste40WD/
|
||||
TTprtY9nm81tXV+2nbbprfJQzni4A0Yg6YIvw3C028VoxJE7i9SuCujessPzTU4FDNdMizR4+/sM
|
||||
0VoKd+LpwWcqo7brY0+IEhzjOHB2e3aGgAAdU/kq78UlnPfyTyIb3nkaDH6XpQh4Y7+0omf7wMuX
|
||||
GAi5jcerj3OgdSQj0HnYF5MxOpJSwvIvZixYKbsz3Mg5GAMbbyrYgRDNghf9yyVk/glnXSuBuWgT
|
||||
j//8IVr/8+D/nGvzZ1MopiYmkE1hepwgzwCK/+zp355l35NU/+BoUeKIRPh/WsgtzWSvtcY22Cwd
|
||||
DCwtctqawjM9u7lNy8hoB1nV4R7fbvZ+kzRHrToFY+KSWVWKpV5OhMlyhwTHEdrI5UWbKoUAPVE8
|
||||
dsFABj7rYzB0gmli2VfQreSuurtvv1ndezsbTPQvvMj4lB3sGMMQFDZRgayhYBatUNbJ61HpiktG
|
||||
gzB6y1rB1XyENTo8zQA12Y+F6ugHc84yXJhrrJUcAfbjocTyQyQO5o7ikdOEvhuXbwYlzFRqbhMA
|
||||
0bEUtwHomnMaYqCn8ZEwQEBoHqiF2Wr8k/430nHA0Z+0XnIaOBGMHVCeeZIlZKvpxz91TAcCrN0x
|
||||
f5W/BXpuTMhUESGQw4ShZerbDhGH2iAX8GimAQGUICQcRjplPfYat6KoQHeV8pKq4oe/EVsweBIl
|
||||
VUaiHcJDOZqWPRAJLwYE/sRcYFwIOsEDdj/mop73PbnYuaVn89nQnKRywn3136Is0yBYkyWBqZOm
|
||||
lJJmmePodhAXdcJjoOXqQzlihn01o54MU+tfi+1Tnjn0zVtrecvhDEBk1Xge8LI70uT6OI3POZ92
|
||||
mH2Cu2b+CVKs7B8uhj4wm7QL9y7oLmWjTVSK0I0fiN6zQWfLTCd8VPwy/CcW+RA3IXajPXMUKNm+
|
||||
yhtghIb26+t70o6Mci5DXmGMfnMIcGBOGUa6aUEIHossc08Ernj32d0e6MeEhQZ9ynbD1VmJXo89
|
||||
jnGc93O+IyrrXpWxUHIG3VKN4CZQzZc+7f6YhCtpCQb4Qh9gU6W5o/Fvnrl119Y8zOCdDuObWF8P
|
||||
RlS/pCKjZi9mrba3XYcxwVOQRySO+QfIYfbS363SBSLA4kUmYSxeZRVdJcOIkad+HegJV2edbbl9
|
||||
5ZEoY2UiT7XkO8ab1XgYYriZcqJpdMTPrh+vg2bh1hmp7HTHCNwgq3FtRsZ6Od0ss/PEDtkAA9Yh
|
||||
S5FEftLFjSY1Wpx2RzDQpfk3CrjhsmBTqz7vHO8pHKDhCgV0Ga8D5AScULIQip9fxJFlbHbagmsY
|
||||
yPDgC2sergWiOgaMKg4PsHyDA18UCitPeWf5DTAizPMiMpW63i3vQS9wbpAdaw2SvzrADFPdl8YB
|
||||
4D3GMoQ91lvz08ordWaiKdl89sgmPfkk6bZ3T0UGjFJoDUVGjGfJM75aXfzEPLdslYCjMOeWykVG
|
||||
+CnQqHUhLjPrUn2+1HWqXKgn58HmXrFIZHW0Ir5sqnX0OQEzj7MO1v/AhuBGedZidC77GmUHxYNz
|
||||
Ywob/pR3EzbydCxA5fRZB4/WHh5X/IT5bUIW4WoSMcF7HmXZAE3jyqrzMN7wCHY42WzMwDVmobk0
|
||||
lub7dRCJfODlLyUMOD6G+IIwsV8jGBuRkYO24uPuUIhft6T/OKNGT92yRUHtnbaMJd+BJ7j2GrxS
|
||||
/IvSAV6b2tHSRNBbCQ==
|
||||
`protect end_protected
|
||||
1118
src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/gowin_spdif_tx.vho
Normal file
1118
src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/gowin_spdif_tx.vho
Normal file
File diff suppressed because it is too large
Load Diff
1441
src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/gowin_spdif_tx.vo
Normal file
1441
src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/gowin_spdif_tx.vo
Normal file
File diff suppressed because it is too large
Load Diff
@ -0,0 +1,50 @@
|
||||
--Copyright (C)2014-2024 Gowin Semiconductor Corporation.
|
||||
--All rights reserved.
|
||||
--File Title: Template file for instantiation
|
||||
--Tool Version: V1.9.10.03 Education (64-bit)
|
||||
--Part Number: GW1NR-LV9QN88PC6/I5
|
||||
--Device: GW1NR-9
|
||||
--Device Version: C
|
||||
--Created Time: Tue Aug 19 21:50:04 2025
|
||||
|
||||
--Change the instance name and port connections to the signal names
|
||||
----------Copy here to design--------
|
||||
|
||||
component Gowin_SPDIF_TX
|
||||
port (
|
||||
I_clk: in std_logic;
|
||||
I_rst_n: in std_logic;
|
||||
I_audio_d: in std_logic_vector(23 downto 0);
|
||||
I_validity_bit: in std_logic;
|
||||
I_user_bit: in std_logic;
|
||||
I_chan_status_bit: in std_logic;
|
||||
O_audio_d_req: out std_logic;
|
||||
O_validity_bit_req: out std_logic;
|
||||
O_user_bit_req: out std_logic;
|
||||
O_chan_status_bit_req: out std_logic;
|
||||
O_block_start_flag: out std_logic;
|
||||
O_sub_frame0_flag: out std_logic;
|
||||
O_sub_frame1_flag: out std_logic;
|
||||
O_Spdif_tx_data: out std_logic
|
||||
);
|
||||
end component;
|
||||
|
||||
your_instance_name: Gowin_SPDIF_TX
|
||||
port map (
|
||||
I_clk => I_clk,
|
||||
I_rst_n => I_rst_n,
|
||||
I_audio_d => I_audio_d,
|
||||
I_validity_bit => I_validity_bit,
|
||||
I_user_bit => I_user_bit,
|
||||
I_chan_status_bit => I_chan_status_bit,
|
||||
O_audio_d_req => O_audio_d_req,
|
||||
O_validity_bit_req => O_validity_bit_req,
|
||||
O_user_bit_req => O_user_bit_req,
|
||||
O_chan_status_bit_req => O_chan_status_bit_req,
|
||||
O_block_start_flag => O_block_start_flag,
|
||||
O_sub_frame0_flag => O_sub_frame0_flag,
|
||||
O_sub_frame1_flag => O_sub_frame1_flag,
|
||||
O_Spdif_tx_data => O_Spdif_tx_data
|
||||
);
|
||||
|
||||
----------Copy end-------------------
|
||||
@ -0,0 +1,25 @@
|
||||
|
||||
## part 1: new lib
|
||||
vlib work
|
||||
vmap work work
|
||||
|
||||
## part 2: load design
|
||||
vlog -sv ../../tb/prim_sim.v
|
||||
vlog -sv ../../gowin_spdif_tx.vo
|
||||
vlog -sv ../../tb/SPDIF_TX_tb.v
|
||||
vlog +incdir+ ../../temp/SPDIF_TX/spdif_tx_defines.v
|
||||
vlog +incdir+ ../../temp/SPDIF_TX/spdif_tx_name.v
|
||||
|
||||
## part 3: sim design
|
||||
vsim -novopt work.tb
|
||||
|
||||
## part 4: add signals
|
||||
add wave -position insertpoint sim:/tb/*
|
||||
|
||||
## part 5: show ui
|
||||
view wave
|
||||
view structure
|
||||
view signals
|
||||
|
||||
## part 6: run
|
||||
run -all
|
||||
@ -0,0 +1,81 @@
|
||||
`timescale 1ns / 1ps
|
||||
`include "spdif_tx_defines.v"
|
||||
`include "spdif_tx_name.v"
|
||||
module tb();
|
||||
|
||||
//Interface for IP
|
||||
reg clk;
|
||||
reg rst_n;
|
||||
reg [`SPDIF_DATA_WIDTH-1:0] I_audio_d=0;
|
||||
reg I_validity_bit;
|
||||
reg I_user_bit;
|
||||
reg I_chan_status_bit;
|
||||
wire O_audio_d_req;
|
||||
wire O_validity_bit_req;
|
||||
wire O_user_bit_req;
|
||||
wire O_chan_status_bit_req;
|
||||
wire O_block_start_flag;
|
||||
wire O_sub_frame0_flag;
|
||||
wire O_sub_frame1_flag;
|
||||
wire O_Spdif_tx_data;
|
||||
|
||||
//reset the IP
|
||||
GSR GSR (.GSRI(1'b1)) ;
|
||||
|
||||
always #1000 clk=~clk;
|
||||
initial
|
||||
begin
|
||||
clk=0;
|
||||
rst_n=0;
|
||||
|
||||
#2000
|
||||
rst_n=1;
|
||||
end
|
||||
|
||||
//load data for input
|
||||
always@(posedge clk)
|
||||
begin
|
||||
|
||||
I_audio_d={$random}%16777216;
|
||||
|
||||
end
|
||||
|
||||
always@(negedge O_validity_bit_req)
|
||||
I_validity_bit=0;
|
||||
|
||||
always@(negedge O_user_bit_req)
|
||||
I_user_bit=1;
|
||||
|
||||
always@(negedge O_chan_status_bit_req)
|
||||
I_chan_status_bit=0;
|
||||
|
||||
|
||||
|
||||
//Instantiation
|
||||
`module_name_tx u1
|
||||
(
|
||||
.I_rst_n(rst_n),
|
||||
.I_clk(clk),
|
||||
.I_audio_d(I_audio_d),
|
||||
.I_validity_bit(I_validity_bit),
|
||||
.I_user_bit(I_user_bit),
|
||||
.I_chan_status_bit(I_chan_status_bit),
|
||||
.O_audio_d_req(O_audio_d_req),
|
||||
.O_validity_bit_req(O_validity_bit_req),
|
||||
.O_user_bit_req(O_user_bit_req),
|
||||
.O_chan_status_bit_req(O_chan_status_bit_req),
|
||||
.O_block_start_flag(O_block_start_flag),
|
||||
.O_sub_frame0_flag(O_sub_frame0_flag),
|
||||
.O_sub_frame1_flag(O_sub_frame1_flag),
|
||||
.O_Spdif_tx_data(O_Spdif_tx_data)
|
||||
);
|
||||
|
||||
|
||||
initial begin
|
||||
|
||||
#35000000;
|
||||
$stop;
|
||||
end
|
||||
|
||||
endmodule
|
||||
|
||||
14783
src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/tb/prim_sim.v
Normal file
14783
src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/tb/prim_sim.v
Normal file
File diff suppressed because it is too large
Load Diff
@ -0,0 +1,23 @@
|
||||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<!DOCTYPE gowin-synthesis-project>
|
||||
<Project>
|
||||
<Version>beta</Version>
|
||||
<Device id="GW1NR-9C" package="QFN88P" speed="6" partNumber="GW1NR-LV9QN88PC6/I5"/>
|
||||
<FileList>
|
||||
<File path="C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/SPDIF_TX/data/SPDIF_Transmitter_Top.v" type="verilog"/>
|
||||
<File path="C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/SPDIF_TX/data/spdif_tx_top.vp" type="verilog"/>
|
||||
</FileList>
|
||||
<OptionList>
|
||||
<Option type="disable_insert_pad" value="1"/>
|
||||
<Option type="include_path" value="C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/SPDIF_TX/data"/>
|
||||
<Option type="include_path" value="C:/Projects/In Progress/HyperNet/src/hdl/fpga_hypernet_dir/src/gowin_spdif_tx/temp/SPDIF_TX"/>
|
||||
<Option type="output_file" value="gowin_spdif_tx.vg"/>
|
||||
<Option type="output_template" value="gowin_spdif_tx_tmp.vhd"/>
|
||||
<Option type="output_vhdl_file" value="gowin_spdif_tx.vhg"/>
|
||||
<Option type="ram_balance" value="1"/>
|
||||
<Option type="ram_rw_check" value="1"/>
|
||||
<Option type="vcc" value="1.2"/>
|
||||
<Option type="vccx" value="3.3"/>
|
||||
<Option type="verilog_language" value="sysv-2017"/>
|
||||
</OptionList>
|
||||
</Project>
|
||||
@ -0,0 +1,37 @@
|
||||
GowinSynthesis start
|
||||
Running parser ...
|
||||
Analyzing Verilog file 'C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\SPDIF_Transmitter_Top.v'
|
||||
Analyzing included file 'spdif_tx_defines.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\SPDIF_Transmitter_Top.v":20)
|
||||
Back to file 'C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\SPDIF_Transmitter_Top.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\SPDIF_Transmitter_Top.v":20)
|
||||
Analyzing included file 'spdif_tx_name.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\SPDIF_Transmitter_Top.v":21)
|
||||
Back to file 'C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\SPDIF_Transmitter_Top.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\SPDIF_Transmitter_Top.v":21)
|
||||
Analyzing Verilog file 'C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\spdif_tx_top.vp'
|
||||
Analyzing included file 'spdif_tx_defines.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\spdif_tx_top.vp":477)
|
||||
Back to file 'C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\spdif_tx_top.vp'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\spdif_tx_top.vp":477)
|
||||
Analyzing included file 'spdif_tx_name.v'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\spdif_tx_top.vp":477)
|
||||
Back to file 'C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\spdif_tx_top.vp'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\spdif_tx_top.vp":477)
|
||||
Compiling module 'Gowin_SPDIF_TX'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\SPDIF_Transmitter_Top.v":23)
|
||||
Compiling module '**'("C:\Sdk\Gowin\Gowin_V1.9.10.03_Education_x64\IDE\ipcore\SPDIF_TX\data\spdif_tx_top.vp":477)
|
||||
NOTE (EX0101) : Current top module is "Gowin_SPDIF_TX"
|
||||
[5%] Running netlist conversion ...
|
||||
Running device independent optimization ...
|
||||
[10%] Optimizing Phase 0 completed
|
||||
[15%] Optimizing Phase 1 completed
|
||||
[25%] Optimizing Phase 2 completed
|
||||
Running inference ...
|
||||
[30%] Inferring Phase 0 completed
|
||||
[40%] Inferring Phase 1 completed
|
||||
[50%] Inferring Phase 2 completed
|
||||
[55%] Inferring Phase 3 completed
|
||||
Running technical mapping ...
|
||||
[60%] Tech-Mapping Phase 0 completed
|
||||
[65%] Tech-Mapping Phase 1 completed
|
||||
[75%] Tech-Mapping Phase 2 completed
|
||||
[80%] Tech-Mapping Phase 3 completed
|
||||
[90%] Tech-Mapping Phase 4 completed
|
||||
[95%] Generate netlist file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\temp\SPDIF_TX\gowin_spdif_tx.vg" completed
|
||||
[98%] Generate netlist file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\temp\SPDIF_TX\gowin_spdif_tx.vhg" completed
|
||||
Generate netlist file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\temp\SPDIF_TX\gowin_spdif_tx.vho" completed
|
||||
Generate template file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\temp\SPDIF_TX\gowin_spdif_tx_tmp.vhd" completed
|
||||
[100%] Generate report file "C:\Projects\In Progress\HyperNet\src\hdl\fpga_hypernet_dir\src\gowin_spdif_tx\temp\SPDIF_TX\gowin_spdif_tx_syn.rpt.html" completed
|
||||
GowinSynthesis finish
|
||||
@ -0,0 +1,610 @@
|
||||
//
|
||||
//Written by GowinSynthesis
|
||||
//Tool Version "V1.9.10.03 Education (64-bit)"
|
||||
//Tue Aug 19 21:50:04 2025
|
||||
|
||||
//Source file index table:
|
||||
//file0 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/SPDIF_TX/data/SPDIF_Transmitter_Top.v"
|
||||
//file1 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/SPDIF_TX/data/spdif_tx_top.vp"
|
||||
`pragma protect begin_protected
|
||||
`pragma protect version="2.3"
|
||||
`pragma protect author="default"
|
||||
`pragma protect author_info="default"
|
||||
`pragma protect encrypt_agent="GOWIN"
|
||||
`pragma protect encrypt_agent_info="GOWIN Encrypt Version 2.3"
|
||||
|
||||
`pragma protect encoding=(enctype="base64", line_length=76, bytes=256)
|
||||
`pragma protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa"
|
||||
`pragma protect key_block
|
||||
PwalfUpB+OZYKK8zl4HHGsypqHOmPf9MNcPRR2sPtmq8Tl1S6gspySTTwgkp0OW1HEMBOyUrEq4f
|
||||
BzPtrRfkyUbPSUT5Tx/7z+Tj+yCmZiZqxqrhnhrLvLoeswqe88KuemlksjYQo0QB2V7zPLlaXNqs
|
||||
uWrWd63sBeUII2jg1tpN6GHGmwnjaUH8cyCbJ5kM37L6x8P13qWm0chyTV6li1jFWun/e5SZeJY+
|
||||
MTCOuzs/LDN/9C0OupOqOVmtL+eLMMU3EmqlnYaQU9kjRSC/RnIUeOqoeH6d4JmAiHMeT+LM+U4u
|
||||
9FQpSsrBNMINNt+VrpoLLVJ+6av2g6IqyfYGVw==
|
||||
|
||||
`pragma protect encoding=(enctype="base64", line_length=76, bytes=33008)
|
||||
`pragma protect data_keyowner="default-ip-vendor"
|
||||
`pragma protect data_keyname="default-ip-key"
|
||||
`pragma protect data_method="aes128-cfb"
|
||||
`pragma protect data_block
|
||||
KP/MG/c8VAIEDD56Qqaylmo0fwrBySkCDD3827jPQTVRIBkR9/t4O2TPpt7ziM8ZgZRnX/4N07LQ
|
||||
rsEy2B0tjhEYlS5CfYxKhOKfS6mZrx0FxWLev7/1lpOciDNcdaKDLt8WB+IU754LPEHgmItPvF3Z
|
||||
jpIZusBiBSAcR/qU0KX3EfpTzOmi6KckS9VXNQ6uJNNqlyoqQf1o1X4goGkOE7XdQmiyHc2VORn/
|
||||
vuGHxX2N0VRi02B7FQ52hSt+lrgqGRa5h0CBciK51lMQlpbm3aBMx/wI+du+oN9TeHCT+Vx7/55V
|
||||
gTNMV++tS6ZnQ+URCqUBUQWi4+xGrZkukRiAHRNnRrezOWvZ4Csh/uaNqX5gNw3WMrUX3Bl/8lUK
|
||||
jRVNaRJB3Vgwf9HnSEHivbU8kjJafw5S+MoAOOB6qb3lR9IqSs2uis9xKSpaO4CHrOu4AFqXqhMT
|
||||
1HBV7Qc8PhrGa8aEejn5SYI80P49eeubtfV7tspaY6j4Rm4cQMaTmxjDndidOQTKc3rI4NWzqjul
|
||||
NnifnnHmm71FQDENJwgfuGr0T3hXGsLLw8mCwRQ92BZ0zOFPoEW/+QJaeeQiImeOG6naIBy8KIp/
|
||||
dgBP9rCCtK8cXbdsjlistGhar1LjM4V657fbpajwWNcDHtmfmqIR7Tl+xYU+a4z7u2ykCzqC9HM4
|
||||
Q79FuMcXqYGWEBpr44NfcczSKIoxjYJZcmuRJstnVHIqMBz3pHDUwF+l9p6QWfFvYhQo3+VdL4Pb
|
||||
XtKbIuSr7jT5fQK1MfRLuB3huhs/ahzBRoXSRxA3d+gBYF4jwn2Fg8L4YjFzsfXmVOS1SFjpGP7u
|
||||
idLgOwUT2D1OLjtUXdja/KlzlUmL2oSs3depGdm2pN+J8bKc11QArv68MVFmyP2Vzq+44UL6Nmhi
|
||||
Sm8/0csTYLI6YzyktD3d6she19237mdrak0JVCiWlXPCnJz6K88G6KuHs9VsXhEeZo9mXLQgKttH
|
||||
IZqFbwZ8SLR75h4ZI1/7hg4V+2n7Wu4ro0P82qE/p/gQKTD4QxyAQumQMS/5xt/eOnO6GzzwC5fT
|
||||
WzeOOdcI38SSuyDEb4V5ZLuRtnghWoitUvTfAUoOCIIJCvbrOh6C+QG7SD9mNF6OPUQOj33pIVx/
|
||||
bYEania7hoX/0qJnPQ1g3qYM90dJPvaTaGl2WOQR1Bt+Zw6Tb4sHnL7W51TfCBgBKV1lpKB/DXj9
|
||||
1yA4ePykai0O+2p5FV6RIrDaEkqL4q2SVg1x5DTtXfn1Z04Ln1J3ZvXLFM62Klc5+cuPvjGsg2Mk
|
||||
yAThnRfS9kRQiC6PsNq0nNsXApvfecSYchgIc3zoOzdwV0bx5rBYLQ39b/Cq8VJ7rkS1XbFfON8F
|
||||
DUgvKQAu/yUipfxYdV7sp4SxXgM63TDHQq7TRdQuuUZQD2cDGuAaVheLlYwaT3khDeKA5tL2ZQvy
|
||||
600H/MC/AjqHuABp32ddevYGFNFoX5STbXbvsLxudPoDPk+TThWU3F3X6vBpAvkB3jDgYhNTKNr4
|
||||
UhFsr53A5ldSZQ5R9P2HRfYttPSW3M/4mtCjOkr1rxyEMspuJtGOUcfCWPB4N8NiAt/ky4Z6Cwjf
|
||||
r3TZGzqwR9RadetOpxQWdEIMJ/+ojZyoNyYK1VOcj1Nqrqj+TMKZwK9iWZCXnv8s+RYjURKioO0p
|
||||
99ohSKJRKlMpw7g4+ubL6VHfpe2sStSCUf1aXkrJPxS1Ra5y6OP6Kdh85vlyLH3ZIW/MchXnAtx+
|
||||
nWuKyYTNJZU/NckiQoP527TySCfBeU2Swd+xiS088/4IzVAhZQxAkYeUbR0JcbJicceSKdl2bboQ
|
||||
lDeEfOKnQwoVyAZ3Hi2szFSSh0CujHs/jUqhVGFTKDyqi/KUJkOOQ4dboqm0diVUfKuyOPC5uMxn
|
||||
rOunG0ULA9mvjEmCJviJxizeD2+CeTQwR9+M6A+ZEMK5CwvNSl8SaU1KbK9D7zqmKyDxdDF+aDp5
|
||||
xYrME1PCqGkDxxyKNihFDbF9xQ9Yi5Rui6cKfqecrKhsx0QwJSMMnf2Ev4U2oCSNnXPUt+a7rIB6
|
||||
zzOTFRjAXPaJGB/Q6RJGuZj0FRAq2WvK41TEQF8MFK9SZpCzsUk6ZuBABr0e3tAa7mrbU0Ei0FVK
|
||||
JU34Z5mbmpGw9VBMbGV+wGlKgjXKEuIlkzajo/xmk3BjwSKsKV6+Sxbntyl98vgbIEXj9VMMKS3y
|
||||
URz/UfbCHYp1PMBGoKF6TpktL2xKJAxz0lR22X9Ds5MJn8f8ZnDZPSJ7U8gjQeynkpb08LQ4y4rn
|
||||
NsEJHZDxs/ehosNK9hwhJuO/M1HlaD3tFJ0osFNLKpgaeGuTOEXRZ1aLkPhqJqwPxKGihLpxBJ10
|
||||
kDCjFLboU33DbPnCUjaUhdTI/jn310dNioX0wQUk2pA/UE2LDAsTTabEsNUqCdp4h/m5OgZz4tNc
|
||||
L79iMSQisrtlMKnNnt4WjjAskQ2mVQ45TJBMM11bl8IOU2kBTzDn9w8PQRchdbgqDFX6bHpqxuoe
|
||||
vW7Qc6raZ56a1Nr1dsGSHHzfgEkyA7Jf1jPkysMvTCFgF8CR/nl7X8VsxMtWCs/DST1Jcji5Xurd
|
||||
nhhYzDKHJhM7TDej4oBedj92zCTzgno/yOnvS/O7iaTrJeE7KrNPhzgwSEM36OL8u0BAeCsz8hLH
|
||||
OViZDqRjn9UkRr+j0Pn/0gzTKP9WwSZG9p9Eb0Z9e8wkQ1kxwBlWdAOM//dfkk6+ZA9jmE4VPooq
|
||||
xY8EbSkR0Ubr+wtklArWxCCW0hNswhqLLfFLg147imKSKVmaBEG8fKCMOdy6oE5onrhtCdAZLfjh
|
||||
1QZFi2e4zuUmkIYLpZrI5HaK4HHHM86kF+ri430lLltCSmR6HlEUBubVTbc7CnpBhqXLdhQ8acbM
|
||||
S+YRhn6IEZjHPSQbUdwrRw6FZwT7MhYLSlZ1AQaKwec0+aQBB/vPHwqVjbEXkEPK4qbtEU3mjeHt
|
||||
maR/pYl1em4lSmBNruigIUqzsIIbyx2yZ2tbL+h/rKX27I25msp5vourjVPilZcJaTpE3HTVjWE4
|
||||
Are1CKyWr7zbfDcRDcuP1y3vxd/mIwdE1qb7nqwCSK0CkDbXy3gdRhNU7eczFeDO+eGNqeNfLQJH
|
||||
4LFBAirHaw+U4/XCRpd3+G9p/Sj9KCFHO9fzz2lkgjxnGMWSTMoiB2AWH32BUnGC26x76yhfjSAN
|
||||
Wr47CwiIqb6IhPLuD3XGYJimzAq1f5BFNTcgBBnaTFXtN2gM3MoZuvEuh72OC3hay/4CxBN+hTHC
|
||||
Z69qKPPOdghcGMN6wIr4F/PkMaw5IzO24sbKwv7J7cjZxtUfZoD0EwhgAS6K4P/4OXO6mkYYb0fp
|
||||
nfCmS1s54z4hBoW6sjuzkX1E77w4SaAMHSiVTAPviJ9AMF285g5xYETNxA8aukkG8z61qEWX6x01
|
||||
Pn3lYo22pyEz5qXRV2YB0ZEwPkBJ0NJX4Xu5ZxuEDb1BMfV9CN2YIpKXljnxdQfzuy0ZApvLOPUF
|
||||
hiZVl7YpXuVrqnwaDfQznNEW158fhujruyRROoNE4o9FdGeYXUntE545pX/45yAehbThsK6okxl3
|
||||
cso1H8paTHQnPFYyqTo8Vvzg61lIZBvNrD1hKM69vdDy18qkW3TlZzeVm1LJ9U9fBorPGSenLsrH
|
||||
fRQ9hqyRvH6zYOB/wgH86F16tMVr7+7yeeeYfOiJboiO9RNAWQMr2SnqGuLbhAyCDyOr+QqTiSI7
|
||||
tEAj5eKdIV6lwCHvlaUHrK+CtNY5mSHVSViG/BgIBezf8M75SyCB5a8d4F9NP8ukvJlM+POWTVKL
|
||||
YoYMOEH8syMObm4v0ICpSI6uXvG6Dzuj9TdMyyHTgh750QsVaFevT0zLCm3qggyanOw26YJU4k2a
|
||||
y2cH3cUOUUkS9hNET6//goHe2jOLVsRpaYb6gQtdStaEo891qxqtjkiKKkjNwaf7/t1SwmI1AYie
|
||||
tslowDASu8mGUR4XJpEsm4DKvxAkevrJSIa5G+UNhPx41AedrzFUJNGxd/GGoJzku4bKwHxZ+hTu
|
||||
yWN6nNE3+m8C3lCvnn4Z/AwOJOC5V6QyLn/gLln6U1NfLwueqwV9VYviHcyYj4fDwtoCyCgKcCLd
|
||||
MMnzM6iADAACWUnILYjuNOIcKp2eGJq+kevFaT9Db3KymWnYvcrGms1hR/dPcX1KFIH17xAPZnGV
|
||||
X3Njw0hicNJSXF0VqfWq7z92BzjFDZQZ6+gkPdy6M9e9iffdEtkBAmqcxfi9tTMOuCBRFcZhKEEK
|
||||
vy4HlaRIIVdgKR/HMWo+qSuvEI/1xwbEZ4tgCGvQOKAhF8rz5eppjCohcieBvtK9B2LarSGEnnh9
|
||||
NEuFmXsBVhvXYS+HVCrTlNAaw7OXWi/9/AEzfqSHk/t+eC1EH5k7TvNwivJdPjoxDGYhtZCz8OLe
|
||||
nqKA3Cxrc+d1UftXmtiN0Er86aE2AXwSdUpEw1kbf5oMn77X8Q3n5S5CRnaLzFPaFFNzgVa5nZdo
|
||||
JDFUFEkejEuuujVISdBsXIEDSjLFdd+NUgXiVwBYY41s0BmjLp6pTLkmPSkmsCtp1NY6l39zFWI9
|
||||
yZj0UM4+4fw5L+BscG8fv+9AHsSUQnmQtxX0XDZyFsSY9Iq6IHfDFoANOOkH1a8972CtUmRoWHdb
|
||||
m+b8xGci5XOi99tnS/Ky1pHtpjqxnY3d0F0p9MqTU4Um3lIpYfLd5rxMivWlXFLvzVOkyynb77nI
|
||||
7Ge0jOf8GNDKybeB71/PE/2ZKUKXcxEyLW9b+fmUtyMWr4EvFLsZY4A6QSWBwUE++qAuFxIoUtk+
|
||||
oZ7WOqhEHX7dyXKTXqJezSffYAEQQTVWrtHPU6VWV3vO80vfovBD83AGglZVPnI1/24+wS9eww2l
|
||||
FWXRgxqvvKIa7IJ3cjwJivz7KnvW6PvCWe7ltaVZEgNwL6FinB15tFy89SyFM1aAfxN5PZxGbZ34
|
||||
T59ayI+6jaJsRCcTCI6PCSGYJTdj3iXpgwl1uzkG/ftBx+MReMlJonihmxBlBLYo3XD5meYZn6KN
|
||||
dls1h9x5flzwgUZDBk+TyeKdOsCt7hb1roVcPO9rTQlYEgIZojWNMWNMRX7gz5vobVV10p5qvIPV
|
||||
QyhFN/VC5ElwdpSWGeeLo26wiPI93yQkTnYRSyyQIwaijVuNh/VJAEjdfZlbD8skFpocNKmH6fJ+
|
||||
Pz9FHbwNdNPHCQGb27emfXMHyTianv1eKgqfmS8vo3zKvyzkQv0xx7YZukaG+AlB5gZz7BDfg4tj
|
||||
LQ7NRhGoKWf3l+6p3WRxrWd/QSd9NaI7g4rmzBkc0BBepSzcR/hF/aq2zylMfpM0fjL2OBOSyZa9
|
||||
wmw9rcOVKXvct9OCa0YYIWYrVZawQ9MNvfwoNsQ89pTDfUTSJes2aa0ODaoP/hhTvYVlOEee+rFh
|
||||
8Hmt7xO9QoxPfQvadY36NN8fX4omT8QdI7Ppn9ZVAa28hTzi7KObkrgw4e6NNOiuV/wGVg2H76+n
|
||||
iunKeX95zNQXlPj7nCa3Fd5eX+R5NPQXFmGDRhnU3gVFI0H1N3CiRvmZXlx5Wg5wGVtSAL8L395y
|
||||
NF9ELwwVgjgXv9nCRauywPwiY/69JjqXH/z5NbzjOrptZVY4kwNSkBMgrwLAMFe6idvQAjHctGoH
|
||||
jRrwdC2E9LvayJs9bjuFtyYlNkSOzWwGVF3897ALQDaei8T+b9sGVtvwdVAFsLQm8jEVxO3dFaoo
|
||||
wXopuD+FYGNMLP+5YxJV6ytxnNfiAmXPEU8pzCbO/ssMEozDcV8GfcipJJ0vqG/rWkRizmh6zvtN
|
||||
MpC87rgoIU3DifpCds1wnQYg4XM2jriuVY/tzSQHRZh5jGMQ+PXiOusulzTBR25611l6eaCrznl8
|
||||
FvBiVlk8GG+XEm8tRxFHTW322E9KKwra1QL8eS0Gc4DHY0TcDuVIxbbJUuOAapPJeodG9wAbriqO
|
||||
6WXDcbK4u9Fi+uFJZRiJ538fgz0Eie06v9nLoMJS7X5tw7zx8SmcTEliOTdpmE0iMx+YYgcSwV5n
|
||||
vRRLd/Kb0v2AZJNphxob12BDtomXJVGttAWx9JCxWOL2q/9Tq5J4ge55aj5RWoPxuzVGc7g7imd0
|
||||
n5hbXqWf+/JJ55gmjHhGpQ0WjbaLwcbezULyRv0Ae4eEdvKUqNVgGHbSbumj/rhEUdDXEfunahpW
|
||||
98MEe3sCqnxDrsXSxMapMDKGmd3D4PRglz+y2nqZciFE6I4HFk2H+V2DH5yLI2RMGylSoyP3phjg
|
||||
zyh5gSV/lwCQ0LTKDYP3o9vszoocy1iJ16n/X1kogPaP0Ttko/d8vUCyeXDNA91+uoRA6ayoyKyb
|
||||
h5SFLKX1jqVH7e9aJn52JvCknHEif0KrNN8jU+yqE4pzBytmKd/9ncBWBKvogGaYkgjT5Lyu2qZ5
|
||||
82ImaPRvs7meO/0AsyjKT+XnkLO9k+3XI/uw2B7sjgUeFBBASrWyeq1ZGmDi1i95Yix4iQ4eQWa5
|
||||
owM44AFB/URMYohFFtR76yRUR5dlB8/hzpOocAF3NllW6vxxuAHs5LoDtOZ1Gedk+g0qj79puEE0
|
||||
REIEOBAx7HnVhN0Bo8h3Gw2UbwnG4DKf6GVBCyq1/znOan/CZRGb8jVnNyFXyvQ75O1LWAlVCcuv
|
||||
EmlYHwsEvIHp8A96LVUH+6EehsO9H8ikAu1DdXOz+qM5XF7AQDyPbSJI7pHoQ9dWdCKZ4lksartx
|
||||
Q7CPlE+KOQTbrYCbwx9/+8LjVpDjCeCR5uzppQqjMwWhD3I6nPmMveWe8IN3de5q0riX2zaWQeIm
|
||||
l3uuMGcybXNu4be+zCORCl2Y/VCXfEHRegAdWWNCVTtJvzVuqYFQLSACIcRIEkx5ieaomcN9empU
|
||||
O7su65VmEUbfheB5/vVkY4TkDn4JlxuoWUagckOqDGobKrpeMKkdvLsy78mPb7F2Cp7CbEjC7viT
|
||||
pT09KPfUudQUpiwtFzad1DS1DAvEyC6hxV7x65KSJLb+Y4vU4CJLWyG6B/+jWj/JAAtWxqLf61qt
|
||||
KKgNoAFEN+ncUGptvcKGbzS0jhKGnu97mMA61J5/i/+U2evhrBev7U6KPnk9SE6sRFzSpIZgve07
|
||||
nkPL4fpLsh9gCzUU0KBtoIwR2AbZAMXPf+FODyQKF0kTrJxGbK3ueySpfEGCSpuxwFauI2XjQ0BP
|
||||
Au/OYas5ZhWj6YMxJykvyDZ5Aq6KujHh7hKeNDpY4X/ksl+uok1t3+QNm9kjdPlQNNh7MR62AWpU
|
||||
nETUtjAuxwA9RMccNBoE0jhWxCfUakTCj1mrVjbLGQ8U1Se5Ocncbf/x6QfPxmXe6eF2b4AlNOGO
|
||||
EE/Um8jMfVI/AGGyKphSQXr2+nhZq2Y7WBcC8KHEunSiDTogVGaADdGtxZc7XVGVTnjyCRGsU4An
|
||||
B38jRrB3TIN6D2Wjgjy1+0iuT9j0Runql7fcUqVr7Aw2/q+zRgN+EiKppRrCefpy7M/PE7EAYU4G
|
||||
YKiRQTb86h4cwWAlC+JYnF1KQztdgDlqmTK/1BLYLCU1oUvQmdZaoCu9nq6QvcSwNFmSjrxa7kr7
|
||||
j/jphoK+TjIbIA8GnxdTJJYYcGOc44l0dM3HaKS8+00aLSpqnFuKDFFJHKebEPr27T3xLir0K/P8
|
||||
Saw0R2C0xB1+gt+9JnpHArnlHFvVdJIDxAyT4rdlfaQvmFEBNvBWH8d5/8yXVRr4GhWXNxDmI4CJ
|
||||
6doB92d5az8bSihSoFdnjZVK3dIkmqR8gqqpegh9HpJcsUVf2h4OLR8X2khj/ll7vcKcKZSnbJSq
|
||||
CIJBY/53B077XjcLnoPQ9Gf3MG2N25Qadluf9Q16fZjUjR1YFYfzG0Qka1a1hgWC3FatiZctomlc
|
||||
oPzMZChUfQHINx6kNcY6mS3kXDju4uQXtnn25BN4+HWN0pmXdSZtZRvRSzXlEP8EvfYK12mNaKsv
|
||||
VsJ4RTDopAA3ffDUqJgRnNENhzo+KW7P0Hq4z1R8pHAfrKKSX4IIJcp7FXpTnWA8tywE7v9hKJDa
|
||||
L+FmwxzRHuoaUObjoSLqxe+NIImJ83CRnhWvQa2doHSa7pf6HT83otu8njnTN7qg+S1Pg/tzJUp+
|
||||
kPF1XHY9uKShujfNlNWC1rxHlo3g5+ksPrOHTzxY4/cCymtzNS+Z6v36W5sKu5SUMjE4aqoam+eq
|
||||
0p9Eyng3u/9irtGaDjXnRJsF7dR8QpusRcl9Iq1C/jI0aaoGTANoIVhgxX3E1BmSWXOE+ouPRsZd
|
||||
S2eqS00JXL1vHFoPKslPP62zj0/b3g8eJqeD6OqtGO+jXMNPZ88187ZcNCLa4lQIfXGPJ2WUhTg/
|
||||
alBV4/QeFoCz4g217QxdIxF8cwlA6lYRXtVd79qnEc0LxA+OODwxQJ/l8+wB7ug9o1FV6nM6RlGc
|
||||
77W/UVPSI8xkbz4m6f4tT7/jD5O6sPHJf/qEeMvDwXptCTEX6tVyV4B3B/SQhSB8qXQqWD7XNx9v
|
||||
AUY0AJYqueVzr8xrjAS3Do/QWRE7U1yJazaIY5vrwD5xivvWtye9205HPYODH1q9tgp6AyI7NJSM
|
||||
G9KIA0YhYSpGCTns1igqvnvAI56JakgiM+MNej8x6w6sMNst2OHpEwNJqgAKjMUx7aapf+03GH8E
|
||||
QitUcFmqn60wvNJW2vBkAexh2WLZLV8Wclx0/FjmO25OZPkA7VHlujZQUgnqsBU2EjxndUZ8QybA
|
||||
gV+Iuit7raaiENpPCQWBhdMgEgcr7fNynnMhEKo7HNNeuAXYuHvRHlOS7K1szizoziUCu0KmYpSo
|
||||
RUTplmrerD52sfgvGaU/Y6aXrbGoCwU9b8z0d17c3z8eTvGYlusGlSyybDb5oLHJHMhZ5fWh3V+Z
|
||||
HxThGp6qSj9b8WaXke7YFj3PVvJ8lqYH7aSPLae1DNvRy1sh3K5Fxi4KogkdDjvlX1qmOBTpryOU
|
||||
dW+oAm+3zcxoA4ROX/gjD7Fr6GwzjziDtaajnj5To4jHwcZ363HZoUp978FImMDVNR9caUYLD1vg
|
||||
BKx8iZi2c3uaMi+8DkTwcY5Ceuv2uMZp7a88dA+xzvKmiKWQuyl0xvE+mE2rWGq7BDK+ZZd3jcKq
|
||||
uHV7+p7XiV3plCHLhML5tNmH9TBaguZ472s3sU+c6woiMm462yAkw5oQwZ6Hv3GJNxD3juKMiBId
|
||||
/UGqgWBJM+KTb2pW4ZXFsAhZ2kyONuGx0JWLL9opY4dSjlNabjPQype5t9a6O0jmdektu5ebrOR7
|
||||
udmYSSqXcEf74+DsOX+Cf3KYlnogaiqGcCV3U9WL+zvCMF4RyZHOItLKAH04Izl75++LSfNlvOMo
|
||||
tN94JnQRf5smca2UKngSleYVm5NuZEdK+nTWlH1x6r5cvLWL/z4Jze/Hj/oNgb21mZbSIr1Ek4sV
|
||||
29TRtIHgBq2grWbZGeW5fuLLAp/udIhV7JrwHUkJqJs3Ff1/FCB1Cn+GjKRfAfEnxqLMsayH6k6R
|
||||
lLh8el3/d/yNnV2TaWBQL4nYUK3ABy8SJSH7oZv7lu1UNvk6sw7MaOXIJ8Bs+P/xJSi0RyMMkY5A
|
||||
xmLvdA5x9kMWH261BJCETp9qgmOrSlyvpblSNjljtrSdH1pHBYrM/6uBax/qNaoFouQaJuirMhp5
|
||||
Bg6/ipn4cFLZ8U9i4IcXfZXN7bGz/lsC2RHwPlw/cJRkUjtwMaWTdrvK48rd/REfLvnRfWLoUlsN
|
||||
HEaT0cX0Pgl2OAXvCV1V3RMhu19+HAoAxIVf6r/8AA3QfJxd67mtKB8z8/LZHVywsAkCDDMXWypI
|
||||
X7i+cFoj+1vbddf1xk1pWRKiTWWuWtBcGIbjY2ReolN92DpFoNxCFhQ5GWd+DYphr8cK+sno+Qmq
|
||||
MLzn+1U2t/R5q7muKp/4TPascNwt8DPh2aWj3B4AheE8ah72QRzUjNhPdlKng+DiRLDj1GNaMKLq
|
||||
7PLZ6JE6Oxq9Dif54dO2jWERphXcvVGaaCY64W3j2BcXVlOOUoRWOpeX8EDr6lbOBaXfkn081f1x
|
||||
jue7/qShIf8DRNGM+Rb/3/LlVz+FOrwp/mjJw4uQiD7hohO8ve1zhKEtN6RvnS4644FuqxW6+v+t
|
||||
9NVMyteMtIE0aMtnD0jRRXfn7CUDKdl2A2r8rcVqmEqznIe2TNhwa5YTse93/qUhAFlGQEGHHbR8
|
||||
HI9Yd+fuSEKcx7Y8agxL+P51Zx3RTVUlcjQ1jqJ4HE4mpSEyAeTblYY+446bLilddZhvLsOJVPvO
|
||||
9PKn8JBrye4bxgOcRuEGwUiOZAO3Tjj/UtHHFW1f5F2u03V6w/2Nr/bQ1Cfx7UAvLdqbHbVLr2Kl
|
||||
0OivfeUN8gmqG4+ZXXBKx+EkHq7V+twENzu8/yvUrMCxdhHfevSYKl85pJPZnMmAyPPm8qqnachk
|
||||
96xat2GSr6nCoAlBhEdt/TsNMctEV2XSKXqk+rgRLOmBmIVGyw5Yx3/8lpjA1lReu8EZ5BbkIOkC
|
||||
Khgxrpv3X3cOGQTqRY3qbMIALtku4EI9y5lByruXbzFbt5DIfqRHMfs+EEiIH1q1+94Onz1xSRZU
|
||||
8QRDJ9na+oXU9xu7bs/n4vzCY89G6tUbBkkt/2PcvuJixYKW67xydxRd0sHe6M9Ha05iYh73ufYe
|
||||
+LR6UU5FJm0PjUTrgeAw+Z4vtzyKpObptoqV+gM0Hr8P9NmZokCWCz9MUPkDAjQSL7+1mJvPkOuP
|
||||
kJ0JP47sfVuin4Ut2Mrp7bGKcV8W3uPZT72uXeR2q9JhwCfwy6OXtpahaheLdq8PeaaXy855Wh9E
|
||||
YRnWfReGyDAkJCo4j0LOwk/EpHEKPvIDunLT1VU8P2Rw/ahebSQF/+a3OkvrQ9II8pBX7grCEm78
|
||||
wHXo4gvNbu1vW/bl0FGHdtIV3R0/z2DDiKp9TsGktTsjL0dUj9WX22RD6HytU3J8RyOcuxRBOKzE
|
||||
uzJGCndw1gPd3ueWsdos5ns47KZ1op2VAgMSOVL3O6wPHtui2vTf++vQKQkSl2udtBwBfpSo51yh
|
||||
FgoRGLhaMnuhpnzwkOiwKMnrGUBQ720I5beRlZSnY3XknJCrVlzYGcdlls91FtRMHPbqS6xDGuQu
|
||||
U/D0vG0WuRr2SUF1A7HQEs3QlOg8TLeuADVqrmPphdRlszbNGzdlmVvw4uam6Vvxzw0ZT0+rSr74
|
||||
zyrB5LxdST60WW7JvZ0XU2plc1wmTm7dq8trjorVrJdPd6Vb/W7zPmppJdpMME338WgWu9o2Bkhj
|
||||
pNa5C4UjZtGIW0s6ZA/+QmfLBYJ9zHdI6fvehdwSZMtQeOXn5cyFcUUFHWnBFi7sQcfZfrXXxOZG
|
||||
J+mH7/IEJbDHPBwEtGEbbK03gzCE1D2eaXOmP04AEoMHFxuaCuEx9x05z22GL4IJ/G4i5xAQp7nV
|
||||
FZlViUBmSse0RRsJVwYF721YDunoxRexeaoVn1vOujRkl2bQ10yj4+ooDxHdMlnWbsbB0/QQhThE
|
||||
QYChmUtkYF3lrlCpRuX13a9aihlmVgqquj73/kHRkYS1xc34dQkp5B4KgHkLikqMPAU1PI7fJP0Y
|
||||
BLe4xZdYPDfiLRNcnv3E+D7zwVGmYsOsCIYFeQnB9hY0jjt63n8Zy2LuG1CJijwpetLRBj2RaoHS
|
||||
omQZJ15WTJM+Q1cWfD3xfbGuaDpP0f57TmijS9wnzFzg6f+xq69oXgeqoEJ15c79JzN1yfJ+mRLY
|
||||
6+30+5rp4zR2KuNVjLcPYfMh1UKYNE7Oj3ZK+mePhuWSSTE38G2l9q6ZA9f/rakpoHtmlDXioNC9
|
||||
Z7Mt/gp6cnjkzfEwpFYP+1IVQHgPLgmYqSR9z6zhYYlFZfqNZ/7yxLitB4jV45mTP42N5cgs3cGR
|
||||
4IWUEtAfUE+Cjn6VpXdPIXeU1F2YLoN77wTrxGdxed+juUrReW0oYCbd41KoxLN7NYw2TYbHnWVJ
|
||||
6ftLW2/xssdi547QdX1al0zR/E9wRqsKXtXNmf0wCMor29fq55FUA7ZorSWFkxTI7fIOim9OArFl
|
||||
92xd1nJbDG2klNpHI+QP2K43w9jxwdxyZVAXCVZMpry2O7NfeAg9u2t7Nqf6iqoE9xy/pT8dUW/P
|
||||
YdWWROF6TZ1WWzl/JXY5TcKGTDxM6aBbS5QwC4iHUtEFjoOPwpJC+uM/0IukVls/mZ79d7gDCZlj
|
||||
mf7JWMOvS+gEC/ClDh3YjVg6FYY6cM5XV1kMx6prNlOMiXyQog7C44jewCmn80LhXOptatco90Io
|
||||
KhtOdg9bZRSBDzI5Uutntr/RqQaJkcWjhQbMLKTM2KQunEqmKJb5dHwvcs9PquMLJZ2aLI4jLmhE
|
||||
o19AzPrpjp3/IqD1yts3wDkPwzdTGPio3teHIltdt/cFT5VNoKegdDBoM7Y0ILh7MToIRoOiwrNF
|
||||
hHgV8EFd6W8jdM3REHnalgssJBeV83U7/2HD7jIkDcGNHEa6J8qEAKWzLlS4ApEIlgtgtaUrC8Vc
|
||||
TlIfpKgBGRmbiOO30vMXILCUzrFI3GGDe2mHplg9z6T/GPbANVOFpmFjOSeANW0/xC6oynZ7DBGw
|
||||
lKt83BR6B2/bRqrl9TIk9Etjz3SyOIRxrZWDJoquDRyKpQ1h4tqV+dqv2nNqxbeGehQ/iDbd4tbO
|
||||
XRfWWdmNSXRQYggI1sADuQKg3fT/1LE9b0C1ZinxYboKYYSyawD19x9vymDhFYmxAEG6rdi6RY1Y
|
||||
osJd5LhK/PuBWYVZnmN4Vfbf74ydPDrLM9BP6zfDr5/bNyRsZ8HIXqHRQQBxzx5Q9SVOyxyrb62r
|
||||
/UXDy8bVf2oa5cWkrI5+sOwWKROLz+yaUG4WcFdS1hDxWYdXeQH6txb3J5Wjckb6Q0xbsfVKuvvt
|
||||
LfdN6YktEn6U2Mrg+BX+ziyEr3pEoW2LKHr3buxsrO255DTuAbXpgeCK0fvnP+KLE4QmqHSQmG8M
|
||||
URJP88EIX0V/tedIcfAlVx6k7jFh1QXVzWKbLgXJ9gokJRMCXhjLgJUsMrWtO5yj2fxRCX+YXzLb
|
||||
L5RcnPcyGtXuDOpDQj21/p+h43Vq4tiSXVAEIs0Szcn3JuBfyDjuLZnO+zNMuDlBASTmTFUUm/90
|
||||
eiBOR47D16+Hhrx/Uvp6pjZ8kfT6XyS1Anb2ARocmziI4uk5SErcOWRD0E/PaHp4TSCwspi18/CJ
|
||||
5iIFrYO0yps6gYxcZyKH214ET00yxxwdbafg0UjfcRarqkyqDaPCXnp3ZMVJDxvUQ1Xy3RH1WnSq
|
||||
3fZ4nR3X5SwkZTI25uORqG8X2tIKjS1dzzaw5xWSanQ9T2Cgl2xN2LD3CM6LP2ayW2YrGdiD9Cqs
|
||||
lQ8liaWOuC6C145+laM6oJCBeu4gLJIJ5jzjVm7NspcrUFFcuaQ3T8hkl6K4t6Am1eKBoqx89miN
|
||||
GtYBmUL+xe1tLDdtk0K18PenzWxnW4TtCFnHh9ItFArwtDnzr7b6MDHoc+zwDnFIBSMPI+mijQ36
|
||||
WJZSQ97kj0rJrbFtgJ0kVTdgILPC2ABwi34kK+tbtm7JxY8E0pXQR55t/dkLg8UymzVb3llNBRmp
|
||||
+F4HF93KpTboobLrQrfQ+KNPkwNX8hg/V4b57jf61GyFc8SjWKZcIPzFI2B/cmHv9ph9+vr3ww+Q
|
||||
AXc5WOF5jlod0xDtrJGw8C3G7bWASj29OW0q6blNYMZiiVcFl5uRJULkPZCLxJXdVvFMd4Lum2O/
|
||||
nge2yW7n6RVXdjN01zPzJTe6k6/4fWhJTtd95WvWZp+ftEy5MM73nkksFkXjsWPD7txrEJSSDIWF
|
||||
iKXUBMpgEJsPs+tdDuTqLq1IU0QdC2efoPKmki7QzbpFl63GN8gD+6lUH2aC8clgu3hL1gMWQzPh
|
||||
tTpanbSChLD6QCZM3TRgRoVpUBDzIkl3Q1PTcGnlhzXDwSil48MdmsdE87GYeHZfdtas92ops5j0
|
||||
X3z4G0npjN79bG5tGyTW9P7rrBh2yL/eYjaWQPzHpDMtdbdGUj1p6NRSlrYHmvz+VQ7PHNGNSXk0
|
||||
yDLhPQu7JEDDKFR/yTtx07NDs7bXXGtexZpKLlhTCfPekcDzU8AAM9qPSIGYDWWKF0zMU4mKaPcv
|
||||
nLK2/keRboTeha564f7ygxri7aRkqmMB79iT3VQ113k09Oe0AM71xsyTYdUpDFwjpeiT6cBS74bM
|
||||
tv6E6Qi0fjppH/Kc1T9Ruk8WtGtrf2+m3dDXYzsIU4ZDPwov+zv5FAiCUrbya3Kha/Q+W4KXMk13
|
||||
NOWsM+aEtivV/+H6mEMdUrRaQOo8b4tS16N+/dZPQtLM6rUvCu3tWH6kKmHBmbTjaIF5y3BnF6TY
|
||||
Po79tq8CD1AFWckeA6UWtQxAQf8XltW0z/fKvQx4vl4CAqiuwCclG6vnga75g4BKeO4gpyO7ZAoF
|
||||
mQTWh9lyrbKzCrDik/AmuiDhykLNXnxpaDO4jkN3fIJx4OsZqwUsROLM0Hvgr2WBwWQIf0z/ehDG
|
||||
PllfU9ayhnyIB9cS7ZTEGPyoG6d+rtGF/OPIlfzIFecWhheeF0K+25VYnp7gBKKqW665qHQGXe8C
|
||||
MPi8jJaBAIKAlPtkBHibPsSufW+DakeGtKJCPR/D1AjuAnLwUCswzVEyC1HzXy6lju93EdtrMA6q
|
||||
5EPgIHxt0el/V06deqOA0VjFMJxsL1W56kD1+pqfk8AFvPWr4XIHSvBMOxixDmZ68Yo3+v6LpcEw
|
||||
uQJml5Oz3BugubbdoJ1gqw2zZLeMMi4bziKb012R7BBFYrspbDW+6JqdHtuOHTFY2u5cUxZKIorb
|
||||
dISETQ+P0CFAcW2jmvy/q8LJfmqxulPLlj5N0EQkA8UNoUrPthxgcLpsO3fz+oyB8/BlAbYNUklc
|
||||
Ci64IXkGS7Jf9moftAB0Udjja1vzslX9evkDDf+LYgb5zM77iF1lJQK1V466oQyJEFR1psY/BvAf
|
||||
MeToDZRY7r36JxErfnZm255RsdnbgiCfFP70kAgz8MS3ad7M960bflfLkGeUYrj1hQmlKOj7t3hN
|
||||
ZGfqHTis9oZianvtvf1jcydXNTzyD+szarKisKBanaTnqEkm1UIY/I2O5bIZaahzRgp8s9TEQjfU
|
||||
mOkiNDRtbp4QNMNCEni5NDUI2u+q45pFtO8X3u+aQbuJBv1HNEpuinvMQ7tY12fhYn70Gp493eeq
|
||||
Q1iJffGfxx0+VcFfb1nluA9VXVkiv7swKw3qHjwlg0Hnw3UMM+Xm7/ntn59vc4UrHH2eL0LIDULI
|
||||
70ozo9fSBCPVLufoa7/3LItBZhacrbSeLz5sfPSx1g1HSjgc+HHCTEbI0nThi2icFNqXi+x3WBRU
|
||||
pP4s+la2qsdRnU16BWWRaijqEDXbxQNdN2Uu/aNN5I0vP3BjBD/Pc66DjAvnuSoAm4GPLrx/4H8h
|
||||
oN8LdNA+6gulp8n9hgoXwGlrZ5T/y/845n6w6g3hr0EzmxUA1o49h9BAu86eJFMpKpJqlmFMZmLW
|
||||
1Kve+8iNJU7G845A6ZKg+8hQT68rL1tC6b2Aa6AHWMqTFjqRj0ge163CcmWJVpWkejI8amOiCi+8
|
||||
ltPPhMdLhNDysFrWCHy73I/cOxeLV2dXUYOWpH4Vf885qjNEf/eLDzMHSuqCz9IsMHwWz0JQ5PLp
|
||||
6Fk0ofMGZZm1ujwQ4GAo9Bpu+8TLZ9/9qWR0alKzyyH8bL754Z4hMwuJS3DKbefSkKbEIFFq3FJv
|
||||
XrImMiXrCc0ycPwhAhOWTo18QjRwNyOqs+bTvRxWQvCnrmEfqULIo/QT4o8Jv0tXgdoRC/+A3ljT
|
||||
3YTvhRHi2IIDlXWM02dyGJaj75jL4s9qF1sHPyHAgo3dZPnVfxd5Vw6dmtTycORyRyMWcv/Ky/eL
|
||||
cPRyg6XshsSlQK3R4cieLgLJqjjbYToHgga+3t7ii/C34VWUHo+J+EheS8+pmmCk3O6VM/fIdprF
|
||||
gjuiYA59iwiKRUFq5YsihvrChzg09xGXPcrchOrfB1iY+CTRkFoPa11XN4X/1X8fW/H0vIf96dTs
|
||||
UVfhVXEnLA+nr0f7qP1T1NlMkEcHjxdeN9v6clBxWYwvkzKUTtN60W4xMrYtH2fKtZQf/dZSaM0W
|
||||
dFfjnbaz1BCORERp7l61HPQ0XkwgRtn8hA9w4/wLkeZdIu+ia+voiBYm1kExbGe0Rg+c/EGDJE5U
|
||||
pdnpijd3VCn2//9+wm8IAN+WRgYpXx1X+BQlHUXvAdAa0fH605mG0KcJU/43f/NSamzxxFPby61f
|
||||
kV/Cx7YinSXkVUoTh2uU2c6id+v+pzM25Eyy2IrjTOycbHWEDNX5pifauCPK2o3ALLxklxfFe3TN
|
||||
KHObY7tVQWt4cmhVwx+0M/v8K9t4sYRD/E5DJiIU8sa9xYmGaocs9i6URqXeYxbFryFx2/ZSkCEY
|
||||
R/0/w37m+HEB4i7SF7ptvy1/PhwIBNK+HvZgvJt1oLO+kXOkRw5PjQUSKQ98lo4iQnqdZ3TFT+cZ
|
||||
4vI6zD6dG9GBfiePVxCcbkt0zwL+li0wRpyrInOWY16tRPRi+1pUBSweoa73f1B6zOIzeTsdy3+j
|
||||
/20yPCURuM7LnctdOwWvAohanrAxrAao6P4g110OphjyNQYjxzd9a+3bx97xIA6wfcE9A7E9p3sJ
|
||||
5Ntzee8JlKY9NjobEPIx7h1C1eP7NmRTvrhM0K/SkzfGD4C/AZocfpc5nHpOM2wxw/Gjw6QY+p8R
|
||||
7bu7/zJeV7nbzSLO7fvDQv8qrszrvDLbyCPczSkAzkwHQIo7GaQMgfXlX+sa4jJABGPz9sPnCFv5
|
||||
sWnbvGylxJ4bHp1h7pZ4jIuhatmP0/NUtqJdL3GIt/FNVuq5s2SS72s2oL9Ss2wlhiE0Ld/QxQt1
|
||||
2V3PiVs33Z0T9QgkSLhFs/8OJYBpG+hbUnanpN1mCXqWQwKIuVnIET4kL+r5gkcgIGPpSrKPGI/G
|
||||
/Ue1QbVXueC7wLWMuLOqp0ttamynwf1almjxBEs7ZQUBo5vEh+tU3F47XxGwYOEuGot6w4drXKZY
|
||||
n23UYxhTiOYMi0Zg45R2jUEfX6txoGCrZgPoG3C31ix9uhe+GlPbE3CT3yoWexCyW8THM9bR7C/I
|
||||
HuMAVzTAM48QHVGgBUNhb+s5nUkvR8cpakN6DV618TIVl0cXrWD08xfztyfZdUECjDIx7YLElbKc
|
||||
YLB+p3EGzZ44QFPFcyMY3Qe8JqnjAw+JLrJf0W6LyBIkMWHOZZCMBG2xOkVbQEPEwozMaQWtdy/G
|
||||
57AmK4dFhJRlZMO9XPrCf7D1SF3At7oCu4XcUV/mczz5N/2RDI/pdMWNm6+GyVxElhTzGB4b4ZQy
|
||||
bKR30WP/zLRq6ZUD6ljk2HZb2IIi/ESYxsxeXmXmjmv7Ezm9SR3ozcIgSBcBxZ6No7iPgSEHBUBo
|
||||
OHvILTX5mO6z6UykJXJs8q8jTRrRvohaK5ws7kH+jhSZZgKmGdv5R2my3JV9sHRsmg80Z6HSB+JB
|
||||
20VUISnTdKZhtLZPaP57hzN9ZzptUGda/GMAu1k85jxmLlY5g1CRPuBVSE+uaY5Kxojp6P0vBc+u
|
||||
1EyNYZihGan+bIKtTj0LNS44bJWrDHH5hTWUyAnZmpigIQssz9ayu/2FlhwfitDyurFJjp6IxESE
|
||||
Op3tsPjqXBQIArpxAoRGvdaKwDNAZ4QWUVc2UCEvCVXwUpYHPgomVROyaGjmwSWkonuTVssDvUgT
|
||||
I8d8BNBhqva1E4b+BBHFvgLb6NX2vYJa44dx+oQtpSUnQK/+zlVztgKutdRmCXVIOg+JB8gkQv1c
|
||||
Nqt7R4LNNWcfLQdxsT9+hysHh38rZhjfykjtYV18pIL9YH0eHWrp46IW31TI//luVNWx1pHyas5J
|
||||
dkNhlzq26lSjR5HcXjrOBpyEEF1OYK+aplZuKeRrPChZK0XmACTrCDc9fmQMYRtqtWCpf3Vmhdcv
|
||||
b6NplIo31ZIGIxg//+sYSPiO486fHp3G/hCo0lr2aJPxqgVrRFfeIBhPzGUgXU4S+LIgdBgTdih/
|
||||
T41KgP9bZ7MMUT5vpsiqQcJEJFhq94wcsiM+SMslao7BjrcxL3IXi1bruxfGJ8VBqLr6XOVM4odB
|
||||
PDwHocA/hGvTZpA8ypzG+6RiLTnWFx9tTD7CJODDhaRDvItx2vGgB7IqaXakUrCravkFEgKGNrw0
|
||||
lMDiSwkuyhlXUDe+AOGIqOi6YF6+3fZutBmKsfv52c7B0YqihtyOFhMOiIk6tUo5jKTnWNd8tVJP
|
||||
r90ux6a5GUp78URG2ilrMQyrEYc4wmtdCHVsiOCbRuhBWq+oG1I8vdzf3te9xY2nFG8MXyTHvf0H
|
||||
cRRhrr9pZ8QYLZU3Fv78b6kg99BFgbYqe8VzO1JjRK4Y8A8/nABeKO/nTx0s4VzQMZIW26arerOV
|
||||
2+JElCtGN7pVVu1fQV5pjEap9FKjmJL0bqlYmqtcE10ptUqmANjOHjG3WXMU/j2OPUR5vfmjd6vS
|
||||
KbbFO4LnbYBWy5siLdBrPauFgLgUxCERNK6kvh7XaJd6zrfDyr4WLvDmtPTDunJlLHhT2wxwyz1G
|
||||
NGxZauxlk+KLtf4IpDUmp4OU9dC9XDzbICSf/r5evXQ6jnA8WPvj2UZnGJzMvehT4Yw3/vPm6EJ+
|
||||
+fftaoYe3TZpfK680MEmwbpH5coulk0MeS5pYa/06UeB93M0dqmBg4bMr36P0mQEiAWMWCYiBhZv
|
||||
k8XHSAOU0mVXfXb/oJnZm+ymBOp4aUBCiQWg0RZtXZIVnJqGvnKWV8Qe88Q60eIKtBKrZ9VbDLN/
|
||||
fjDVyQXC6EwENhFMrPQ01HKjsFv/P0FIjTTq88daNnZWqCRZflbhMKBMXPhTXiz/JOUMcgcfK3il
|
||||
jtLK8gVv1lzLf5D9pZrsGHwc+P3pEay/GHJ3VGAiUd5Q9nWJOj0mISoKG1Iz+pxvgCRrWAOWVHnO
|
||||
ZjI9pXkblLHgrkQJz7KhyScnenUF/mjEsj5YQSLW0jVg7re9QOeSQolvJJK3NGckDzeHhtXhXTN5
|
||||
Qr46o1zgZb2Hj3aBwJSTWK6hFH4sAbxgu4Th4hS4eWDHi2mKqHv0pvaIgeGVJwkwnt2N8i9jWHMF
|
||||
Tq+l2cKHhlR4gMoCzkvLtSmLDWipGlsg9OnJMbWWBjjECZAH/iuD/zje1zmYG2HTKPK94m6+nf+f
|
||||
t/4NZNfTt41QM7sgEie3MWWVbCfOAyrZIEBMyoJY7KltkmdlIIloD79E0pDwsE8nVRVqKE0eJCFh
|
||||
Na5+Nmz6jK3OuN0zvUt1Cegp6ajk9uSh5+M+e0ZGbPKjUd5XRt7Z0K7Hc5BBjDA4n8G+oyRZhzmH
|
||||
/dCc7pceoE2GNFRqNjjXuY4s9U/i7loYHAtnH9m482B261vSm+yJdEkA6gbUa0Q7LRTyJE4Yq+Th
|
||||
v7UdQlOtvZuDiK+uDcoYmBdHpllJB6aT6ymz2FUXyHDbzzUTNpJ4XZIST6QGkpj/5UqUAMEBl/o2
|
||||
QydbnPxQFW1kesVoKf2o3doNwzkyTPIfWwJ9cuZ1tG/9s0Ta7xXR3xaqdpj4ogSMd0G68+bzfbg1
|
||||
sJYZ/MzK+gw/X9lT1ikqru7Mo4vWPgLS3DN2QXZWNjoVbzvqJQf9r2MvrND8oXOqxI+vUS0MdNcK
|
||||
4qkxgvSwfVkyqHwpmyO+B52LQS+wpxm3auIVzncnAoMaVmUfp1sbRq7kIHN5WKWxM6ewZ6pGJSd3
|
||||
hQXJ2fPSEVoaKaomodYcRzVArWvNX3NNHGtcErKatmDmuhnXKhSBTyhSO+2YLZGhJFx4aZfKAIlo
|
||||
U8bk7FgRRqZOhAloA4jS+xUb6X9kn49KZPRvce0Bze/7aPbWKFcTafh+RL88LINyLdC2W2ODMHD5
|
||||
rf3tVqMxg4iptjajUqkgavsGpxJFFMx+Ls+VAFzJe554sAxOOHfjyJPVhDxIgvaHCQDCR0cdTiAY
|
||||
0r3aYz738Mx2ncACh+Dexl2rVEvy6wgGbwvuqGnHSt5uUx0v93dQpzeWTF9neelQjbQh5WQcYbOV
|
||||
yQ1uesfGN4QMEEB1sOmvxIJ78fjCq2fMqXMDpI27NQb4UZ3bec3MgjXrNixISXIYR8EC7yS/fs+a
|
||||
k2eM0KFOgJorZ69xC5flOUvsIQyo/y08RfPCDw3SVxCU06hsJ5UhNTK0jw8RfobfgBSsJjrIhwk7
|
||||
hbRy/w63Xrrc9DudvQlADHF3aR5VkSl7lOGF2ytCkn3lIjn8jk1NNclWd7TlEQi8FQC9VrqBccdw
|
||||
5i8vGbyp952+2WgfNFshutAxLnKJr1YbYjqBQkZOlID9Ft6AzcmE8xOUDVFpu6t7W6vysQtXsmAG
|
||||
wAzYjKISAsCfAzOJMkM1Z2Ia8jYn6Br6xXCGfHell4T0Vts6BJm+BK9Y3r0l8lQN9PZdkapJk8YS
|
||||
wGSf3n//Ua9aBxaNCkO+cT++Tw3letwddGK9hC3i8f3DTQ6DnbtYI1+PRlx3vth0HkRpDWp0Xvod
|
||||
BDn3SVnuzINEJuhb1HWzj7gcleQfdXz7cMz3B6k6OJVm2GHnIByuqs2zTn+3P0kvRKZPWvo0tSsq
|
||||
mleZsXCJWy3GH9VcZM2o58JNeui4ffQ+sNtGxdh14rZpuDUXH7zOmO5V522U4iJXcZj3KfX6oX/q
|
||||
ftdKo5u3mVlNGsEMSqO9FiA3R+HQsik6npaEEvrhwpxVjz5MLRLx1Salg49SFkpRGx+ILTlNpdGp
|
||||
VCTAKhQhl41UlHtflKObWQ8j20BKaLDyG02V2b25Tcbt6balr9scHMfsTXWqXVOIZV5B0yc7tEyZ
|
||||
6ALPxAbYaIC0Dzb+1rqJT3R8tZVji7FKciZL6ekk3xeduv/WIL2C9y9pOAJjOxW5NYJO/Fp6kwxN
|
||||
1mr+t4u9NZZGn1hynCMGA6+PMLLGTbSQidna523nlRLNK/OYO/8F8on+JTTT6L8n2oupZJCKfvwl
|
||||
H996DEVzbbSHO130yt4ORf2aOK0F0MkXmueVo3ed3I9bqJmIPj0j+MFQE0QnYEAP8kg4NZSLOGo7
|
||||
QisLrTHHOtdm9de7lqXRk4BAQovUNwCGPfiLqvAgEiIIlqjuVbqmGw/QwNfc872zyXdeezQpfk2H
|
||||
J3CDnVb3p/ReSj2gPIwwPtrOw/l0lTMNPOvOStVxQ45drmlE6yddE7PuIEMODbBgwy0fTiJZKoNq
|
||||
5Fla7/0Cmo0u3uqStNkGCj58i/RKfD864phKR4IOdNyQ1OCutu+OmOYBtETklrpuI9IyjIk0QEB4
|
||||
PITyAdGBoHlMc4G6jFR3bMRwu58Jl9RZO8HT57/nHn0jRxLCFm6WCF4cHYZdQekqi0F6hhqrC6xC
|
||||
oS2/b+prNi5qJvaYj2OEyjVUihYTRahurREuL9WwxUJdvMYPmfNuWyjISASXrvGHcpDWPMCS5hj9
|
||||
V7f+qKr8WvPtBCrjA9MRkcht6jLb7P701lt7RKqqd+uUaGJoBVHb6RdhrEbnWRb0agh5928CIDZ4
|
||||
+8d9g/ll6m5maUx1YEqo7XOrGLQC5kS6oz9OHDXLGnIHCPS+60huTeTPnhjtfOOVUB+6mKBbTcO7
|
||||
oCh+GSAQ7Qe920KkVenl3hNh/2gWRa+e6RPs1jUsBfLsBWescsuUE8y/CrDXiDBVtSleHedmzAgF
|
||||
/3OyJfnjmpT9lrSFmRVaLwbbVVOB0pgcgQtvdWP60OcRYa+gRBpOj2EpOnf/dtPbk19KXRltnXPf
|
||||
hH45qGhej6JJOUyj76eQDY31QrWI8+4XOCV4cNVtIkQCChQcrLfsA1cAhiQCRMDEB5OhbEnf8UiN
|
||||
x7znlhYBZMKxtUndZU85wJ7opSewN57tgjGSPSxi0+X0SbCsnGa2Zh4fk8j4J75a9uRYlORwBjuT
|
||||
igmFIz5twuIQnxyCxIMHqk1S0Joyi1t+mnzERNNLJySITQ/fEHEGtBPM47LEqNUMLxYX8vHBQnH3
|
||||
7TomsBsqMt/aKgjQUt3Rok/+IZDM790/UKKfBsG9ZYF2JJjxtt6FUNYM4JHtmSw84gfx6DVEWI80
|
||||
Gp5VKEISWd4/pOv9EQfqWowfImca8cTlqdH8X5gWZu9uvQk3JzIaZqyv6oKhDot1PfC7TE3duL9N
|
||||
3YA9r97lhKDbu5dhC14r//y/mlmBrzOP7kxjJPgEWroUIA8EmhyqESilmJrfl53PrP9f5miIrHdI
|
||||
cri7EArGeNOdr5pVH9ePaZ8W3jyvaW2t4NX4/J1wcsjHjNIlkRRzDVABQIuwqzO/OS2+qyNB650G
|
||||
yK8vqTBt9g5Za9c4cn52VHbgO7L1v4RjN2GzTIaPB5uDkYlJwtUWdhqwB7pkNEJf80BP4L+SIKhl
|
||||
e9r3jQ30FatrCnM/pzCSnZGTy5E2baHLpRpn5oONi9w36hnrQ4S/qSzqEmT1ObR7nshhqeXtXA1Q
|
||||
HL3e7F2dm2OV0xPat7b5sfaokuHszxwxEX+RnpiQgnhPXAmjsOMEhCq1WZ5zAnnOHg/7kl1J1V4E
|
||||
kcyefptPa0xeZCXwGa3wHf5fSh0pQEHMFCk2VbfCi/TvqV6cwb1VNDnLT//QMi1asAD+rf3aRps3
|
||||
xdZXbpxz53P+NN84HvvtJy9HsuXZZG/fEZVEZOBNRLRJTTk0Lwv8ZF2fRTfFNHRxgLakiqCTBoJ4
|
||||
0nhhw88+au2MtUGunkVOo9rgt+m9vPnjmwwuHop2xYJuccv6LFBYVP/yaCDRQdjh8V0Yli9ZyKLS
|
||||
2leh2FMqqwboYAyiChIeGR7EB68KbuYYh+tQImG5/J1pJ12hylvoZoavlS2McTLFVkBTa9Or9WZx
|
||||
nEqjiTSk5FgT7ZoiEaV7Zw9tIc/Uo7pIQUl/QnenfjjS0hPMzu/Ygj/QI18a1iW6rJRlg/40xP1o
|
||||
ZsOctbYz6+ZEW20AjIYwcEHebS2WtWHE5NpbnznQDh2RCOIDWGrAiCrbblG9O6ZZcstDmpw82QJl
|
||||
35uoJejBk18NM2KMw8J261r+xV81qdvZPNqM6zCYwXSnldosGLkda/VWsDHFe+fSXFYWRuLkG93O
|
||||
nUZCSI9DYoeJ2JXs7pcVvEfX1fMozN6j93DxdF7mkzTbWoXDhZt/YHM3Lrj+eBnoIOutAwJ97Rkd
|
||||
PHJ3zNVsqXUqdwQtq7mGszmZhl101aCwGooyYu0+BHuuKJSJV6Lpr1lFc3ReycIaF4XDwqunDyDm
|
||||
cMiB3vE+BYMsjVR8XuDVgsS0deqUYUYuXPs71xOdFYQm5jdhJh9DqMf9bvw8ej9rXvWh33eAvC+D
|
||||
YdIpqtSOvZEy+ZXXbueljJXjLDT14VqEd4T6HW9LJJVlWOwzU1acNGlfjbZeAolIa56Cmgszh5Jp
|
||||
8Zda9X5904em4ApyfSF3Q6aJj9gTGz1JQ6XFNUA0kShvLeNQYEubfdYuzN5pa8Gr9Q+9qxN0aymy
|
||||
xrFJO52hHTJKhFtZ4DESDBBzUPjeJGhMpLtRrylSLbwQxXum4cmMWolsfDTpAHPpWIwkiWhQpNuO
|
||||
plK17GSU0tAuiftWSWnL2uWwWUHImewlqHT0kG+Q86AbcsEMViQKYVdA/kfR54cKn5DQ/CZVCEI5
|
||||
FD7EWaNYdmZuTcdTMcku4Ob+9Hwt0Jwgrf60chpTXv+ilmrJNoljTykncCWU4q2AKV9Wmx9RFvnu
|
||||
CE2NDNU81MBJcKIWMCzmtqku8w82qBEUUehI0kiHYcWjsn+b5eMWUHGysX45WQf2M1/9aaUC9aDy
|
||||
ElPwdWbeTfsp1Lwj8icuA2aFzOljrzBaLwEp48xq+YtLE8a67R1LZWvx6CHYiA4Elzew0eZcfAu9
|
||||
9trleenMSvBFPSFio5ENd+pKQX4+g+URLSUdTHsuenLnJmGLHyGk0pTYNnE6StfRrtfwtrt/bfTy
|
||||
BqbSojl/qK30WJrCq3Uc6yephangLHoknXIuvoa9o8zCUI6fa2rKXXr1r0bBkUtN1UnJk/W6Hdis
|
||||
QcOwTVRccvNVETsqNJQOKyb6JgR7bXYdSARXYs/mopEUwrfM+2+h8ZSaqfLjmU1xIfRU/ts12psg
|
||||
2sPvj9haQkMN3CmB/84uYw0cVA+YJmddE6yoguDhE2OXV/0ztAnv1HXu4IcS4bFU8mAsAob4ln7C
|
||||
lz7i+EcqEqCcY+oh3HrBJiZ8uVm2BiVHLcYkbwYJ87dyONacANZO8BfiXxg7iNWgYk34GUW7IJ1/
|
||||
RY/kVxwc+XPTl0KzjwQ/6ESrVo9N4uE2IaCR8F9rowflVjXw0CD8GGjMCfSppQncnhidQpz5maIk
|
||||
KFOR+F038Twt+F0Tx1tjEzdQsn7tiEIwA7bsQAWd7DrXK0wi96CqYK0wbTKHTLAQSPNfL21dfH3T
|
||||
AMcp8PafBLlkeNUintlEuFG787AUDkVEJLEYU9R/EZKmo0TNQecyh3PlcpG1S3gFJ3hQhZ1fmLU0
|
||||
Bgue1klI11VPkelrSBICZWDLoF89WmQfu/ViKiEpYAdz1YrbDSSh8Re4lshB/eBpmOCyE3mddAAp
|
||||
dv7Nxw93knuqkvc8iADMIaOGlVrUG/EkWYjUODTw+Yru0gP7wk0Tufv/hO6iZV6dxxL52B1xxNuM
|
||||
9Hj/T7B2Y3PjR7XdPyyOOS4eZdv6OS5hpwcXJMFQDr9/gpueFm7MkMsNhKeaiFxtGcTSRiGZpwd/
|
||||
WAww7B4SYumCLnp+F8BhG1SVkRkPFEtcQ7Zg/uaNWECEVIt9fTbP/hSdOZU1pRTKM1nYMCXMKWG/
|
||||
QCTtXkmR1uhfEnwx9e5ryGc/0IHx/KFYJgSPl870u25/9+UG9EiTCDPPmcMiYI6khoVj//R2D0PG
|
||||
q023LyBoSJCU3its+3EHT/k7ns6C8gp4MaIY6JCCa1oVSdGQ7avXEQM15E8gz//VpHfSuo/F1Be5
|
||||
yJkhKh3+vACx4gqGUZvBvEPQd6fTPMYlQwlNWdM7xUgO+93flAIvlr7bwpu9+XkPChHnDFw6zVKB
|
||||
G8JniXDQQsnb9d7ne7YBNeNI4t30xUDXLav+PhWVXYF2DvAUdBV0xg++Divtk1q9WJ9mLbv/uo90
|
||||
LH6u5/ETq2RQxd81970WaNR31bryi0N7kKb8+QpFCH4vbBUeHkicNAyusApB2zrPdbfw+Q7leIqN
|
||||
wyjhwGQ9pq2WE2jzoXOdSxd0XJznpw8pnGPmfc6e0yXsuP89N8T2RHJswHMwuEC+3VInsjvXGDoy
|
||||
odBzQ5wFYfStElILYA3YOtlrzw89lK19IYotXV5XaZZ/GZtgmSyaZs/xS3afVHQu8VKA4Ko7GTsh
|
||||
rSIg1lfFF93Ix1ciuQar1DMp3fF0ksk72Mt5XGBaHt3DmV/uy8hE0b46rKYofZLPM0IxSBKzUShx
|
||||
1zcHiZQwajFzUes1qkEA/60LCfyqnjly4hGi975wb4/eqbfqGVhXcmkliY8ob6mrQ+csSS5a4Nu4
|
||||
PxFyzlMJvAoq9qcYQWTSwkVpDfcqzcFN0oB0sBTfA3mLS8dxPALCszgkwLuOOY5oTPVOqO5fFqKK
|
||||
Y1x0h1FmUsyqg6C9qxLjp/aOMtD7vRuJWWbFUMWKTaz7v2Jioxnb4tLr11luDRxoQyesCBFeCZP2
|
||||
0qcmylmlBGr92M2N57mIkQ4zuyqwnvuEeIDommXCSJIHMmefac2p89PyZgFO6rQmwfFRxE3ttGcU
|
||||
GOzFeCzq/bJvjJSNMWza7VWuTXPnwZ2b8ViLdWHgt40/fD+rquzjw+BTSd6/A3xmwr1QEVjFYZiX
|
||||
yV6qDlrPnoswcX40srwUN5A49CktHAmk87LenULiy6aKXwhCS/JMvGRfh0Zw/sVQgvvOT/E7NGvm
|
||||
Ew3gVaAET6f9VQ/NCb+ieWWmIei9kPsdWqDGb+Ri0p3J4sGUrEPChKG8wLZ1isVSYGlfIU5T26vy
|
||||
qqyk4SmsNLOMXJZyBBjQHjP0JumfmUvK7fd0sHUkrhvh+jpKtWy+hMiRFUnmO8kDvSr09mgGbZut
|
||||
vTXGPDJ9mw/MIbqp6f9ph7bIGQTjJJGvRDW30u56xNjKuIoMtccLrVpETUoGlYMybi3WQTr20dE6
|
||||
bm2vhznpXNy57ku2uPA7DLdHqGVXbCJqr7EbvKemXNjT8vMu4rhnww/dd78uQo4nMnbtmunIE5HY
|
||||
IYnXtUHPZaKgDfXNuapUKYj9wF0NVbhoWMBP7qmu4N2qr4OZRKYf6mxAEfckyQ0xmu8oB5hhyqFn
|
||||
rFXjWSjY7bLuhD2aLvXe2KeztqBAb87HkWnYM9hKryEpc2cHzaXz8wIuVdgmUMMEszDqO4t+VStr
|
||||
EDy8PPvwfYPwdNGAzmjEux1X9oWmCnhpVt4fPB0d+jqXs0C4bb3FpmkN+U+DyCTgRSKAmCY25fXD
|
||||
lz+zl0AFGipdvhYhFC6oUCmZOkL3Bu360Um5acB/SdsnjcHEuSLTjUtELQvgVt67Z2fmAfMiWzDi
|
||||
6oUKzsqXhXD2vZZ6CAvyEOZVkqjAxXF/Xr8vaBerEqWz00BLRRNlZTWpd0q8j3/Tj0pSYFcJNySm
|
||||
KhpnSYyVmzXiKYu6WWxxOK+qBbhi9i5Kf7UHKJ8q76rStkd1SOq+6pPtg/4GGCFUO5LjmLNUtOvA
|
||||
d+mLFiiPxbnUXDElKS1qDlbt65Ev9za7lduyVUCmmkvqh7dO1B3h5vqh3S8RKXDdbOa1mbaztPDO
|
||||
T9rsnj4dA7l2x5yPTiUZYngIaZLbYsP2sev3vwDN052OUzB8OJhpODjmfC9NaLdl3kddmAlhIoLk
|
||||
ps6Ec9BxYRZ5X0OKqkoq44ObKZYmJxzWt6aJ4LiVyNwfmSKV6BZ0SMuDPFob/gXLDt4zDXZAm5da
|
||||
uMOUFot7rBs8cY8qYN/PIVnB44GUr40Tca5+QQ2TXTesqhprh7qZa4ZFLAPOXRDr2VeOlTfchPFn
|
||||
UHzFz74qXiC3S/gGhzcjouRzzG7a5zQwSIJp73qAPaDLph7XOcenrprMqe4kLQxmHNi1vBYOxGi3
|
||||
ZSh7t5XSrBgT1edC9Hsznx9TjLMwBfIeyFZjipRrsC8G3AHjnYb7Gwb4hCaVz9eSY78ws5zXfrcW
|
||||
ybUKEdQppBqTU4PEeaqCQttx3WLjSSuP5Z7yKF3t77aBr8o4vx+uj3M5xhjEKF8hGbGei1LpYDE8
|
||||
YmJadkgmqZm7JiyNuNHCVdx0g6IrWSK4SYe0v3U3jIHEoR0g20OK0ZRoko6ioJiBb6FpboztUa95
|
||||
CPbRuJXl/gPBvXr+0R6+0NcsgXHiiGdkMA+ILH/5yMc6afpkRK7pI3QvYWwKmtB8sgQYuVHjIJzJ
|
||||
d8+RnNqLCeiwJYgJhzq6TX9tF1WrFQ/kwv54NPYlp6uk/BLRjTRe3OC5mBkMP0GQ1MsJLRRrT2Ws
|
||||
BXjhHfI4jFH6tRch2KRXXMYZepBek3uzFOk1aQ/Rq2CjhF9KYlHRenmFMSVQtgrCcO7VDbnUvkiJ
|
||||
+dyT3X0ycIgX+8Jknt+NdwaMj41nO3W8+fcmggFQkS8N9IPg5WF5MBgaeoAG6DINdJXpIG8Q8SiG
|
||||
lbpDZW3ppq9GBRTz+drjTkfTqwD2TNvi6carmle0oiQgV5MfvGLmv3khqSZ+4ESlj02D/6tVwejf
|
||||
4z6oU86Cjlbaum1h7UDH83tF7qt+uNcXvbeU02UegoUiEMb2V24VoF3h0n0OxiV4S4uYBPUQJr/h
|
||||
UN0rl8xTDhVQoBdTRYKevel1l71G0CIwWvddmYGanutKDaJNYW1ftmXcsHXM4B0OP5/rC7lqFQ/c
|
||||
7PpeREHN7mDEGH2oUAxLBcgZd99C6m0sqWqGklmqQ218/NtlfELk4Z9a2ySCCsBFwJgJGUAH+10G
|
||||
EVXTG5tha+vTHd9hV2i0eHtd4YDIqAfXhcqRqpBOpokZC87aDuLWRXWn2zGHtABLp5/DEJOOtzUK
|
||||
k2K4itkrkMjczO2tyL6URX4EJp34L+bYXzZYOkNQt5TT11VJeMJBOyl48BC3JbMCpbkoHnWjD0hG
|
||||
7hJqgsdPnnCSOECL5Vwydj99e4yxOHkX08wmcwbpdKIG1O5ldbirfGOAv6N6V/xuiS5WjblFCR7D
|
||||
AQDd86RxuWhIMf3tFGYo/3IZZsR1BGlmMdeUrpwN8WAmfsWCZRbE30thjzsOKaHuAjRqyJMIiVRL
|
||||
1fU9vFRFqjn6FxnzN0HkJZuRBhG4Xh+GDtwCSIGzIzzzFfjRKNdsleXYfZnL87k9WC7vwncMsTo3
|
||||
j4tVCXIuxMDKBweZJboTVi91bxshu4JMitI0KxMN1RSbfuU79mVBTOaJAPeIToE07+c2WgVEXNOQ
|
||||
+Q9ywJBa+OPYntNAX+Ia5Ci2HWakkHDpuJLlmhqRjdRtXlGi0nOEddIw07PePgLQHcOgj/VlMnaz
|
||||
hOO6zJ8Uw97ixdi64eU4ufOd0o5jWDGuedtSHI7K5kVU0fiaEtUnANUhvinqbyqBtKO8JCU3OG85
|
||||
9Fy4pONYc8rrlwIZ0c4hsI/z2wJ9THeXKsg5H5fFCMu0pmokRX2tejnnoo8rF/cts8b3djEN8SjO
|
||||
p/xjTvOaN0AZMVTdjYMvpYK+XrIg2Z9u4UFHr8h9slWBQol0y/dakwcly9S2llOVH5JqqAjeSr3k
|
||||
JVaoYf70NXxqmSx4zA8MmH1ed/uhaWUfoYvWVOZVUfyyPJtZA5qkAqgLOoBJ5F0PltwKhAQuNcv9
|
||||
S0K45HRCwJeUYnIrcd/yd7Xr996WHBhpKXnZGEqUOcfbEtaxoYWSRYkENLCYWK1QP17x2YZm7do5
|
||||
kOeROgz2/wshT1I+eWUDjMpY8fQjVzXyUYctqrE/7Wx88pWrJ5IpHYuVdQRQSVCTqWJ1yGMyrpOy
|
||||
irUtV5RaqdfGmnYUcDySGMypWULGo9ctUz/gAagE8+buxtvXcoGK/xRsKseEpOSndBxnzBbnwWJq
|
||||
MoJeN+TxIw7K+sSjneJWiZbKUFsbn7OJ6ywzPZWv2fgoL16OCqIIU55ygOZe/5kAb+XRcHKOmNyg
|
||||
DQvV0JLDIGMCdVLlvK7Cb9Z7Q7ygouuGTX7iYgOb9A5e5HZmDqSpuC5btUkl8gJufBRzUuLT4lX4
|
||||
tOMJQBm3TjJGbLotuQeILrwdgBNN2Rhq7dqXxQkZFAMQU+Whg7wXe8Lf6k1r1SCO5dq6098HqQms
|
||||
H+MxcM3fS2cutKibIFcc7xuLCyIFUqmAUu9dZ6Ts9IqCFN9wUzRI3xaH6moDg9NKn4nz1OgKFmWB
|
||||
AgiUVv9g+g3Bsfr81DbTvL4gI71O0mOTo2HDiBKvjk3az5GlKfxgrSAvV93lq1qtBqCeYeLVXr8T
|
||||
W4DVnkvpbwh3a7nkwMaPjZnjAmYVO5Aciku+H2nNuUnzAqx0mfhMZjKMECnlFE9oLSHfB2y/m2r6
|
||||
Ov9hV2dC844ovJUgHa/MtFasr+y8w90bcDfpwzHPPcBhAQo7pFJEeVKDIw1Zd0EQkBPOTbhuVFQM
|
||||
rau+0K5HbOtLNbTLoqcPil8f9CGOS8bekjj119cLlewDiXYg33ctSRfi/OlJ70w2gdokoEsdeA/y
|
||||
dqI0RDOnlStc+70Il1m3LM0qPi1mInZbfYwTr2TQkaqLV3PuQDsTLDpEWWHyAnXHK16QMZEKQIJk
|
||||
KvUT4AUerU2zHnjnkWlFdhfba2ong8YJGmoxMT9id2Kxc50YCLI1NWHSyPMg3sDRNlLHvt/Sg50R
|
||||
xmf9iRkFzblra5OGHrqZjRBn8lfwx32IYMTkEUqZ8xiOTawlgLfb9BLDcpTXXi6ZVaBGyUMnVmxV
|
||||
1HSE17M3DTmN6CLJp+BLjyb+ECDStJ2ZS/N0U+CQSDMiyBe0IwCN8MsroErF278U1RXwkwg343aa
|
||||
2HeMVjChXKm7IvvrRaDVJCWYHqWltvzLWT63UzbWCoYev6QYeHXDn7gWSA65D32Og2YObP74uhDU
|
||||
sXjYLsRX3H0nWXR09tf1KXGYLi3xYJs6hZ96ge9v9vfpnDZt6ZlElOluds5eq4hXz4MMskK19N+1
|
||||
YB1lcvIWgj0JlXL68Nqo9OEAoE6Nzopf0p9UPGTXNWSGGaUZx/WVyFq67dEz7XpHjJw7M51JduaH
|
||||
EJfaD0YwGjnqGNBsvxop+FZ3UEiz/+23W8AZQVpZkfUbSHZkWGp95tK9W4MowhUmhOAjNIo8aG5f
|
||||
PEn28B0bLyoEX4gIS2GLLiIix0+/DD5zg7Zvk1ccJJ7QDwTNNP0JNIM7JaPyIacT+SRiZ4iwlea4
|
||||
3yjS9s2z/9jG5DEgQYfpLbZ4GVqeM02/Y8wNtqq3FT4ZAL78tKciszGxiiuhECXolZkWE3wOldYX
|
||||
hJVGF3+lm3p5IjRIUiR9Io5xVzIJOn9f2a7yooKzOXtZ7MacMXY4NUahkz2Z3fVGm1GriZV8UFhf
|
||||
6I/OpOQVjpqpiDmit+UmHr3+S57Bvx875JFWT+tNeDRHMIIWYDninz8WGN4F9PTskaOe/b/gaZ9q
|
||||
W0wB6BxAH0avIX7ujoKG1CwEhYjj4KEmj4XZQg+QWY6YFvbf41CSatHMtUBMboc8kWRW+eB67t/i
|
||||
iiGyzjGfO1E7VIjnB+SEtk3GBE2va1dxfW55COLtWX4rDIkk5wdecWEGNAb2D2PPVoztVT7gX41h
|
||||
8YclPv687HbN2tGrwxHEikfHr+chkTcAGZmuOkrAxiDhiKYqeP5xpr8odHHp5diaSG4iDfT3lbhu
|
||||
btqZlQz4kkqf9PHIkrPbyNq17k2tsGFczd1cySaHoBIpe6ZEsuiRU6aWTo1pDxCLf8T9gRg9lnKB
|
||||
Z3Gtp1v9AZjsNMsAnpx3dSb3tYZKMXf2WufhoIkAgfniWcjvlmn93Yc8wUFJFeBpATQ4f/8JzAC8
|
||||
4Odu60oBgw5TsOgvdYf2rPbUJNV/NORquML8oEPYEuGV8+jcfEswsXkEq13EMcLvQ17L4GECanBz
|
||||
PHEBdzvmLlfk6DI5FK9ulU6BoPyy1VhE0Npi/rF92PRmK+aDzJJ+0u28/6mfWllp3MH8XI0iq5Ur
|
||||
rp0gRk5TpoWhIgTDMsQr3wTk5yVMe23Z/IzjW/oQC7e/5vRgP6hdxRzGJfAls9G0UCcGl49d7gmt
|
||||
mlRuNcV845HiKrv7JI0r7j/5R3S26ELenLw6/jXH2lN8tYRzJWauiuu5WnYD+1/kbp7SxkhU8+n0
|
||||
6xFRbnBdU368iTPFE6H7ENR+vHQcSaScQnA7FbX/8H51i6ELRe4Jui9hH1b2gRq/H5IwgFND53gB
|
||||
6cU76ZD/qYhYhh//1Yw3vAlJCL1/I/SAd746I9uAOY3WIG6DrySMyjuypcAf2QEmXfci9KNr9DqN
|
||||
Bq2s3wxGYNeA+A0GY0zPlCDZIhZiEdyECnanZnRyZTmbmZU0CUyKeOgww4X9UO0tn2tPjcidhJqA
|
||||
yVtLLrMKUnEdOcep6fN3nB1d0NkpEDecCoSJXm8TdztiGuDPRGaC2jnolA7zTgHPBtjaEUmvzeXJ
|
||||
6XQwcuiw3212m+uLJTZ1PZl+vVfAOUVsjSJLw9KL8b69CRAIDRGmx2R6jONdU5xR0pfTAEidl+SX
|
||||
zn+SPjBlcJOBOOSZEbMDZhxh4410+t+wh2tsO8HZXLgtaR1kwmL8J9zJ+/YcNXExpX8RzsUM1rgv
|
||||
g0n2qBgCROjfFJQjQ/kRFuCA17QUxjK+gvkJ2mUmxgylwpkImtAVoTb1zdEbgBlmETyKJbV1038n
|
||||
mRjhcSrDmOTXfVlTEd5HfvuSn+NTB+ZD9Rwku1WZaytzFHF5zB/B+9hz7SyRbOFEzE5SXsDx4sFz
|
||||
3CKcWRhO2pxzoLg+jaOgr1VS62H0PRwR7N1cejQ3QVS/EwlAHD7zYk9HzurhlCzEbO1lBJk9+Hh4
|
||||
zckJWqAygkYgVFuwi6eAN52rwcdZM1bJsNrKwE3U/pODOTOQZJSig714vqsi78iODnnPZKE1Xzie
|
||||
88l+8LBLvnZYYfTDOZZ1LsOPTi1j9R890bjwZ7o/OXkjSqqHo9DLltJPt6QnPxepnhqBah84iCW0
|
||||
VPTEyJNB9PURDnHL5KqnlU39zcshtfj6UihU+Hrccq1NwxHMKDyhI5Y/1/DiO/CRYFFxpE/4gtn7
|
||||
q19B3W6r+RDX4f0ojxwDz5NYvbzj1s6yvJ7KCpws9EykCqkuEmVPbL6LBfDEalAwNLOFi0JDnFFY
|
||||
hbROXFooLET+Fj5OhKwkyeqW9ys9pF1QTGAPVc2EFJLXQx0RG+8IsieTVk7dqGL+W1NHVv1A0RwT
|
||||
D5tPUQ2l/KFO+3G0UdCgUV7EUWM16G1bTOO2ZHnytSuEXH3LRPlflB+0Q6XtgKdcQik/8lOCUrlC
|
||||
8gO6LTcMo2Dna0Zbd5IqfmEZvAb2pCCN7DaCW+pZht+MNs83++adW4z5faIjsE/FRfJ+eASdpOC8
|
||||
cls/mtj3SQPxcSE3oaxUl5cfkCoFtLg/DCJSwKkVz8QD//K7/zJ2yRNI2donV6kw4fb5P9Vt1imA
|
||||
EuXByjB8W7V9YC3/a6wMxUI59mbdszplPuA36MeYn++HxUAZtS1Ia6g3d59ecHkUiQd69qoWeiyg
|
||||
D8zffTtN+ojfA8qRbKzNzZdS3UBxTxIyAfEsF345F8oKg24sBAB6gYs0Qftn9BESpkeV78vEPxbS
|
||||
pEHvTBLA3cCQNMD+CufM2NeoYR2yRArur/5Y08tWa6ylQEd5HMq8Iml8qsAd1+5aUeA8UMH643oE
|
||||
NcxItlg1Xkxt2ARgVB+8FzjiURnDhwUPl8V8WEiAYFonCJw2Byu+2A9VfNpHBlk6OlTprkZsT1qQ
|
||||
4Iyspmaovz8FTHX6IaXZZTelOxESL9Iqg8JeBcCWaIWgILcnKf3R0bRjMCfLyeiLIvJsoCO1sfU9
|
||||
t6eUIyloItxSzkRI3T0i+UWh8dJjqZyg0GkrI8NFryNsiA13oG1L+hJylw0ZpTZzr9CrZeeF0FpB
|
||||
bYTIzrD7zeyRN7Vrx0fTqp2w9o0xSMejXldiPDtv2dvmDfYijzWSpo3vX+5BPd9poKiqm37Geuan
|
||||
rIHxpYO+MfCw0MKXARYSIHlAVwJjeLoF4NjWLChq5ZPLFzJGCZ8jxAZhZpp6JAPb4WeH7j0povlC
|
||||
mjmE/NyMv1ZJiRSf1m70sVizPdpQXYO8zFQZd8D2h5HdMf0H2JDrA1SvsbOPHInwIukLB37Oh92P
|
||||
yreNCRg9nGigWR59K1E3BclEMO424sJYIZjWb0T4bBUahOdv3LvR5yGozhIYsG4gce4zYPqKvJ0y
|
||||
Zc0kuV1XKT0qJuKbCgoGJhxn43uy09P0lqG0E1nm8/pjIcOeUx1Fx7wvWE+9TLikl7zepIgN0ne0
|
||||
7vbtab0iPq43dEU/LODmurPVpCjRdIh0dT3mqeW09aiMHApfrfmVnL2aEkKwJOM9qFl1nYe3wrSp
|
||||
4aD7DrDvxV6u29hL0kEQhPcRye8cX/fFqr8+/Akd8wYR0/e+PDLmjOqDCDxL4bPwOdUpoRqOiyib
|
||||
KMkkDqf5Roh0k9Z+hzXZ5FUZ2PkbViLkLBYQ6k+0VzIXSjVQqK3eI2OSTstVKGOnw3dVm56TkUPc
|
||||
L8P0jBH6yBKovpBjPkeD5bIbkWv4ePd59MfI/1Si5V3i5ggesGo+1Ysq/Th1bxWijvd/0fF62fpW
|
||||
LHSlv6dIoNi20M5VC8T/TyEECxHbnEdMTVjZNrJ1yX1UOl67ZftX1VVpw+TWdOj8HvdZsIG6dphU
|
||||
mgois2JoZ5cfcIpi9xZwmwfVKFozcX/jaY569IEamc+KKydJq/VOe91UIuVvw2ngYa7+W8ONzQCw
|
||||
ZfhLOF/+4mJNMWuLgrznXVKU+LAQjrOcPgRiUo7ZEYdPjKIpAoMCpf/C1jrcgcC8d14g5JdEAsBn
|
||||
2t63ylBP+yESBR0w5AzbqDUIR548mEX9Hs6BG36FHScg0TjXiibGNd5oTillP8SrxQ6cOY7qgs+/
|
||||
t7XI02EpFBDPa5BCra4++C4WKEdoiyEx/LX6D8CFvq7AIYz0u9W1NEtWgoQi1aIfLTq8Hvmpv32q
|
||||
Z8Tq+P1cObHbdkC9xW4kVdVx4n90201jBAingM8DX162/LEcyh5OHZa3XLfvRWslHLlqwAVO5ue5
|
||||
tRkZSvcl/xB40tW00MuO7wP7uTDwIGBnOOLT2TG/HZkxKh9OMbfaGrvKexovFC36/aWw8nixmv5Y
|
||||
JoI9vVLBI+GypfPRQNr5/oJ+BOtY3LvedN6NLUSPkaXh1CpHhwLtJih/oP2wlNLy/4vLsHH8z3H4
|
||||
0us5fWktEUk0tQVGi3OPSwIzTO+pqyc8cT8khOoziewt7vIVCxPiRBnL90/GxBODEnMATBG0F8pT
|
||||
oZ9H/6hnEQLcYMQ3e62MimIv8T2d/yI3zf9Z24zYJKNpFs3hXTczOZJr1Gv5fBpa+tNuSqdHmCVy
|
||||
K7E1OHGYT45oyTLLSznqM5PUDJ7he0+5KKzyTKnLNwweCeXPs4i2LVQVI+lPPQYxvM1SCO42JLFJ
|
||||
05Z4fKcNrvqNxJ3zZyWA2XbgwcsIlav9SdPOIRGLRX9cwNuHL5/DFAvNuUJNk4om+U2/SiCV2zfw
|
||||
llna9gliDbWqUiEd4TCjRM000KoIbTt+3kds7+WfuctlokbzxadHtNuyQJF87qs47TpDO7fWL77S
|
||||
c+XKUuuzTIYVZENGIsvhMyW3hmIiPWewi80wd7gCxxl8HLmUGZFqjr5Xb2yn3ISHOfZUZXFW7ea+
|
||||
0QF1JO8Ppsd5RflYvmQdtmDCHIQ0tbq+KDIFKTkGn1YRrdt6utaUDoS4WXWld/jJv0w7i+V36nAp
|
||||
pynUsC8AoVYCmYOpLZ4CfO68l3Zay+PzaUzY0LysrF9p6LfHIZkE+KRtzo9HJteAPCOFeZeDgA58
|
||||
TeWaMaYIVItmLYVfKQU63s9rkmAuYrPhZcKhZaSBfFD/t431Vnzzk+1xjNDVVg4zRabHh73fpFLQ
|
||||
E1KLa9bKsj78qVEvAfA+PrzpNf7Heu6Q9ZAJYbZQu/X66IoaVyjfMPjHL8M0qIVPpU6zGzjjv/7z
|
||||
ASb8QfrfKAEedw2I20faqJw72YRFGqiIitw6P1uSfBLdsJLa9P7yTrS/sw+fxQlNXtNDeDW+r/kW
|
||||
1IiQorw9s+Ih6bLGTm04K+brPCI48zFyT1pfKnUOPZBPEo6quU7zy9mO/ArPskYNHi+XChYrIRA2
|
||||
+uYW4Ppjr9YQ7okoDlzAyXdYVk0YGp4Qr4TFYWthxt1ODH9OE+sw6eakRUdsUOHO0cqcGfbgrtyx
|
||||
p/dpo1tJ4NnJR2+4aNUwCLM4/XYfChrc01tnbT7rcDj9RIZUjVjWmA+pDoKvvZD8e8mMwhumI0aW
|
||||
IMVgm8kmJhL1V1ChK6XM/m8IFv6wpJPwsNNgJtQO/LmiKJmYSAeNmqpyFXr17CLpAK3rUJ29NQNv
|
||||
CqqueFq/qlBbKRDRYkKv8lLwHt4nJXITSh/40IVSZcJ11jTAPozuCggfdaxNRVVX04TqZmmw9hbT
|
||||
FEjwHphbc0q4AO06sJZBWcsb7SH8SmxBlOG8+QPA/Qq39xFAnmjl1gs2XVrgc2XgWkzQsboIVYAN
|
||||
N8V5lWft4FYcFBf05ONEbW+g6hsrUQzy9VGo0nJA7br2dldapxUQascgtkXcRfQWkUVEoNAszCsF
|
||||
miB9JNFEg14GUZiSdPogEB+3Mw3nVj+IbppNvp+Nsahtdd5VsQAT/4qSQVcQao3degxTkNPAar4y
|
||||
Hf0AUEHJ90RffY7kYdxauqD5D7c+3WN4HGbp0+i3YCd0XCLkR72f2U05Voq5YHCwq1Z7PYEGnuXF
|
||||
r+JZXN4dpStPy7PxQQameVevWFPlxlJyDbi1tAX+pwPOHGSVKvZsikjXEyKg200iN76yub1Nd3+2
|
||||
AjvnqL6oBe41/Ct+pdC3F81SLPaQqX2yb1uuUU+daM9S77HSXZfaRhg1cW0xRe39k8X5jXUvctez
|
||||
RL8BMysaYy+xa75hfYQ5WZPA79/Uy8maKT5qMHuyslYKXr9CfrV65vWWMb7kIKEaTuK6Ei+uLP8D
|
||||
WGypnNkbjtLS7v6ISKjvyGXXpo7pIK7pl8tlkZNVaJm+8clPEdLb4ecyZvZ7zxnlLVNc4b4DNwVD
|
||||
aBCdpfJl+haDsioOj8HRPfq1D7AIzAPFAJwgFtDFZLWGXAuPQTZNI/lEsCVIDzF0U2TjT0zTBsSP
|
||||
OEcg55OsrCfYqTWSfQR5gkKXB2Xn10rKTB6En3kiMiU0uyKC8vjfbDs5UjWB1Tgd9Uwq3oiYxP7Q
|
||||
ElubiL4uMRrLgVok9Z8STJr5xjbrVPB4ufb1za2nygAz1AyObRkYfL4nq6wdyMjsQPgBJY79Med3
|
||||
VopH3kkxWoGSHZHyy4DCl+xGkxiwFur2F9+IHrx25BBovAvfWhN9SwkeG7hq+nky/YVq1PBTPLd1
|
||||
+PliIZk3whJoa4lPqEuYz8GBt3h1lqMnZNwi4R4pJAJ0PEpwfIkQDgtmLn1uVbtXTm1m1WiYFGD9
|
||||
gJEyWgVzQGMmIA8lawx6FIzjziLUJORL3iTzxBuuAytR1F7YQGYe7JKTSaFqF1Le7Y0+bGaq9VSm
|
||||
Gq16LogCmZac6VwdqQmbGDh0ED8KaUev8UJ/mkjq6OxyA6mVRwMjGfw3e0YEKQFqB3VTmArpimSx
|
||||
8aFswsm9r0bJt/qtomzURINf3IoPxZU5Mbx2qcdatf2PV0mjQpW3koOIo6wViIR9v4yNTHhcKF5+
|
||||
Bu4sxsdAu/7kUI0p0VwUkM3IzbErAGqWCBRnF23D+8Og47KFjOg4WUj3j/ac84wAF6wNJnx8uhKU
|
||||
fJ9I5tLhqNIsmN0zg1CMR4TYqa8zxxDiL/6qNPfQJK4G698cFtBtefec+A6CLZSbIwAV8TyT5fSZ
|
||||
V9fyWWukP8IeFPla2BRSzlvUXut706M8ck2c3GfXfqAjusxUgdOvA45OKLksWEBQ++nFjmjkmJPb
|
||||
Ud+/vEAc+Apr2T2QC1Kq25mj7QS7SeFAO6mouuBulb+oqJd1lfz9qVR5WgXn9v5UwlV+JkIqI79e
|
||||
NVLzdGSbW2IV77yQMabf3Q/cmd5BpTKr6iLPuTYD4JKgWBj20gEc5ffsC8RatbdQ/YDyxQSCv0MX
|
||||
oQ3PKkFWxI4Cva2mI44zxys560YOTTkg0bAujdDfGqMs6aJVdKtaOwr9QnQV10i6f5rguGq2NBvy
|
||||
EUGe+LN/Bl3H6z81cmT1F8donLQm89RyFsslLOBypCGvxmcbp5uuPvuJ4eBqnHIyOOSMsC6k0U4O
|
||||
2z+woPmdyv1W0Cf03jiVKeE7ms/q2ULC+aADd6LabjPeVXzFZBoe3+ooKA5hL+vQ428c3nYrcFe/
|
||||
VJ63npvcg+oveMw7SJASDm5XXr4pTAZLdjsh6EOSwGd+qFwlPZp4UlXQ/sF5w6yndo+OsZoBj51Q
|
||||
1OUm+0cdYLcGlZcfghdfC1lzfFct7yNWsJT3K6EekoVTUBWDuZdwgB6Ct2LIGIK6trLt9u995ZXN
|
||||
rk5cHcNJxVbB3xd9JC8IOkM3hxjFJWFrqsk/+Wwj+fK4KFUsjOOwAM9CjYKSI6Cvud92isDD9SmQ
|
||||
WRgPrcQHZ+ijs9AlTcy0LFngakH+1jSIJF+ah9EkSOAzjwg2Fq7prO3pnD/0i0gifbHXd47I0/HE
|
||||
7DoeHBK3bYGAAzbhf9/6NRtD//EUoX5vfCLvMhnKjn+LCsJJTNH6PPV3zA+eMh4blGcL9qsYqPbR
|
||||
Ms875dMfltHy1QmTxy5wXt1BojH1hRnGnIUTIl30yaWAQ5VB9kSF3hBnKwGV/uO4vA1tFPUrDgxj
|
||||
2OhnUXUUfjGP2+ajnLhZ7o9uGokP8i0LwmzE5lfjl1dKH1Wdy1QsY7Ce8GzAZWojgv/1ZC/i4n6N
|
||||
3yacfr25oPnwRMZmQbAX4DIP7e5PaMQknETmfp2Umn3EX0yIm0IjKQ6kevMfIJiRLU1kdMNYkR/T
|
||||
qH3AityxJ6Ihrxjd83QjS7+1k8GV9RFElL5nfyWdrri8OcGsQcBtTPBbpvoh9Mtx9lRgR4klhtor
|
||||
BPKgAslLekuJOv3XayhZpHKKJG6laKYsXKfNVzQSHRpu2dYK0JA+Z2fPiZ5a38un8Sc1zVOmcS1x
|
||||
PvaPxKw1rbbmtgSYWyTecBKC16gAiLrBB5JbKp3B+jptdWZmeuhxcsAb+TvyQ8EpUPF02Z/gDCtU
|
||||
682Gz79jl9QJlRiii6bBHfW3AyVlAgHbJjJLdOTAYUcmoLlpSzm9m/GbaZ/fTEOIHAZ7APCmxPaT
|
||||
8e3taOiJI0/lG3wUytWVI7Z1z2lUteWWa6yf/UWwruemvDzq2jMeprZUKmAAm9S+yHXs7+QY0DJP
|
||||
0zpMNSRQzJZp8H/mD/DTZdBZu7Aww2W8Re9vLKnmy8SBtVXvmb/JYKHGBY/EcSNfFB7ZvvLvC88D
|
||||
onhudTaoLJbTA6qg7t+V0XQF6zQyL7/GFomdhYZHsPXuiAEDhUQdcfPDjhKSSU8E7YNFbEz9zTvq
|
||||
oJ+0LddXuo8CENZ+QfvYIaz7QfWa5oVPxhV6QI8WsIsmOOY/4XQHIY9Ux+7b+tU6UtyzikrVZPs7
|
||||
lrCnfDOHEEtV57TxSEY1OPL3Ys4HzLbPBsilXT4Sast8XPHBO0TFuEG+LNAbs3dwdg7iWZUiKPFn
|
||||
+1EI4AA8sel4evnqufwzmt7s7D+bF043b7U2V/oKb+fDd6hhITBBS0VylREiLjnmT0E4HvvBmBYI
|
||||
bGqRj8hmLrK00hnRqhWahERw7ZpRmysHS5VKTDnIBc8dzAA5wNADMmSbJ/LbkOqbXQWDYcmTwsR1
|
||||
0mdlRS9qKT2FGUS2jw3ZU6+7rPh07If7ygI6zKkrgf3f628xUCsMvsRKidyKsEP3ZlnU/f4ey8ca
|
||||
KlDoHalQqUf1z13NiuSoGmxBZChD5g8gTlyzB/EwGF/WcHKFPXwetB63ttbwzGFkdVucowHD3y2i
|
||||
wtm8AaBNXpiRIyq3r+9XrliH3wJY01DLdg5M2/hbkaNudARwpctKFU0F48ml7vR6p+/Pwj5XZjHH
|
||||
w8FheqGwbgM9kUobqyQDZf4YY+DToiljPJ7NXzQ3jYR+R20/7rNPuFjrzDC0tRSMZNTUdpq7sg/P
|
||||
uMxwK7RL9f98+eTmFcOBsys2s4epw0iHFhA1CIYw4UEEvpG/3EgzZWxuu4b+mFSDDnbWeMLICBI7
|
||||
Ne3zNQDiM3kuDLJDANed/po7NGVlI6/mBalqNwbm2zAFTAululNHYGR/tcdXXNd4OFb3Q+GeaVgr
|
||||
zllz5bPB9PE08rh53f200XWLDxEvcm37wvwxdvEJl4P6a9tJ1heuEmOFn7s+xkdCV4AY5znOzsQY
|
||||
OS5Azt83KBfZC7kd712lHG14ODxArptBBq2c0CV75Q4jJVHXSSOG28Vv5GRdkCtIthJh0J7R0WL3
|
||||
w8PqqfuJ02XnkYEXzc3njxW3O00SaeCgTIKfTi7bhbPR3Mm0ATwwz/eCEQU1h1Y575o2zf6vlKYZ
|
||||
0MXyscbbQXaAssQJKurBg2Be06UQVS8NKF5D+ALaXSHKoUI3bqpTC4X7BMA0sfqnqXF1lXDvJsyk
|
||||
tXKlRiN7FzoxZBbSmDPRvFtTWla3bg30ldwzT5iloXFSiOAzZdAP2hrdih2oK0ZzHXdf6+Ui9dJz
|
||||
PclE1OG0LKrF32dJAwdvRUY7lI7cObGF0NSthYguSwH6mufx5TYTDTmJwwsIl0UMJbHXApTtAiLJ
|
||||
d8n5MXCnxRywkl4iKyDlfXPjWsIvcLWlgrS2QnsaYPb6BermNVfI6cBwjS+21EXOjN5I2zChmhHT
|
||||
dHXRtpv2PXcrZWxlGY4Bwp2YQdkiBZFf6oJ+WmujtwZ5Bfz5KBKepISrFyZpHhC9RXaD0L2mqXZi
|
||||
t4YxmQHfYPI83VH8UVIKstLwoOf29CFw7EQIVBqiYV/LFd1fV7BMxG7DOWqO3DayU4ibO6xrHZn2
|
||||
/0VBaXisPOHAnExVHO/U97TgfmcxQ9556TocnU/OgXR4pM436U5d0I9RBzH6Xp/iIswHrjkAUomN
|
||||
TnIHwhcEUq0wlw9bOPd5rAe7pWy4qAv/SdgBeZmjBl9NsFiVSoGN2Y1WSiR0ot9GMYqzwCsrG3C2
|
||||
PlmPTHpZn6ofUAPPTQCCLdSR3YnlK0/au+/3Hb9wE0VopneobpREuaxiohbjngkYjm6uQuRd+zCJ
|
||||
29G1IhvoPE5FF+6byTT8lKLLdCFG85ftG+tJ5oCla7NJRdAk1fvawU15Z6gLi4z62TEm8CSDXvVR
|
||||
9pM9vvYqvgLBSYJDiMGPkMV34OjjzVkH9hYcCl3ZRHhLnm7d2hAiYaS2ZHUs73AJEQQ0tL1KN5+y
|
||||
xyZ5jtlIDo47XRf1pNpsp89oIZZ1rbj+9gIQelKG2SasFHBhpoTkPiLkTfaeq5pD/gYnoJtGIGHs
|
||||
uLtpEPZnRAGh+nywpMauZo2IlBkrwZu/Y6HiG7vH3MZZ0EIq+HbMkxNh7+EldobGrqSJ/4qN9u59
|
||||
Nhdi+u1uj7MYnuvgzcapmq/0lQT26KQZKqOOznS2G9SodJSLvsR9EgKFcchGlqrtnSJp/ulaCiGO
|
||||
vKledE5blLqgro2MMHSy1K7iYQlF93RuO/EqWETx7ZeMQFEyFr7yZGbKErGbbFaIm4eyyne3P5e9
|
||||
M3J2amp4AB0gpRn67I5U1/xR1F7iWKu6raB54slAbQbC30fZjI2MzsjpzfY+F7XNPb3yumcSoKxX
|
||||
EkZj1AM5SkbgKysw2N8x6M75jTB7LfTMKVU+ld2Etc1/RsOQP3OQu/5JF3Me0oFE9OMYp1U/QCQr
|
||||
eHoPQzgz54tFReJOHbSofJPrMdifYtSJaT+4Zny9jcm7kg10cTh7nuAhC6pTi4Lx0BafjaVQimda
|
||||
I2E9ShIc+7CkEPJ9eacbA5fAOFZo9InnOfMvuqM9jbdi4ltQvVuAVz56td5W2XcocTsfgC19Lbw/
|
||||
Xqx628LkBTmTYzPcoeZYSgwo7cRUYi2CZZSn72HdKkgbLE7pE0AlPGrNDb/8RjV10ds2YflpPWxo
|
||||
mT410NODHnJwn6PVuPy3oDbEK7Yt/gxIS2KP1HDcewLTgY0+95me2hC82P3MIiEhWZu+8YyD3g7x
|
||||
Sr/B6idzm9+f4qKouQylgl7MGkN2i+AkkvryPWZpAfieFOyk42k26Wx1W6jXuWGtHdID2f1XIGC1
|
||||
FB8ZVDBBEMdyMqpnY9f5y9sIlCg+ix5GQt7o3xTrPjvOrYqya7ywLt4vDIeQEEVfnj0wYuhDoxvG
|
||||
NiaIaG+P5uGsLTJihDYhpwzMN3VJYAVvwyYEAodWq5/kk5pQmh81xDMGGcnUzyAuJ07YsKFPswdt
|
||||
Uvq+FsZ//O14YMNbjBC4I8xztbgFez3pdqYuX15KK/BUi4te5L3w2oUaGox2lQ0uel5RSuep51F1
|
||||
E+c7X0R5d/+2mAuGCxKBgx+iA/8Hk/AQoIyyX62hRF/8ffSq+ZLFXr8JjLSWqvZ/STTdAsKsIHGp
|
||||
QUMkIvFdWJrnDN7QaIxTlq1F6NUWFOqYKxtz2wn66D101FnoYuLQdBD9EnRqQjjtCOzFSSdY1oj/
|
||||
4etc45bXXdG1tGVDxYyLW4Lz93dYFb8wFyhioWJVQbOGZi9FFR7udobK0uObNJq0d6C5ipgkwBhb
|
||||
X/A6rCAWuUg0S1FMurofWUMWEirYdC4PfQjIoLgGbTw4ILxq5gXgDlC/9hAgRSEkyp7/TgO1t87Y
|
||||
0bZf4fX0AVTDm7KuRJ40pU5rVFiK7bcQFt5/d10dPvBaRttJuqSm9us+QQ3HOOySHClc517BuDcr
|
||||
JsBQS+uTIFdygvFzcfyeiLkyHGt0QGA8HGTjRh5XFeu4sDr9O5w5DTfIBdkJG+YqSLxpgnTLY9aA
|
||||
tFTVyZCSprLd1saJvSWNiY67Qyv7R+lXzCVLw5F5S5ALtrihvNKdZ9yF4BJemNFC4PIbtFvlJ3xE
|
||||
psuWchjamvaTLcQ3/uB+E76+7mVVI306rqCwiL+PX0D7Aks6weogRl2IbP7VXFBiKpp9S++Q1x+I
|
||||
ivIJSxqCEwELARAfFF6ENHw1G3wVvHOJo/qFDXKtjM7Vzqask2YpfsqGLV64zPm+0czg1IRpp4HV
|
||||
OjhSPjDWgnnRLTmFGHqpPFYiGfVPMH0rYQbEZdFIH4VxwAbGzPhp+C4ICgPR0NZLDEz9+pMOCD88
|
||||
4PubKOZ5o4PiexzytqetA2gDb0ZWsGKqRvnoLzvnWm90eS1OO8yMoYCs/EqEHblU4rgk48cwtyjH
|
||||
AR3g6CQcL2nSM0bhVM8rlAEe9+TawPuy+6nNmUqaOhnTWuXE7Qy/zpLlx15OmySHqsKDn7ItI4i3
|
||||
q+1cdaJJRcEPxneWKafc4QVARlZZlT+eSBE/xOwuMbz9vqXKN+qLiyRpHX1lyolDcM9jRenZRRC5
|
||||
SWNlm1IPkvkVNIrdLNBFUDF+ZBI1GYGdNS+8V0BlnNoZgL1F6yuTbOTMIO/xF0Et7uExbUwizpPF
|
||||
SB7qj+14MFviU+ez3Trw9x4kDKoSmHS8lj27J5OsG0oAubl7ViEAgS/IkXre0Hb1OvC1asSK0gqM
|
||||
OWnL8iV1AzA8dadZnLIZgUwgpV/mylhRUsZr697T/Wxo89GT48zUwXZus0YvSVyPG3UJrjzGilS0
|
||||
DE2yUXXNSXoxAdCoiyVNhfM2DvxO6QNdp+kR7eAW2WGA9wJO1o5TdmO1Q6NIvvO+U406TLBxKxNB
|
||||
03A4TNLdDmH63rN/Gvgjxs9cOHeRraoNjYGLwhfCBF76n3BIvvkUICqnJXa2pj+szMVRf+n+m87Q
|
||||
B3LmisJILhdfUDKzmDjCasZya9dSPX5G0PGrbX4AbBzjeE3dfWClILLF5EfWgk4WuQhVUs4LBKs6
|
||||
Z/Fz2/sTPrcUmh8UocSlZezYzV0QmydznxjfL6btRy8+axociLHwJ+LSj37JSAi1GDgsz3es3Vi1
|
||||
UTD+dKjuW2ssWQI4DPtkLHmL5gpiFAQvIvIWcGjwJ/OsRK5wUVKiIIwsphPci16iY/rhXRxnZUID
|
||||
x9M/l0x0r59o44akpcVDqkRhyeJvAnEVDI0qpUy8tXhA5OYlijXU93WCW99aRKJ9uxyq6/Sj7BVQ
|
||||
kLcXjg8yMnuw9lCl+WBQgFgPaLcfyvNVNsdpAoZSazkq/egO3KamD0PBhZwbiIUnYuidr6MAmOXq
|
||||
Ndp4IuhEMAzAcuXE4wVRUno+O5v8xH0nE1Hb0M1HNRUuNXmB29u+inLV4CsucRvsjgpGRTvF8puk
|
||||
AN6ATeU8/Ar/EWIa+WBWN47lLC49CQtXqfioHAUM3Qjb4DyqfTcfNK/wDywXZobF2xz3EMneucfa
|
||||
OqdDoRop6KJWWQq8wezuJGqqnzGXyIuk5Zzm0drGTqE0YIeHGEnAv1ytWAxwXIPquH6fAQCY9Quy
|
||||
X1zXVsLN1+kvaToUvEtJSlynRdL1T/L0trA13G28JpIWhroFDDLHjOyZW/XnKLWRYyD4Wj519oQ6
|
||||
B/eQ00Nws8qPpW+Q3HosApzBUd9DcRJ0/XqacB16KdWNgbPC33bhB2Goa5OCr3DfNTVs+aS21/tU
|
||||
MbQJ3iA9An4aljVgTw4pAK3ALWAgj8SirOeMU5H+CbGkTy6WVDJfjXkLI67qI0aQOv/M6+4xSz6P
|
||||
tAzDBeyaWC8M6pSlOIWmmUqEYzGmUt+UCBclBIQRah1YmiHXXJV9JAZNwcamYYQuAAiETKPiMQ1s
|
||||
8Hs3J+Jn2VocPwP1Qzi0ktDGJAjdMdkeH/N4mDKjNIzYZay+v/v/A7FT0SC4HwYtOAW13qF++UcS
|
||||
KORxMbLZvb16dMfkKPJ967MrY3cbsZLvftFmXZpR9O4Vd+ozF3UGAH1tGYMXJdytrsLCkmQwMYgu
|
||||
vhMF2kLG47qb6icGrHU250i04AoXC1gzFSfsWAUg68pkmOZuCM1JMbXc5WgUjH8itUiUitajaN0c
|
||||
CzVCmyRCLV/PjG5D7S6ad0uueYgWcGB8IGinVXD9bnmsDlIn6mdwcyluVQlZLprH/RA4TV9csMdU
|
||||
iz2eq3OP8ebAtEhoxmktZKchSvJs0lVOgdTOK+M35wrMHbKWRBdEl2b/jqnQkxGfkaUsX/2fwD1Y
|
||||
7ggJSvMVUA0kSGOwzuesf82bedlWb44O+IIXoqPmPCn/XlwAVQ8M9bdU7ubfEUISUccL2HQfzWXP
|
||||
Kbi9IyNjkimqvPwan01mYy9tjj2tVlUJx7cUc5RzjIOEOHUh992NwZizcn9suCfRUT/o9daT8fKp
|
||||
ZZY36LbG3YLrGsWsqjQDXbJYQ1S+x1HiDK/mutlpo0X9tDIjxCPV99ktQeBs6D0dUzizEa029+/b
|
||||
v44oSoTTE8+x4Ny8MSW3bk9QRycI4dgYzjsjRaBxAUTMMXM1CR4+8sDLxoKGFRVmgNT9onRfEeuY
|
||||
LWyYf6LFBqgbgiyfMG3x7yhvzr0NTgT3qxTXXQRumn2Ugw9iKN1cXD6MjUXrVmZunMRbHLQc5EGP
|
||||
vXKEXp3xy1qdQp3BcgYelZTrcvkc/qBWNJbS498Kfu7rCennUEm2eIhQ7oRllHxwb3RM0SVFQUSi
|
||||
I6pvn34=
|
||||
`pragma protect end_protected
|
||||
@ -0,0 +1,682 @@
|
||||
--
|
||||
--Written by GowinSynthesis
|
||||
--Tool Version "V1.9.10.03 Education (64-bit)"
|
||||
--Tue Aug 19 21:50:04 2025
|
||||
|
||||
--Source file index table:
|
||||
--file0 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/SPDIF_TX/data/SPDIF_Transmitter_Top.v"
|
||||
--file1 "\C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/SPDIF_TX/data/spdif_tx_top.vp"
|
||||
`protect begin_protected
|
||||
`protect version="2.3"
|
||||
`protect author="default"
|
||||
`protect author_info="default"
|
||||
`protect encrypt_agent="GOWIN"
|
||||
`protect encrypt_agent_info="GOWIN Encrypt Version 2.3"
|
||||
|
||||
`protect encoding=(enctype="base64", line_length=76, bytes=256)
|
||||
`protect key_keyowner="GOWIN",key_keyname="GWK2023-09",key_method="rsa"
|
||||
`protect key_block
|
||||
Sipzog3WsJZDpO5/LoFTASQHL3FZlTKQx1R/BdfyfgPFaqxLrCb3CcEotzf1EkdFpJxpl/RRdkeG
|
||||
X3MeNKfdMdVsFOhv0c0jGY/YoYDB7ikFTir9yhzFs0Th0+5XRIg1TWQ2gLFdMnxciNrrtC04xFdo
|
||||
0Bi7cOy9lUW5m/rjtZ7GV5mo9ZEMn+dBb5Of9e0T8zmWXy5G4sLQ9kctLfjpRteCtUGdDgKVmr3d
|
||||
eSdiB7goQdZQ11JFG3oRhfyVAAPkqTDj1dJYR80AhwQPafFpUjvXY1m/eP9P9yyklv3Zsbp1XW/b
|
||||
leCQGJG9/upETBwP1i614RfqSXM8gr5v0OgdpQ==
|
||||
|
||||
`protect encoding=(enctype="base64", line_length=76, bytes=37120)
|
||||
`protect data_keyowner="default-ip-vendor"
|
||||
`protect data_keyname="default-ip-key"
|
||||
`protect data_method="aes128-cfb"
|
||||
`protect data_block
|
||||
KP/MG/c8VAIEDD56Qqaylq/VuOXFwWatpdI6KPdqliDVywDURc1I7pi//o3XXXQreJGmuYU5IU3D
|
||||
pKtPsFAJus37ga602L/JlO+SygNSKiybgNryZZ8uoJHs3uXE/kcDFyHsOpES56a4UalEoM7v+XQh
|
||||
PJgw/e+NwZmVjtii3L6P+tcZCcGyDNN9Yoz6+IVPAbwPoQlvH3OfgrCRirLVU/vMrKvJH59718iy
|
||||
nnN1YaLUv/eV6kNT6Iq61auPbgpeAUBBzDUHB95fNV7tiV4e9MjPvjTWMbMHYzhp/sHZIDlVXm8L
|
||||
rtkH94kV/TBCMNOkCeVvnWCBNuqlA2Cq+SkoR5lBgtexwAPBbDvOxGnMP9F1UcI73bjPWSRKhFxO
|
||||
R0w/06ZrpbYVPmYC2Io/XoeRCjiiASvtNC52veMKeIPNvIXduyF8DT49JS8qPm0oRM3XC1iH9S0l
|
||||
qNsnToJe9WrzPqeqK6eiFYErdSkWmuIBkE44f1HnO8reqWyaXC4Y8b426lXKZEJaKSj9boMVynur
|
||||
UiglFj5lKbRcJzMorMMSSlPIQhu2R/OVvZgL4LYe1w4wYCHGLLda8OL4J20BPnEc4rJ1HyNTGV1s
|
||||
YId4txVkpkxPtWlKuzgmj4OV/bs72BjFhiC2wGUj/YcSIg1mdawEJxJgJjvVbLjvgSA1aGJpLkQA
|
||||
6cin2SO4atJmaVt37yp7KFFmfOUETQOoy/bqeT8i5HrhWxNSMiyOaadpWYnLMPByphuse7oZb2Sx
|
||||
f0IRTUhdNYbtthCCSFdUf698ckmgaX8b8ykIlrOyOyyOlqCDI8WslovjkeCSTjoXv3m4E4CWGpVL
|
||||
1cuxH793IIiuKjsvYMmSzTS4AlAy7Oo7GeT4X3dYIVoRKOZ8mMB0cu//vsDxb1zylvkw7b60vCXF
|
||||
5KSin4j6xE86JlgDFu5V9sF6aQi6pjqUc80b1+I7lSsJyQj7aG9/qILjX6DTg1jvC9QK2cEs/wP9
|
||||
nlfve2Koh1r/sgePo/MYM83lLyKQNaUZ6Zmh2nqOA21y3NPRqIZTzfUhyaaO2WtbmMWFR1YoP/i5
|
||||
Z6xgkNMZNcSYnqMfs7mDNj16mmB3bqv0T7U9bIRi2L6CQt0N+tX+zS7VCeyVL8vwBZ+O+yN9jBf5
|
||||
XKrJH+RkzD16ZxE0BvqAcUNP6aAsQvmmUZNM9ZXrCo/j8o68A+knNhrg9XMqys1ldPO1aPJ0ewxz
|
||||
nI++ZwMiN/8BDXGEdQ03A3GYXw09QmlZvXgrWa1DBEYgFDa2cmNqMmCpJWBJxpcMdnRrMK2MW4/b
|
||||
yhjh7psBOa+pGfkMC+QvwMgLlW436pAUcLKwgEyMNhW+EQWg7U4JTJjf8HcVo5eYKzINGqfFMNEF
|
||||
IJruQk0fDtDbqueqQS3sbmLQELHdeJEcTm1Mz94VjMCPpTOs3/goriBcoY/J4ED+nHRuofN1Z1ia
|
||||
+rt1DLOgwHYU1U+v6/4TyEX3C8cdl0MgeINLYD9KzRuNj1TKTh4jgbMk+H4/qcV/Z34opUQdkRX3
|
||||
j3IEXoC/57sopfV/D/0xc3km+YQgr23VuQmfRF/4Rq8bqGdnE4pNDjky76h29m5S3zaF3x0SG8ba
|
||||
FEn5ITYwD3rk10N8iwrh8wHxUb/Ft6gridh+bdaJAQ2JTZXMsK8BSx3S63HrdOamij6fIAo+E0J3
|
||||
9qJtxIRekHnSv7yU8sb7nPNARdthqebNlfbwOQfJNCAV4DIvf6yryFb7UND+v30RgRUdY2rKuGc2
|
||||
YY+lzlspKTCKdoTmYZQk3WtsxnoMDHuaILb+GYftuuhtRrXMZHhw4j57FejtJmxZNRPIslgnvgh8
|
||||
TvaFoY5bx6b367OXuJy6iEJ8OVp5vQRCQyM76CQGzy++psw6Sy7bGz3qB1E35h3mjmQHiFfYsvWz
|
||||
z8AyAy7Sfd2th0zJenWnqJfcEDOvIjM7ASYG7ZG0LhQdZsNqxcayCePYRKufL0Hghat1Hc4bL3kV
|
||||
5SgeezoIl0iUSEACh987xWWKDpNTVTKZe0epFKk5RxdNNtlp9VKGUgEIXqo1O6hOImLIwrX8Gkws
|
||||
WjfXAs/gZ6cbilM4Ugu96JoRIL8ks+199s0Io/8UCVsEbimz9gQGoD5VcgHLeSPm6BCh4svv3Ept
|
||||
tMCpMWHR+ZVRN39+p0Yw6bgPOHlr53LIgjEKaWc6F4VrGevj3Tk6VOs4aFWEzaPwkA2/v6Bv4DiW
|
||||
Hq/vTCwo+rWL5MmEsaE7g70uo3ZYZJ5pKGQ3GKffhgdaYlbpLv+IAqU8App1zY2cFQtlwXADQNF2
|
||||
rSAHZVSmlW+r0Psba0dItVnxWREai3wNvtCo0dFZhRGZ+kZpAmWQWi0heky6Ye9TswATwb7Gm1Kz
|
||||
381nNP5kwlw9N0hFkAnJ/S19mfXjtFz49mTZBlXaTFkIBVqOZKfBH9zeSE6OWzmBCBYRhaoZ6PxW
|
||||
inQQ0n4yNu2XMcevNZ4EeBEaFnn9FyxLHpIom8hUyF0To5gt72kk/58YLo08HbCIvCrpM6ahVO1N
|
||||
KxEMzXP6YTCn0jUan9PaUvtGrpAEvsVU6y0uSJThlCvCNHDvgPqyb5HDsf/cdH9FUeAftWTcKGrY
|
||||
4DBH2SKSz1oC+zVZW41VYUaDGvPNm4cWwN6335pinuYyPnFrXukt+JzWSxuuvrt9+jNZ/kgbIZOi
|
||||
1uM0M8fWXzswMFKoaNQqJvz2B0LKF1TryV6XhwiBTGFiOJNbQatF8LkhSWb5q0xWxaX8uPNOrq87
|
||||
gHpt7/OuWbSi8WwiWtVzlKdr1iEt4eyf+eDZZMSKplYiwUng1z7a7zB+sCMH34QNZ+ygDvReegy8
|
||||
O0465XORx+TGqI0UoouM0BrNrOduC7ztHQ7TvXQrXaF0F87dBHYfaI6MLfOHPrUnrp7q21VE2d47
|
||||
mCTRcxdPTHY0qi1wEAiccYz+qM+0RXp63AACtbDNOZfUzC0J6gj/fLWozWbi2VA6GHauVGQCEzq6
|
||||
wBAukbQAlXKIi8MFq84S2xxD+J+juflHtc1jfLc/rVfeZZ5+ZpFFdk3j2MxJ1xIObPYi//aJVhwz
|
||||
4c5rCTEPV41+Mz5Z356QF9kP/A2p6JiAZzdIk4fX4jX3JKtbhXszyvSZPzVGQK948uTDlrsE6RBG
|
||||
ioJ9vIWa/DTPKxzCZ2dYnFvg/iVaGztI8F3Tq5iMvxM4A/yAxnHCLYF+Nk/OrBaihZQwgCNgvcTR
|
||||
i1pnD4akD9QgT4tMzD2OKe7Bp793xbdW2Xt0+t7pJZPt47W72ghUzTulym8ZqkbW2+TMzTQWwFsF
|
||||
vlChIcnH1dkkm1Vgl0qVXK3qg33tOXTldNACxe72WsJx/MVDqQCmOiAdPwxwAWSrmxKPMNjY4RZF
|
||||
6cBIirI/MmvU3gfSy3yjIbRDRbWiLYPllwC4Lgvx4NMYOmwEF7I+4Cxp8iP/YXzZrNWJ0RIdOgA9
|
||||
jJumgnxsEfULS1fpqOI3nOOfKTsyyJD+RkmedBMV2YFUovJfJeWd6pcqkeDMm3lgDUmziuqQglT0
|
||||
c2eT0Q8TPx1Go/Hmzxu3bS8BG1hly3cEJsHIVAWvc83B+TbgJyiwNeqOQ1WiQkJCkw0iQ8sLB5Hb
|
||||
B1tBxSaS4swfunizNQZYGJl2Lm7h9qIHg0coCv1Ofl0/DmyzI6FrhgGFvhgRWh8DOr0CDBTmBnYc
|
||||
AqXTDwsXWWhTJjcGA9E8Ts6LIl+i7uYraJC5SDOvZdUd2MqvVToR8ONKdLlUiY1Be2+IItVIgpOF
|
||||
epqHYCJdhBkm0zjrD/VnfPW6iReXiEaEhRLJm1igM/SCQIR3tz7HqyZb96zGbzW+rsqPDCoTVZtr
|
||||
22zgzEPvCBwx6IGP0lDdcLcY3ix9YH0zVAdNcvZsQR0FwZDe+2HMs+KB7U+yt0AzztEQTN19shLN
|
||||
2iCT1+yEWYhf15JdFaPNJO77SGLjz+g/36iKWrTne1RznCjiqJsFCn9aCrA1JoJ/fhAlAxfDpSdB
|
||||
cQE2shyjEKq/Zty+p3h/HJsofBUsgl6lasaT0RfrvBISRoiDoCU36ap4pMIWvpNVeY9KmGbC5rTd
|
||||
LVGs6G1moGRGAD0aP14242unUpC6c1gWEqe0u/9rqinUKAbppUo6UeNCl8RydaMQiwsf7irAhVlC
|
||||
ckzzICihKOpAqbqEs5HWdOvy5swBX3RDGAWiVULreMpPq9l+8RaTfmDrHxDl4vGtnv+tQvxZIH5a
|
||||
k5TEnf06Ap9pPjZj6ksb6i4cJt+FOGEc0fM2YEGN/OqPIXjYfFpBVRPA9i2AxPMaauQpEK4kZAUe
|
||||
uGCOxN796V3tMxwpKNCYhYBkFTGd+cGJMQFtAMdtwLDgjeTw1FrutZOUDhOzHLZ+5kGNDbOH/0aN
|
||||
fI1lI0nzx2nyYIAtG0W/Uqi1x8QAhyRoYFpPydWXlBTYLSTCQ/sbh4OK8kRGRGjAkVkb6yniQYgO
|
||||
CBhL/yRzjdSB1eCQgqTtjYtVVCHISKyJr0qvVa9q1D8SUXdhEMKPoxcwPC4I6EGCMHI+cfWAqOYb
|
||||
oQw7q3YpQR8CY2FcePgPkQ1IsYCm9VxkrO7Qad8lLn4/UwZHTIVNaZFEbSUb8FSfJmtkd5pYMAnr
|
||||
Zmo6hAqiag6qdJdX4jmm+yQsWPx04TyZhtc9udGMGwdlcp1KqOMZJ5rWdMr9cIWKdw8IU1Ks0ZqX
|
||||
ykRCVCMrLNhc+jKKFj+WJLtsWXhD+YuaUgyuXIZaKhspeIHClhnP2r5+uxk6Y6yVQo7aQj/q97lU
|
||||
d83p4jgD//mJD4zesfoUwVcVbQqeXD5eH1LimGcwOA27kKreIXXYqLpNv9NiuIyvM8cXE6iT/fPl
|
||||
dcQIJpWhXGW2qizxStJX9NIRExrxlLnyRRlwrMCGvvPTs2B7DCPYG3X/dRbSfWVoI1DJPA1gyKDF
|
||||
8/CvH0N8Ha6ioFWaZ9M8UC2Ucyo1RPFXDFeSACl+2+vYU2h22sTFfHvrKwfV8Oaos0GpI2kaBtqm
|
||||
MqRLP0CCYXRCFpLI45WhJlAWoJNryTq1c0UBXVb9pCGhnfuYYY1rvMtpM191PvmCz11whSlnuZqm
|
||||
4SF2Q3FU1PrYyR20MDLYlDGxS+4cWot/dB0abqFBzs2/TayP18MDhjr0go94tpaurHouqq1L0yS0
|
||||
X9i5Vghr1+EmSwSSET8DebAfenkjaxqEaVuAvfPS+crI491PTaSRqT1mu8cP6NTVvmCSUQjQ5XBa
|
||||
gJFyFwcEdhS5bvRGkRqJGHGW1A1OsHbcfNv+VZOz3rQxDy57hDFvGhxO2AhXHwB0/rr58bL+4swK
|
||||
svO42iZZTnwZUIGCMdC6VDmWBrFQbLYe1NTwOteprZEA4YAPo7Ow27cd8SYbaCeeRpRyQhd7DtaS
|
||||
Gnk5/z2r6SJ9+NVSa3p7A6nZw2TgyFvIyfGvE3V9Mvtgyh104oXLCVFN44GMq8uf9xq8UAxHrkQ4
|
||||
DG6agMT3LyUFgv2vq8g9OFPvJr21aEkJtMLwF5YkwJWPpYWQX615P9pFT2R4Hog34Ring/PwJraj
|
||||
NKoup+gnkR3h72VPT+O+MpIQbVtqdSCaPm9/jtffQ6X6/3Wva1wQy3cqDxud9M4chdMoz2qqR+Is
|
||||
3MH9VAs/hZolbrKGmhQ9mr+4SD5Q+rq/3/izkaCfyPz3CGYqWAP7v73WzpWJvOvvfdxFBJ1hP/o2
|
||||
2oUFh9lGI1Iap1fnFT4R6ZcJYsnjYLqaI9FTW7wIJx7rztDn10QA+RnzmkcEp8Fqx5BQyRCpNVPg
|
||||
IWEvcMR/pZYcvhmzjLnpWzzZX37k1BUR6LNuaJFn5WDZlENPFRkYRTXjqhwAug2AAxjuCoIXmN8M
|
||||
Gkf9bfmpQf+EhkX+Wwwal5jb6pRl8n8gYIg1X6v69Np8dRAkBO30/JuLYy1YzlqJI672EWukX6in
|
||||
Jtg1OOTAt167eWQSdlX83v/fox1+8Gc74MzlnSH7iVgasRJPE5uKhGX9VDjEhaSuTyWHdOfnRU4U
|
||||
89nOL2AQRKR35BLK0ZAQ6+zQXLkX+/P9k0snFdiF4287xMEcKw8DkJJYzhI7baKlHxHQFHSZdagJ
|
||||
z6jw57APaAjL1yHjacxVPDV/dTyCjc0L3JCeBruFfpHIvoNtZC3mfGKik2Wtq57WvgHfNvs7ZjE+
|
||||
gVM8r9QgLATl+ZAUEtIerd6hD8a5kUoyL8NtAMhH3loLZNVfPEZViXJX19aRsxEneQxEioyb2yvY
|
||||
/D8kXkBqT1G+7Zfu8O8qb+R0NrzS5x+Y1vMgTKITqzh9RB7QG2fEaY2Ea71kUjdofJtKmYuesJcW
|
||||
bkk24SLDWDtMHqIGl3EYooT7rONqNYxyojy7vTqua756NuQ/uXt6lkolFIKId5qu1ewBu14cbnEi
|
||||
jieUi4ZxfDRfbrlqr0jBnEoktBwsJnmmVnso1t7V6oGiF40Z20cCr9vsut24LK3BfIyyGX6ZLvcK
|
||||
Ikhur44K/nwjkt0n6JfJD5UEP6VfH9iTHmtTVLhlyIZXJQJntm6qsHFiWA4pUaDBOBZBSoKqkHFY
|
||||
7zFW3dYO6BKS6TL4+1IBJ0zDxXKQWRF9McfZkpHeR5aBPEuPNaTAJ4tTOI/gvEHl0pj7UUh48pWp
|
||||
0xf9xmmpDGMgAcTGNMaj+L4SspnG2gl8RrDjVrxp1WrcG4b00va0gxc0J12DOMSmpm/43SELy7H5
|
||||
IMLm40k/9C1RRok5mQnYhz+BPCmYU4IF/1SPVXHrafiTr78VMtpEzAVnS4bFA8eE03FnXAhSoTdy
|
||||
R0i4MaKSDX1CJKgppxHsiZpKKiOPsureXn15DcMh2V1zd3m7KCwyPpVN9H3ws1azSOp0L00+7aJF
|
||||
WKvj08nxQyfSBn3v8TSG9SIdezEEWvKrvyRUP10l+WJTpLqpr3RJUeivqJibto6OuRrr1ppSBsbK
|
||||
MHjR5en944Ppd/dc5n4IuMB1NQsnJSSYvd2XxkGMswaAiXIdsdBDaz1nr2mrIL70izQtPoItqawq
|
||||
5R73Ike8IkzQ+8p+fBpUVmS7UHh8CPT75vajgIItn4dciIK8UA/K3Oi0nMqq6sl7jCO0Adrl5Y6V
|
||||
lLg7EX9iKqPVq+l02vcKvnK6YqGs6lP1cNthmulyP8CzpF+LMm9FMb65Uf3xMkbViG4EKF7AZcN2
|
||||
GnwZTtqMvO9dAElKllrkbekRaQFRgg64tncjAF84CpvaBuGdgGUZqx0eqBegTPTyw3yeIinDDd7i
|
||||
WIVv70ND8i4MbumNcgG+Sq7TRoWHxxL9vHT624jU9EfVn4brIZ2K4VYa9vfp6IjvNgia82PfYwPC
|
||||
ITI1xdkb1dTfWfUxeAaZqYNtUh/wzqVRQjOQc/xf0VMm4WtNYpO+faOM0nu3rOmflRvzQcdH2eM6
|
||||
KwHO794E1rDn7N6aI8Lcl8vYGakT5k6+uOASjYF8p26zRRFvRNXVzXpepsLe6UvB+hs6/essdpl/
|
||||
WN701pzK3G6MvUO9C3jDxnP6kLXrhQF2nF/L7K0YUEPxrtK7i0N94OsQNf/hSghnKTwjkjGaaUi0
|
||||
+UCV/Ez+KG18Qmk56c4UlRSMMIS/X8JezNsn+rTJoeXZTm5zhpIULmfyS8y6yx7+SM7J1Ey7+m6D
|
||||
C1slKygh9/Eb3Z0uDlHKuPJUZlH/q2/+YB8ba5mX6Mj0TZbTO5CpybNpSrT0O+uhoXlA5R3UB4p7
|
||||
JQ8Wdd1OZkFWFj8nI5Ss/HAqPpvmgeFEVgA012V70Us5GobM6PPpfbvMzZ9gLP3pri59zrR/UoSI
|
||||
S0vaFMJn1d3NqAdMOMMrVjwYWJtI8/TvfgfTQoI76/aNlHTArmob9WgEErKQ0KgY+Vwr5tpZL1lT
|
||||
htfky/Y4kMffrPAvTJi4HwWgRIWkSn/grEnN/nJY+Wz63J77d7y/BJXgmpsfzNLbCliCubQE/xoU
|
||||
8fR7hZ4TdjIJ7YTlowl5a8pEzK6MvlAyH/IWobwIEma33KH1a9YWBXsDC9ZTIe1nWJpJKLt/wzyV
|
||||
ptOgDi2u2OdlrQpmnxF14LvBbNSJxRAQ658ZM506JqpeTtu5T7PvvQzAuoZzPp3wvlBjmVwCmK4p
|
||||
ICTS1FhM7uO+TBKm5ep/PsUme01pYnGhk4By9yKQGiKrbjFzr41Mm4mI3sezdo4wy1783OJf5Y0j
|
||||
27rjJA4lYVr1vbdGWkr7aMfe1ikx/Lrdw6Q4Ntvgy9tYMXPiKIzSidoJKrwhN6KFqlNoGzgnhBL2
|
||||
Dv6uvxStXTOd5/n077RUe7KKBvUoz5T0W12Ek7L2f9suNJgnA0ggBgnoRsxApxL7QP0x+82tjwZl
|
||||
Qm07zpmRwcSUkMSuBXr+DevfGWjy17rvZR1eo7TLj5UoK3qhBo1XMcWjZrh3JezU7QMWV+J3ZyI9
|
||||
xpdoHkdB3v4UGfXwsJAKXmy1nwkLizaGjUDPAI9xryIvVBzxF7nYbwof5Qd6BB7vaSDw9xxaWhwN
|
||||
6BpfLqFjehSjWgMwtHBlGDY85eUX4PiI3FBDfIpA0oobzqKH8OnJWIno4pDovwvYMmrCkGd5pv5K
|
||||
U32lMMP7cggJZKjtdqzl3WBR+cUQTB8TDp9LriHKaMym1iF940bt97CZMvh4v8RZEI7dEysi3G23
|
||||
BLDpuGrpS/y9miky45Y4RERyIf1PHmQILirq5+PMjp/NJj1gNx2hu5G0Qsjw0ST0iVKUZ56U0o5D
|
||||
rVgoCuGWaPtR0AZZcXSy0n83Xx1fLuhllTOtm/uvqP6quvn/yYpVdv5rTaiK6lSa2oRpCYlk26+4
|
||||
W2U7qIiup2jZIKDTUeyRUyBJTBK5Ev2QCpASDTerl1f70NYWXGDSRTRbDptCY4cyWOfrrWDHaGgQ
|
||||
Yxt8V3dgcu6G/odPvLQTF3YQpLpCvsDyRNm4GwaF3g/RAO5JwmJCMa/PfTa2YcPVgKdFlhqcWTOf
|
||||
YuLq3M01kiJTWZhe0pvUanWW9khSwQIsOitKEPZnugbPfm5GsxdIb3gaufG44tDy8+J0hyv2leZt
|
||||
QLxMiVJiE//wrEgxcxyOe5KRUT7AGAlmNG3l/CrBjPd6RmEplwOHjnURMoDxZZCTv/DziGq0ytMB
|
||||
MlV5pFW6I/FwZ/4mKpb0Zb39AOnA4ZBLsYQ1q4v2wAtLQo0y9Gyrnbhn4B4pKY8g5yB4Ojyqs7Ml
|
||||
DtP2OLGG5m2dgKp6G/Zm47hzK09FcRq2OU8UqGtIrygp19Hxvj1/+UoU8nCdUqUo9oOcP24LxKLk
|
||||
qYFgXzFT8xbyLyvADXJpERRDQkEIRFHoFFX8OCbJyAw+EYrKRUTNIH2Xu4TSJw0RDvaROXTUxjmA
|
||||
7kMjyooCFO62HbfhHuBKUfQXtjfx3AE9hr0bCmPTmDcTAz1a4+fjVFZIQzlbSBxjt1q/GSqNZHR6
|
||||
eVB4dWUKNsJrqtk68vDdf9iRcIZipi6IM2IwopdPm5k/f5VaLuMnf+bdfuGUtxkrGLFAXK4VWvfG
|
||||
iAQBDeTp2ciIdZkfPTMafn0HmGKjLHgGYl/6bO2v7SU8SZwETuqZ7W99/Bn+09wcao+kii/cGLja
|
||||
Q0VmgPLZh4iYGbOaNn59xcr1+Xi1FtMaAxp/XtGXCNT6Sw0JmkCcCkEPQfmbMbBlj7HG9CddF+2i
|
||||
/zarAjPuzSkkti1vEsio40vvqio1DG5E+THcBktzq8Qg958ZAY+j0+yyDrnUgtRzMASF5ie3SU1H
|
||||
C7NcFv1IV2RgLeb9L/pdS3nK7KafB2qL59EB5GNBf0F0mXkhn9e0KzbUxFIEX8dO9dT5cXwZibUs
|
||||
uYFLFJ9LBcrrLvAkm7uFpxU3RKvWgzklydzTeJsnQyU8wIyeYR97/6RVMv0i6NOYec8nmnOqi6+w
|
||||
voG/jUgIl70rib0y6jYp4UWWLYUxNroC8JpHXRaDe83my+k9U2ej6PT/n82CQPcNAImkn9Lqo2Up
|
||||
2xv6nckQvyBxgqIVK4IEkNS5bDMdvCizm+ynKkffNaDBswJ55glO7fBP9T7FOLAYb90BsximHNXB
|
||||
YxBtVjODJN4yXGoIxMLQyZkPpYjiKuucIfTcH2EgLxf7LLi8/Ab751bvtc5rrSRd/QqdkNjGBZm/
|
||||
kJ569qycOuccuQvZJ8iY1SlKcFMx+mj+N1CbDP/zfywWZ9toJu8yjY7T0THmrJBTFRCfjsaZW7dv
|
||||
E3MNoB7/k2Y20F5bJSV1mORiaV7RdGqU0tEbDeJOFgepf/GIRANWo7isQBnOiwVbEW/jRHHIX6jN
|
||||
cYJO2SEW4vNX6jZOmv6esQRfxvFBfJi05i5eOje1RsfhRFdon5cDRi0i0svtfUr6/VpGolMV/bKm
|
||||
ukFFAAjZbswUYaNBgH793KVdfqznSG/d59eZ3k1ZG3IpU1FkiMZB6CKh1QlIrd0nDn+Ix/tEAF7n
|
||||
xW7pa6HuuQ06GehJu2g3Grl9pUxoxdvJXWUGlMC/zgSNVMU2nEyovZxp8k8BNDpn7OI/xYSZTeZ+
|
||||
Pmg9wXuXLa0Nk55lbujt8WWHFenxuOvKWD322JuMHJ7SRUsg/rCtGraeEgOIhSFRZKDbITqOQOnz
|
||||
ex5G+b3GpqaA0uvQtj1XMQZAiyUqXylGdmwO3iNb7bRHB0NpSetIwfQUtCJCcVblJbQXOiwDW5D2
|
||||
bRN2h91OgBDIyTwa7u8ndtlXeSr/LVpX6yQRPIViNKAsTeFQAanYZLtXw7ICE9koadE6VGgBFcJH
|
||||
nageCuQmsxigQsyvMNX5pujLOO6SwDLFP4GIbaF1Rc0jPcXJJttZHnxMWObZUfZUJKQSDZ1HkQ+p
|
||||
VVg+GvUhKikuMCH2TtsDrPeNrNZ2k4gKLUZ+gFgzYyWoWgTLiuIOXXgI+GLEvL0j8qJsB4QbExtn
|
||||
l9ifp6T5fcMSjHvnuFdKhmjc66UZZcRE6cpBFEg0dI1CXhYKuVS044sDgYW1uLGpN9LtgQPYU081
|
||||
ALMwAnm+yd0UE1SCcd0T9023MVsTjZoDaWQOQBOWuy54M7Tr/Ybjj/eLMGjNIlJw8qAYADOaTkFX
|
||||
iPjL1sySRjb/VhPax982J4qR2UnpNz1zODsrD8z3Q2ZORnk2GOCAmc90oi5UJUWDvOlmeS7m/eOR
|
||||
Z8WHZl9+tGNsY4WaUfSqX8MjlU8UlFgudXgou6fIG2nF9/WnLyoz0HodTLrCZRsyeeW1dtUDe2j2
|
||||
CpY+34emlHb25F2YLLGo7figWlRSOKH2+OPIs694TbmPJP16W8FmnQyuiO+t3pFkJUeSPL8iBW8d
|
||||
2Wv3jF5cLWO+TiOEem0WnDI9dlpinenNrevE569g4lUmUX9gHxm02tQ87TUMe0vDPKdIqah3/DIR
|
||||
HTwE7ypXsC254IdOtWx3kUBTtNvlyMPFXxRu292sFjXmA7wHCOCF9vmgbiu6LERtnj3rCyUaWrMQ
|
||||
/cgOkzZBc13hbBtKFuBD+WIpgZfvOy0Ept16x28d59hUKIFbtX63mkTrMUFw/E93CMsfWtlKMJ1A
|
||||
41LqVMi7oHL+i7JHZZdMsrNPdqoMHgtYIEqcbEHA32gZBPPI0x5mdnSDdJaVL7tZ/Vm54E/odWVv
|
||||
LQzmmwJ7h4GRO4v+iyXNdoET6RVpPIgWoXT6C0S8sJ+0931V9SspyVpNEtOoLncaWbTBJdTHXt5N
|
||||
fgu9RVKk5T7MLUu781JdOcUJpqQRS1wShVsI0aHSuiAlLreN77pevM/V1QnJxkAl5RV7AyMwhRzy
|
||||
TpsSx+ejbZ/9U8F+o6eKaT15buhMuqhL3QmDXhQl1VxEBTQeXRjnf7xCYKLZAUiL6Bce5gtTNtri
|
||||
rjKHuxn16ipPzD5aw4lHqovh+ySfjmBZw3nU09J6wZfowxvX4WOkgFSMkA3tAgEe8IaM0LYtlJxD
|
||||
KEA1GfCrntoDwh8PVjqxRUimf7dKr1x+pKAXBtJ79A4Kuscp6GnrKygCuOKKI3iQy4Fzwys700r7
|
||||
7Ph+7LPQpEMbqNnJVK0i2FOHPkVltTsaBTPcx4z2oj6qHaNcC5JqMyo8Gcm+5SoDTH6VIM0/tDn7
|
||||
ZDD04A20cOvqiqX7v4N8Y0DeO3NfFDRpXaJE+I0VLfLHk9EwKqmnT54wcCrkjp78ycCgUtE8wetz
|
||||
ds+kt1P97vk1YCH0UEAZiHO5GaKtgHRFXUG3HNXpfRXk0cJetuoMINzfqVa0uH0fYtExU5xtgeky
|
||||
/BBshl8c1Q/HvH75ofzMfRVA/6GPiogFQFV3s0d4C97N3IbWPsNC2bW0kLUD0iaNMhGc8HWFg9OM
|
||||
bSlVhm3Fu6TpuEa211Vr8MfxmbUU9nn2P39yrMyYS8ZWfmhet0Tg1fu3IindOJDB9qb9T8AOP641
|
||||
uNYm7ZqqHiA7lr60INDV8b5xGXQs2DQ2Ojq1XT30+OTFixAHCGTaw6atCAV6eSUvjHo4gsxFCi/t
|
||||
0vXs96cWSx/fs+IVo+gzovJDmzydqhFQtFne5b2OagDIF2+IuX/ckJxUSIdwQAltUS0OK9MvOgjC
|
||||
4ZNoooeQdL6k2RbCzrIX57+n4vPAaIn1VFAp7GyorFHsQb4Y0Dg8vYQGL5DvioYFG9LWXJk7cHhC
|
||||
KctqLVazXfSVHauSZNGNXzc3FOcDBPalonTvVT78WezTaKl5AKNKHGNQ5C1SNZP4JQgNus1W82aB
|
||||
sHZKpqsr/176lI0sR0vnTEQkvDAETvl0CBb1/8s2ru3vF11VgszYHE41v1DJqgSI44ioIxsdCbG5
|
||||
i6BOtS2HQo9kikhEB5BfrC4WyLZAAWZkne/OiXQAEhz6G8rsim6oNcX72C+VhSw0lu284HFq5uj2
|
||||
g/5nYx7Cr2PMiM/ld6wIgCtuZwfbfbOyXwLnUQrNI7yhs0oPrr68+/PC/w+LKhZY6R8eTESpmNon
|
||||
O5foXE85HraO1MphxaGB7i1Bw4hU0ndGTqKVF1pwhbDAQKe4zjygho0oZr4E+q3ps7eCsaVD72qv
|
||||
Wt1Iklet1o/Eg3Kf8xUsXEkT15kOrrT6sVO3ya0qU7tR35TIoaHhcgNJXQQgjtx11kBrmX2feHwm
|
||||
Q4eu4H0FmKLg8m0VZItkdgTeGPgipyOWPc2ESOsv2zadCBbtUtwg1ZCm3/v4TxJmvY1xJBPMHuC3
|
||||
j98QHD4NxppslZgdCMYIJGe3+GV2+bebsokh+Yvr9zUp3CnZ2VeoLzj9TrkWTQVLlqW6h6L/VPPN
|
||||
R6mGiWzBOl378UXsUNTLVq4FssOzT2ThoAPpeRgbi9Q21+KEEQ7wawL+WH9NeGWC0yzh2/GQfKwn
|
||||
wap9ix1ZMRp/plCxHxUxtfpcYz17uTQ6awUWoMkurtRvSNRqhIaPVQFDG4WQ/iNA42cjyp3N/rBM
|
||||
utsMjhEmUN0oeTml6r/9yySA6XjrOpen22vTqXuf2p/AW/jPUJ/KaCJfHpQnXRaWhQ5oOzrzDtuk
|
||||
WbMnGQrKT3HzMqZIKWe4Z5kv3M60kBUtODmzmV3bIxVmVM8ic/7KS3CmNktOJV8uqSK5aU4emaZF
|
||||
nVfhydsFjXDRMVf5VAHZn93otuihob7YRd4x+bHqtJYg+KOODzMMZqh2mjLxTGylNGiL5OxS8Oao
|
||||
5RxoNusDbDJJtVvcw2Riy9wbNFex94rLOxDzQu0J2SK9BeE3sCnRUEWWejry+Tpew19BFcgfbo0K
|
||||
ZVv7WOgcEzKntjxnstLgPOgu9+eWqmbzcWIScS0ovkr5TtTn97Cfl0WqsDiLonz4Wz0K82guf2GO
|
||||
qSt+/3y0SAnDqV1nbQrVqm49Pp245oSqiL6nzrnRKLxbms+I35FO0rkmXOwqYmHu8rWQOgnUO11I
|
||||
czdgAoVsaZZFz2151XdbIbHVOw2HT11cvO1sg60jatLbLCPOHMM5zZ0nLfvMwgthe/rxS4erVm+N
|
||||
X2iy1YX/JAKDQ7hAXY+xnGwL+pNRwWKIN68HKOW+FniUe18HVyHLDphsKrdJGt+rxBj2IuHtPUto
|
||||
4NcpFszY2fJR1bIFszIyVNWwIhD5wvtvfJiTwIxojQpxYg24zYkuI3hmbNa7GODykswkIvjaAvRU
|
||||
7XoaJ1UNZd/QTfl7YeAxr2hLTtgIXCxQFZ37/SNBA3G7GGJIwtvvF1StrEcAsVXpbtyYQlgPfJbH
|
||||
582/WmgyFaXe3VShvpsOWXcjd/vvM0adpBkrqAaFQJAo2CVcp1VNTY5qr/UNaT6vWPtUiMSCVHuS
|
||||
ei3EqvBL61yiWyGC7H8OQ+A9aSC4AFvmbA4Prtxd66CUIEzSYJJld8TEesXmU20jL5JF755wBM/x
|
||||
F4BumSj6DYWxEgok5UCkYwpRLYt7uMdSwr0S06ybrPWn0clNUjTrU6eMetcdRU/U07aClEH/vSF3
|
||||
uamRUbjc0sOvXRdjHZz0OEqox5RdCRj93/HuUZdx2/Q/Lv39h0oOZdG6ITIOMM0HzgZdYk0XdA3U
|
||||
9lgEFJoPf4cFu7fbNJEOx5DCLecY0y+ccwhvET22CrdS6Mcwtvjjg4y9r1j28c/mjzC259h1UXv8
|
||||
LlcnLqzU5n1rtRAlZG3tcYZCaE2qpX9K7pNop4tLDsfLSlJNhiEhZNQLtoY9VOD+WmTJ5RDzOjEZ
|
||||
1CwjEddlY7GddhIa7oZMB9rD6R/rX5l/I0Sl70dUXUaE+87bls/MODa5g+cPAL+Wh+dcaY+0jAYh
|
||||
IT5b1TNa+VqXh6lvcxdX1d1MH6nWrCuyTAIzi8YV1GofaXkiNP5dX9dUugWJqV5L2oy0u6sFNRxO
|
||||
KOOo7iuM0THOIwMPxvP8OgJ4ggLs9WcRzn0GNNmLM74UphlEGPaZLeYnS9u83/mnGYDH4Hcc4IVn
|
||||
BYw1aNrkGobQXAbrXVgLWBdEFGBgjDELl/nArUhLZ4o044hI+2FnuatUstW067XrY/Dj/1TWEZ1M
|
||||
FhKw2blGGct3+COSQodp5H8oM4FVC8dbEbWMXITC9/y6bTvDNOnTjONoeEoPyL9KCjWu2/ZtsGxq
|
||||
NR9qmUPNg8b1JJEJz87HqfDrCxXb6eedBv5gU0lCdVCe4uMAfHeCQo9uxHefTj5EWDtYjJ7oEqE2
|
||||
R0NEolBFKUPE0xh7WCZ5swfpaJpIkavatXguKjjTYJyyw1P3jShUujAT2vLluTcyEKqUXKwqDzHe
|
||||
XqbPlg66EJPwtCW2/R4JCuIi7xHNMCXo/YHcuJvfzpKJlVbN8xgclaod4xwwMoPgzZyrsVvEHP1M
|
||||
5vzMrW8qkB9HTkM2no4Tki8bEeg8THhDxF2WGaG1mev0ZSbGs3AZ0GchZFzLDXoylCsh6ezWKZbB
|
||||
pxwAbzE0EWtdZoYC2AVC6eHp+J9PRoQkmmmIdx4B0oEcSAh4sWRjktXjMzwlmHO+7Tbt1xSvjR0T
|
||||
BAtGWPZ46Mo0HgCsxd+jjK1cfujNFn5xa3lSqtliUN9InB6AS8uXc8A2/5ZYmcos3lXnGkhXWgph
|
||||
8Jj9DuH2C5SZMI9ATZ8nqB5x8DRzlmWtygsF0sCjVGIVYL+dL1bIXYwnuPiIdGDZKYPUkwZk+978
|
||||
r/lhniAqIkbY/AACejMuZ+qvR6QxxPofSbFMjEx+/0yEiGpdLCsHLdjWVASxAfmH0o1IM38ssXAS
|
||||
HXV31QwRF4KaSbZIgAdBtxNOrrxdXjp4kqjPztJE62NNWZWAJ45JHQ7y0SKwLQOVvVIvZSNvPtYU
|
||||
7RFkmKTne0mWUJIXm0DXaqDJxQFQI4IMP2Pgl+69sJ/9c/jwvSY+PuzIPq5Me7J/FM3+AIyEaQiG
|
||||
W0hTWwr/cV60qiLkesumf5gqvIJMWQ2lMrQn4woVUCnjDGYb1kMDLRaNOkupQjgKOKZpE1KlFo53
|
||||
k77kWnmXU3o8pBwjNFC9OPPdLRe+2DTUDRzrAnojFGktypx6PIG8+b8wqaxmZxzayawjfmiWHkBf
|
||||
+P7P4J64cZfo1Wz4udOlXaAK1nw4fPzhOghXT9CnsASIx2T7zCADX1f0/49/olLBUcfbmp3YxmuF
|
||||
qEzvsnJbJQWTeugjO0z9JCrFqhtsFhYxszthki240SNTEiB6uX33ztUNaD5yP08TsR2MDRdVpSVl
|
||||
avv6FTXcLcm8rLwygUZ01nuzW56G7JcYVGGSFQZoDSLqDSoc6kxmUABGgOJ89aQnMKl/GbG/hbtE
|
||||
zX8VC6dMtFuoAOnIvk3Q82ldv+7z42RkotDM+qVNDh9uEgN8Up+R7fb6pB+4eaa0Ks0FZ4ejGVi6
|
||||
36iOjH4jx2VvxVHTa7ozL0NJoK9u76NB9HeZJu671dx1hv5CvJyqIFRkFLLCBEjYqztwrzOAQdwx
|
||||
uRMmZlIXAEkvMJDFZa6nNIFATROORBM9GktKOnHAxd0dgVpUnXH9CrHV2m9SoVJ99GZU+H6EH2ks
|
||||
c0eZt42mC6WlJIIJl8l/X2OCmIsk8J5iKL0eEvlmluDd0tPXRacsWevQkGr5mC1dJYPv7UxJtcNR
|
||||
Gcff1GjV+6WQzno+kbJ6d+meBWb+MsG7NeOCm3AMCsTdnLpojHz33usSQB9bpxp/QOb8RAi1u++2
|
||||
gnOCXU043Q1ZescW9EAVU5CSSp2UpShd2CMY4CflfKwzff+AGs4NbEo5o3SMtXSTogyUmA3wFW7b
|
||||
Z/7TyUKyzlefTxTqdF/d6b8TCJh4P+PMz+e+HT4T3CxttLAuoQrbk8QNnAm3gI/0ce4FRHt2t8qq
|
||||
3e62dnGaq1ApNtf8NXcT1rqI/ETy3P0zJnAMIqBVtydswqgXB77e/gvpQLCV7vd3OO3NJHr4mquy
|
||||
0g0WWe1xrbOsNwl0Exg3CCr5P1LE4tdsO8Xi0NXFQsQGmP1TBVkw1ZO04Gj8ZMVUfs9Djostfr5o
|
||||
2pAbqxxZxGiHnw4T0xf5TApi8zZjCGRkdPLcmAsUkB2Q45dJhYkZf/TGdmWIUFhQudY7mWLaYQ+w
|
||||
b6LWHvvuJE19QGD55I/CnYdyWmDxCTdOI72l9Tssl0xaKFOtRQgiTDoLT7FGwVEhtZ2E5VX9cEUb
|
||||
VMV8lqyaz7WxCaIqOf+Rdbc/03tzk7ytAA9QKjl8nOS2zvz5Vx1g0uITBlJy+u6iI5KFGl4DpyWr
|
||||
6IFRmjj7kjQGwszkrZjZgsV/twNSg0ktfOuPoHfKn4R6ZxfFIjAxJYYt0rYj9yh0AoS1MaqsJ7T/
|
||||
ZAQ52ysWy08Cb025AArKsmSSDM+poZhySaS46De3OSpCvWzAy3TcnmajfA3r+UHc/44LYq1zO1m0
|
||||
XRidRbAR5pDQR9Dz9qT27hGTgtFNNtF5QMlzBQRlqg3LtKbZ1gk4mH2Mw3muwvzg/YceHNWE7+Ma
|
||||
Q0+KZoK+o6IYapsyJA1yWz1hiAg678xCEDgzEkoxaCp2XaadDxAEXCUDdw1OTGVZzZMk/4+F/ZJh
|
||||
0rRmkv5aOQNuBtXjKy1summYQQPLCBs3eXLkox0bJ/D+N9ww390yuhYHUcYkpGkPV+agDXjUPH50
|
||||
2YDP9VM1F5IaAslLSBQW93ATkJMNX6y0sFuzLX1CWyUyXzcb6uYmLdtuY7UvSooAiXZwoiJRKwlI
|
||||
Nbun2r7oE5MsJQItNK6R5NV8XdpYCVYytHPyBjgEEwLjn69lx4NraEnl7wq/N9QoL58gX+a7kfJC
|
||||
TLQtssk2vGJ+8ZXSrWPHHz4YAvXKY/ehvmjGpC0kafZzr3lZ70IfyeLI348HJKJNv0r1dkVWxCAQ
|
||||
fnExRSp61w4ls+0Uk4X/B5l4aQr1PrqjUg369fOG0VshJQEV00bgjvPVRrZOdsNR/nxOyad55lD/
|
||||
nXKx1CtD4CLwsk7CvhUbt2ONZjMDFVN+++5zMtN47qQp6yRU+AjNuAykOPpm1O7cs9Lo5PsNgNX0
|
||||
FaRf+dJqKdMFl3NUjqyb9bJ8DrCiO9BgfH34dUfLuchA7gIrETAa4OPsO6HKibhwJbzS84PD5Icj
|
||||
3gg0P/VKLofiWD9xJP9rWANfoPR8f7dIyLOkwx4+M/ieEZal5wpYESczHzf40N6u0wDjn4AaAsil
|
||||
VHHdqQbRHND7eY1Nr+2oJPxdGEaPBNyG+3/GVEZZmxr1k61yLJ6pZW9uB0D+WbhM6CKCiErQVTW7
|
||||
0xQjn8ULnAUQbLGVs8JTklVTM4a4swgCMZ+LK5GUB+PIkuqzpVnhnLZQ/ZHaRqEkCKHW7vlmprTE
|
||||
Wg4EI9EMnuUCelcphbpB+33XiQWahrTbiguxESslrTOSnZpHiZX2CTTf3pueisBR94QzE2obBSnH
|
||||
8WOavikprrND9C4ROSVLTzlgD0hd8L4mzgWyMzl150JEfqPYuvRBVVNfBOUqea0oZAMZYRGM9jw7
|
||||
0Lf9/pmhVz1yC1ecl/olTHdnBR31P3ZKPTsZPuL+PDyvzJVe114OUo6YGsmcUYa4iddWsVYKR1wK
|
||||
cbGwMq8xotW3uJsm5yDIvOOkS2oY1JExIP43KaZydwqHW32j74nhiH70JNDQQLumrzUApLQDsifT
|
||||
1bp5H9mdX/xVcnGHn7EQ8nROnInmvaNfO7t8woDiof/i3CDBVvqfP75fIqbR/6CbzROEsR4yXsN8
|
||||
CzkqJK2nEoydA9Q+X26tI/zFyPi158cwJHJyCDcTy/CTJWIcrzHUZV8ctmu+W4Ar+AkHXqUPU6N6
|
||||
Vq8v21S9QLo1erL6olm2Vmhz6wcPn/99aoRXSDCGzy0VCNGLM61RDSA9wuEG+aexf5XRMpvYIsS1
|
||||
TyGWfnYtbiuzhCPOGYsRKr4W1nGlNrOdlY62YvwDgTpyyoGo5+H8abogkPWFG4mGgFUONuhoNfYb
|
||||
DFo9mUuh/oQ1egokNIUh76eXWCzNWOsuQvmSRmHs3lixJh94uZlsKgqPzvDrjoBxjsVDSrG+jv+1
|
||||
+13xMCao89ShBG1cf5prxdwjr7RpJaCi99RS1hMHHKQ0JKjhJ4G33uGpaekkKzDqtdm9mC131z8U
|
||||
A+O4r/xberEGgGKv40QPyMf/jlmv1002NPIC2iyUyZUKW4GU7Lq0sNS/0EyjbktsHGUDYymgQz4L
|
||||
fdCc1P9Djr36rtpf6gAdQ9zOcRjO+HTiAMhh1lxyVrwgANn00stMlXqiPImjmkIaCkW7F9pDZ5P9
|
||||
4kxvvmD51fSmDhPdReepuXSoqJ0GzJET8UgKGTAgMxd+zD+AINl6rO/iEh6lvCe8rRaFIwudao+/
|
||||
7tm+xaZOHjiBsUS8gkeOnh2S+L2fqGnVENAMzr474bCBO0PkjaEI/OPKUDnLIHm4UNEzSyihSUSD
|
||||
D0++VA+HumxaGMmAlglh0MyNxGgl0yIFbuURzWECrOfMv1ZrOCrJQ+wLxRF5TBC83mQWIgkbm9TG
|
||||
NRlbOUobG/iQzLHHp6J6tuG9Fawl3VGT5AGzR8Gq4AI8MbHAnDZoM5n247At23u1CSj6c1ztO6/C
|
||||
xzGHVRshfgh7GWWFktVxqTMBOVhmiQeiDfoVyN0eQPFl1xFnQQy/j5K7QsH9aGwM7Iv/yeAd22iF
|
||||
IJ0S/UdeCGMm+f40gIXwU0Qtwi567temS6qFsb+0OlNBzkTBGRuTsIXjTiJQtHvPjz/FaUqti1bj
|
||||
MiNRsPiZ0wHArNLQ/nnW5ZUevKdj/UUpuAY3TddL0YTF0x9+3kFOaA9fCL2H32w4MTaOOHJtElPQ
|
||||
PNUfTgcNIH9R8v7Y4HP6VmCeWxrLIP87sObWFvkpzC/jG85KunJGQh3hI+0Hu6D/GMtMFGeuAsjH
|
||||
6Oab1xzl3t6Yw9IMREIyFSN0i+dQx4vsN83qRa9BGiJcmaFSgL9dOZJKC42iTYP3Xolek6ajcYrx
|
||||
aZSqkjN9w1nb1Q+pP4CxmBLeL1V5Hu/fwrfI2KDS9mj24Q/TSa3XMcU8vmZifoWyt+WdQmgzN0sc
|
||||
B9xNRjIQYgUe6jO55HYtH1vjGzbU/BZqSW+tt/i98V8BVLRRBWugMEro2Ree/XpcDy3qwndwlVbX
|
||||
vkGkto+uVM7aApcetx0NwBG7edGz7TsB5eWxdRyeL8RIvic+nsfiom6Xx1GdM4gmUR4Q7AYpUrWa
|
||||
ns2KqisAzryBs9PuiP7gFOoZlCvnNdzSTWXXh9GIFFryglcOuBSLHvadZGUCCBLjXk+CrxP77COF
|
||||
210XC61EU/pGh3uiHxq9SQ6ktDptPO1cZkvRNbg3yfRHhlqvLKwQL10o/MfubJepB3pNz238mjXM
|
||||
lNk/Evjkk19Zc/mcMvCIH1YeEM78PvMV0Ib3FnZnjwVQSkFJUyB1ea8whuo52PggX+7T0j9nf2W7
|
||||
3P4OVvazlnOTc0a3fr2526CVai0M/ks5f8BHBD1OFkX/+4Ubhd/mkwEpqoM+g42mniIgzpPM44LB
|
||||
/LDop9BobzqFne+OQlArMUk6NGOP8Ks/rbg2durz3eVJeVsVdi/1WwIHPv3VCyReIHeJnNB4Va8M
|
||||
avovZuNRtCJTQFQIEz2mY0EyuNotsXnzXurhVczi36COIHqKO+CxpHH+c/te7BThWkZ8ySKA2YLs
|
||||
s7uTIiednPMASg5s2M+yJVlPGprQoG0lzGEHz6hk1pHAwbq1rfqgewYV9zerbBFkfqLgP1yCxP1p
|
||||
1nRizsMlTFkHA0Kb06hiTJ9QiZ1ON+NNPfqAXga9KdUdhianRtJlkenPX3+vI143LNzJKX28Oup1
|
||||
zdgpZZdwPnUmlXPo8AnN5ZLnZ1rJ5O3vGfUrKKC39SwWh2TgThtVy4F6cJgoLFapuSRQ/M+EPBbo
|
||||
Zvgpr4mm8qLqDwRo/1Deo4icTxpZdCzRhsC1xnjxyMq39QMb3tWtt0XGnw+i8VSIWzxeIy+QZun1
|
||||
Cy08nSUHvZddm7461pQZ6AusL7vpDFTWmzU0382OiFw0Bp1hdpbGMELh0K83/ccEpJR4aeUE3RYt
|
||||
EYnAAhcfA8jrtuukomqo7icDbSjQeP3ggB13ggdAR7A5ZgCPyVVec6X/RKY65GCKQy5P+syDc5jw
|
||||
vCjiSlqP81PpUfw+eczfNNPPRzhUT1SkIbQZWPy8uFpO+xlHipfVuJP2RhV/NXWpvSNzJlfUa/4z
|
||||
EffZrgazmWMsoRLz6zoDYbR4TDAiQ9M8AlXb98VqsxM3O09JdptDua0JOl64/MtAJwgCNeau/PUC
|
||||
byDh572XsmuosunvYymYuVodF4oDwOP9+2yz/X5nxFVCIryCLQL2TAVl7yafBqX77uoEwvEJsnwP
|
||||
bNRPLeEAlQEfKjs/8JS8PA1fpDW0IrLZVPa32P98PLnryB6mBoakLEJUXpcyLlpE2MXgnuJYdcMr
|
||||
2k1Gvu8heIyiW7kApyhczsP3M+TcKiYM+XNyGmXBnzSb3vZs90UDGDd7hrxtSzvWmJJbO7TPj8eE
|
||||
6iHO2Nw3pcXhW4v3uylyOEAZ4WPAQFAJjs9GQc/vkYlKwtu289yOsEst/LWdjgJuDcaJoyP0DQyd
|
||||
LlV40nTH3gXyhnYC3AGdtfdjjmJDGrHa4gJoG6AIGcVkWILs7rj0r4fhR2e1zT9T2ukeZIT18IVZ
|
||||
I9QZnRceg/QGVNkvisyQPUYrk4DqMzgZmQ/Uas9m9Q6n/6I/kw9D+VIe/9YtjXxo5supxsi6aP++
|
||||
spNe4yYsS7ttZBjTPJ3qeCRW58G2oCsSOHsKVyawjkz7g20pzeg/5tuK/jxG7konTJdshwLWDqSL
|
||||
7FGq75M7Af2TVRDECBSfFVR8ma0hmzwGuC/PlyaYxM0mjZNKkxtWhl7Cp+iKhH/VviVji1eFZFAH
|
||||
8AbQ1CqMd0WuFIxq5Bhbs1VMoKVzOW4wTYngX5iweeX41jzOd8CuDsQNUNJO84jPXyUD7LpMCmMr
|
||||
T674S95+8U5zM647vpLUgrhwy7FNZuzfO6BUeLrYi5nRslVSzjKBn9XpbX930A0lgsQ7lLBB8DLX
|
||||
5Cz/7p08Z0EEK0gFVG9Uo3bEIok+BW/QV4VIcX91ed4906kxfE0nwq7cc1LHb3bQ70ysaDp7RRJg
|
||||
NDlTnfa3N2L0h0FZS+hbozfhaVmFpwQsm+aBMThjAS7zigDMYGPJntIlVXFFWIQl+ENGBVlT1QLz
|
||||
cOpv1P9Bkve8yeDh2HcqhijAC5HHqfRWJlb/yUr0zhT7Jb+MpdVGkl693SYjy3w6KyrP3+qILbyG
|
||||
+f0cVrW4RfZEBwcGncNnx8uR5LXn1WKNkUXVgFaMdR9yV4XSBkcPf0Se6L5EVQaCZo9rrk6q7uqJ
|
||||
Jn8AHosXpzuOTjiaMTSx5AxpZ+MpGm9TSXAzkdS/5AtFHB1XlMz3tmu4FGiFg1VckPBvf9qnPtJb
|
||||
t05ZP+wD3fTlpwcoOzGuSy7rwW7/GloFCBkH8arJxxrtZ+cP7uwM6zwBb1r2AXdclVMuIb+jhI/S
|
||||
+bh9+WmQY2nve+UQl6TzWy0DouHFtgpHTHeNodWVS6XboI9F79jGoz/vxB38fpvwHxY4tqa2KmDp
|
||||
5L+e9V+L9iBWGhQdshDfaAp+JTEDxRo6UHhWQb6qbe7sojwqPQArZgAMVeOu8kZb8ICSa489x3w5
|
||||
ZarNbC/1qyzxjF1QnrnMRXLxFMMJwUPeZuGuH+xXZjP2FqzVMvEGqnc0ipMjTfMHihxM2yhLmZDK
|
||||
Ssik66YbkN2CHx9SmNt8HgG9WgJ4HOUhs4Ig9i6zq70YhNK7GXs/20g/3qZC24Yy1L2F0IxCVV5g
|
||||
72lBpLrbR8RWAmmg9BDVnKT/5cjwJI+3/ZIp4DBDmXvtP7MLIuWGcSzr2kvA5D1wIMQWkaaq4MEA
|
||||
snm9V4jHodFllPyh3nfUEu/HpbIOaEoOseJH/T6v/jBo4DrDUkNPU2lcFGPKIfpH81yI+Znz0eRB
|
||||
9woKFQO5kPtzjPPcN86iletSdCwQCJK+99tlV4GS2rPPhvDotWu6sATQmvAgYWuQkOWxtBw3LKWE
|
||||
zZ9fftDU7xk9G+tpXW5HIsj4QGeF9zOt9oA4ifkBS7PjnUhLfQaC7pNSZoXEcAHObwX8pZnlXPG+
|
||||
EcYfk5RiSo98VU1hY8IL0FguUSUHQSERmIr02Ma0xhJfS+0RddJYlj3ZIXACiaccmsyAv0pb2Ho0
|
||||
eQxGJLxOwL2/zfpz0Zg+jwGRLPEuAMUn42PExBzUsZPegX0AIvyNqa51/wR02OQ76RojsA2e1a/0
|
||||
8LuESosrEvcdTdijNv0mxAFmzMRSIspQfD47TWBJ0k4lcM4cSB1rNgoIgyYqBJQJXu3LADTWYEsI
|
||||
w22jpBnt6S2j5nFDG+t0c0bvK+TAKI32G2hiM5Dhg1AiGxivMFyzBAxzhfAAEiZM5seyIxluMQHS
|
||||
/8OBORt7qINAKCs4Qkfn4pS/E5rSkmz3nVa8+UYJyi9szDOtUpYz0VKTviPeYKOrYvkvA1xs97k7
|
||||
IElayZ+PTDKmfhKCzwd/PvwXxSrl7kgZHLrfaTGXEtD7pmCoYINzp2fRKS+xZl1A1oGLs7Xk/DDg
|
||||
IRFQ/icqEE3r9Vp76RqbU1yAMdHORvG3pkYUn/RXRIwXp8W3IMMSzREav1a/JssKYJY9ctTElFvB
|
||||
YcZH3Yn1sXhB1ok8L76OSawzAM9I4ejiIqo+rqo2V8PLbE9rmcQqJdQXkxRGNF8e77/5Rx94ZhU4
|
||||
fr2hDfzhhRQNutdl1IFXitO1kJ0vpIXKa4qEorZKjTkxmRZ0V0h7w29uYvHhXv6lCq3TRXQMdWbc
|
||||
GK5WjmWursRnE8TTDkrO+gLIldcls5zm3pWE2MxDS13lk0Me1+v4AsUzR1bVPZe9W+FydCzRIclq
|
||||
APolLCB6SO97wz9Ry4TB8wSIPZgvxKyFOhtOWN1GGdGGoYV3KojbtbkF7Hy/KWhNgAfDu5wq/5Sn
|
||||
8bR1ZVs9ziRYogyRpKIdEMDJ4w1vhmDp+7dLl+o/emHsWooaRaef2TYnLv8pTHjbBfJdlU7Ijo0I
|
||||
QZYdv2QlDQsN4wLod3nw46a2lLc01sdrpmdk8r9eR6X7+9og9EbRf9cEB/Z7oXWyeVRvF0LgpOoJ
|
||||
4dpKSyS3P5WNUlUVZ5gt+TR5SXo9inqdxlgDvO0JI3jhc0qw6rwXn87SHIVLwAz4nyy/GP9gJ4eG
|
||||
flivPeWsIpXyZvqsY4QRCm/qA8mfJQua067vQ8larJlOviuR1AJ194GSM1KFnc0FGLc4IgS6K5ud
|
||||
NLNVLTc+BRusbDyCxFXcyepB8dk9QQw/kZfJMmht6F29me6MW6k5JxhjIRaXvQRnIpNt+ZQxqg/l
|
||||
Vfx6TjGl9NDenDHdv9tP0uB64Yfy2f4XHfx4ZENo7oAqpu0SJdtISx5EQmQZzHzsgNBAGJQm/nbm
|
||||
ZUNL8FPkxI+WTYVawu2pohzABa8EmPfGb4Yaw2eawMN4jWBNT7OCqDnoK80Oo/dvcKOOBXxZUXfj
|
||||
/jSRgV+HTxsMGKmJQEhJOpkrguUuX6relkcFiR87xplFRtCYQUEESafy+RQogRbvM/TvMN9GxB0g
|
||||
jMt59GJYDgHDLH0LcIHyZfmT1cAdzlhFmhtFDBrKyZkTgeAHi1VKLLcuHbC89WZckpMoDk4H9D3l
|
||||
K1JDbZfMum05uk7dNi0iGkapOhA3hul9SyeeixnViZZpC3payxFiZWCU8zaaFKdcvtS0shGmcLnE
|
||||
rQKJdmjsp0sN18l1/jBMYaDbV3W6Y8fKQjy96RLjv9yypOrgT89HEJ4s12jPC+Gajf6A3y6EpFsB
|
||||
rIfRhxm6va/t5e4ggY44HZeJy6p/5UcgQV9fJ+YT16FRVole20tkd6CHrbpKCjqRywLEN4gl4WPe
|
||||
PvXC0q0rDZcF9Hzgjqi5NgA5G6tZn+vv2HzMgRIXm5Qr/WoCU6tFFUVxyAYJrft+ssk0RJPdZXPc
|
||||
me71oT7zTEaZ3WGb3kvko5bOLVrCXg7C4umfUQlN4XLq1/Ujo5y+sECeDrJ6lg5jdaZOlF27TvAH
|
||||
Tt9azvDjtYlJzBjvgZYwyRp2Rg+VIr7n5sf+kYsKGwI7GI0IDwFk1erv5DcfSFKDIkPpDXkC33F8
|
||||
SM4S63anD2QOlheX5osUBLZg7ITBdH/O0udeOgVTDUGdaJirI/i6n6M8rJJKkrPBn/Q5tcIfDy2V
|
||||
sZAKOnVDk4FCDloOn4Qkyofag2bxatZEqhqym3rgCSoNBKpnBoyMRBywd6vpId3BP/Uhg4qcDdEm
|
||||
d+Zpl9ZHaKth80IOILalwOX5LSMEHpeUig/rvpKya7EwRUh8v4AgedaNYIWITIZ1t4KJXni1ByfQ
|
||||
5iOD/ktb8DO648Mcw/J9RrxcPzX2QMYd78Qia9tWRahABrw5smPsf3km9Me6fVJ8eB2MydG8we+8
|
||||
BmEISp/b+PTo0odEGLKkIGw+hS0Tv57pUqn4IDTdqe21CJ5QUrtjt0ht5BtObQoaMjOCZ3vNPrlZ
|
||||
pToj+wNJQj1RuhmW5HZJ/S5f/4gBE0JyuQZ+x5VWKG/yBqn00Hld45hHwUMDPN1+Cs/9znDZKtBQ
|
||||
tKTPbZyCd8tOPj+ElgqFXfsidNjGa8RFDazdlHYX8J95tMHkKs8j+pE8ClPJoFqCyp0pLSzHa/uv
|
||||
IjpumoIWPl1nd6lOcoRaelC4wTsT1QIAq7D0LA/10J0a9Q6sN3O3ftzXOHyUaBkaSCeNx8+pIx7m
|
||||
tuTWVCsEoDqCls2T0oLjGfZHUnpUj7dVyG026sLFufXfQ5HXuHnG5L8J+xwcRJt5blwcH4vmQvD0
|
||||
r4F6dnWpmYYT2cy2Lk8AEBK9ojDNGXCN+1tkU/lardNXxRufP0gsbxn2gyGUhZvwLHi9zygrdxeb
|
||||
wIoyTolR2KFuLfaosbn2S4qMM2fXFRALxlNlpUdnXuihMgQBtj9Llg8JUIagdXgP+ubiPgA2Q3LW
|
||||
NRLCQI7AwSWpxqwyHThTJ4b3bS1KywQoxglXN7YSDPE1BlbiblNM+E8y4E5BTuV/DYbqTjqoA0D5
|
||||
thTHmr0ufl2TJeVwS9+Z1c4bcsfL+uWyd/4mo+lE5SXacya50i1mUIsdyKjgnvdkvd2hreW8/iTl
|
||||
8xEwNRnE4xpd46zQ62xhF+UyJ8jUu8jlVZjRt/c3cgLdJSH1+MgYm/DdbAe2/VpMnQB9QgdQg9ih
|
||||
lHenCvJYtCZSoRFV+j7259HgGj4pzeF5NMY++SugqUXM38M5OqFHCRiXNPmJ8hxyVT7knsDaEEah
|
||||
yM/n66JBn6NVemeDWBbJuJjGFBkLhz5hvQL5XW/r0d7Gzig8VjdcJkiJI+BKYs61Wuu3Pr/htT/k
|
||||
VuUB893YLEErVSjsbq608mtJVh2xLw5PPOu0hbNq4M6jBytXhYBWhx/+BwxEauVlWv/Ei2efT89a
|
||||
uoemSu6eEUhFs0GRfvN7GjHUPf3fa4xE8gTArW8dRjnBzsxS41SOZXmR3oMrfpIba/pFfyUvOx2L
|
||||
/ZDKuf0LayKFOfA6CtYHcQmsUYt9t/5C9RztveZDIjo13N/dT4hA12cS7mN5/HvTHEGPg4bu6woi
|
||||
jQgCURK5fJqhef5Ul8paNw8f+EE/rWo3wTRdtzYpRrzGgJMOGpB1zk8wkjQnIeC/N6XE/J52+Rw5
|
||||
SKzmjvc9kFMUQSg9PSRvU/4dk0j0xGQ1kVcHVO3/yUws4j7h8TrQZ1v9hdPcTOLSTfVyzDcCWdqt
|
||||
atcijig9rW8DKgnhgc2afO4M+2EaX0IcSUwYQmhnrqWTDi3cyyKpDz7/fgO4P2O1Dsdc9FDLVTus
|
||||
A14EvS5Ke1DZyCZVC0em8qQr3KI0De5t/60NB8VnOABZM9tVBMG0bl5SHThsIniaOwK/BCikhuIp
|
||||
pxYtUncK0jjOJrYo/VMErpF8BYT18fl/Aa++rHpjRF2uBploJIUV2YVmdXxJHPHY2Y5HsuwYLZ21
|
||||
1caiW3sxG0EadrziJLMCvBmDKq+sBQQO8cSt9NuF82Yxf9mgYokWd5nR+ScAUVl1+nHSwlzrvfRT
|
||||
LDCM4Us3PvUqLX1BURMVqObcHKIhzyJchRi2luOE8LezX9fsPCiUcGSaldoIWqm9/CVmx8oohyNy
|
||||
SUB5ZEMnELGPklH4VpJ0CypgRlbOBUnzP+lqDDNPdcWMccw+UbwwfTOb5XQ/MOHPom0dgIsG0my2
|
||||
zvf/7MmP/C/FQGxmb8H77R/X0xrdCFFrxEooLdd30sWQhzGYnZxRd2plAsMhMmXL3GsLf96KjiC/
|
||||
pPChuMqduIpk+88CXMrVQrPl+SDVnPjg+e98aIBpeP+RQ3BK+PV5fzpU7SgXyAS5Co+BJzkzu3LQ
|
||||
lhjgDwxtkhcdX83Cq0TDnwrEzfhPhp6ennd7bq3IvDMlTuSdlipgV4x3gjqMb56gevvD647DXMZP
|
||||
lVjYwaoRlNG3JPMIzNWcc5hF0KNTJSO7zgknxqCnycEGvTUmiqFGJD8AvC+UeJPLU0OhGJFrYVxC
|
||||
xZADgeFoGxbapp96edXyMuDxG1h6Z2tJrXrAot/sHhp7ph0/bTwVtfjgXB8AH9n5IBCcnbCx1NSE
|
||||
5q7Wefu353W+tMLWtL7XhQAW9i8tubDHNDzZ9lT2Z/QnTwwd539ArJkZeo4HHfGfeld7yglTbIP1
|
||||
XG2FIk+0E87J3VILQ+HIuKhCQHRuNlZRLkcg95gPZVfwOTEDk0M/yJmhGkn0HuIsLKxMzts0VeRs
|
||||
RhOj4Vh/qwhNCXC86wg55NfxaqwCA06oI5JNt6COJMndl6paGZZD7u15qMCFJM63Ni+7FVhMHg25
|
||||
IsDbXJo/u8/Li/Cf7l1WJTHHd64upXJI8+zBM+oGoAP9SFzbuvb3S9zs0w6MDLmxC4nU8xShpPdO
|
||||
ZmDEO/yOhqewIqyUumWyONlGBZpE83heJDD4Cnv8fxnYYUy3uybP8axh8c/p60ov4X+B01zD79wi
|
||||
ePDqp1hv9zaVFBgUl8kYlgzrAXxR+ix9Pkfn1pxCztOBvgZOACUepCE+6AmYaHYQsTmJtUvo4QUC
|
||||
XMlGIJ/ZmxVt9xn5jM+kggp6pOM3w4bBt1gdRJPz5W41Pu8/BpvwHywgLz18jatTs2nA0JLLwfJ8
|
||||
dl7fs5ggqF/YxDhZkfeQ1pL5IFiNC68oIIu2XXaW3B7htWssDkyLKq7ecwVqoZvKQtFDH1asJhN7
|
||||
BqvO4u4JlQQKD/bUdlLPwP86qUkcz7Vnx/6p3ayWSYBdi9o1iibiqcdM2ooLqnWvMNBpYUZYY8lN
|
||||
vcAgeyk+2GujyWga8UajD78KMvnJ8BOfUf6wj08XjjK9HdOhW1Q9VCcbKLvzI+6qCnffibr5oH+U
|
||||
fwSZTExedqEVXcpC2GKlM1ukZRXeHgBOosjeOFAtzfcKGfYH2DcnTEFqxRELuP5HIzxS7yTR6tJR
|
||||
lNHYpSm0lENk8rYfQasgMjLXK/klRiJbAXUGho5DNjkv+0gThJ7DVsEfniGKWXMM55pUzWlouSNk
|
||||
u0sTwYoTmjEoz+rUbPnbatWiJ75hIBRgaz8AFLfI85Xuwhrnq3RB85Zq6XjhT7LJbZOfteuGCR6w
|
||||
TsM7xbbEhtu5lIN5c5Fr4pMwdsEm3Mi3cTTg6+JQ+3yHj3v2dH3Lpe5St0Lbh+WKubpuEZABmhR+
|
||||
Q2sttqwsSyyanqE67XS0WJiZxVTSNN+Me02h5fRpNktD5i8MEyrEfCa54GtDBLZtaqW8hx6W6ARO
|
||||
4M87FhvDteQxVKKgGvmN0rU3wYH9KMEjdZshIR4lEiKRN/eqH2owCl/zZ9OHBQPnUwyqukz7MlbE
|
||||
gYbFyOq202zBfOiSiMX6LDwqgsQ8xUltovYWoiLl7dzCvkt8bcvYoF9BxwEFLE1GSWPvMD2qtnaO
|
||||
ekcRZGxR2ivzSEYny4KLOrDgpEugOxhf9CpLuxBGd022oVyFHY8gJ1F8fYAJ7A6nHP5gMoC/6+2E
|
||||
Z94tgwhrCSMLbZZx39k2y3LkyEMV0i3GEvMFctiKJf6khVvP5Nn8hosz61eGWmVi6varbGwJsqGZ
|
||||
y4glF+vQJr9h8ZM1fpBLPbrdMwIWiVdggLaIKKFJ7nm1Yc+1/4Kx8cwMBvpTL1nPIfwOoNd/3DNf
|
||||
yw6/yCNJ5v/ssFngB/T9hQaRVGUxKGqIk1AYYQ33wHqqxAd91lTC+ztqbe5QKmTtyDJguCn0g2MZ
|
||||
HKZwUlRjnTMhGqNpQnKhD2O+tD5dsQnwZ1toqLovRDtaOKEk3/QU7BOTNEp91OFqcmh4I5tbvsor
|
||||
3n3Ny/j4DghYlPS7lEJEE2QeW23YY/SMqYkhlrLMghAsHemaPA4vjDkm6MhTctRXZ1lXiJ0kXzMl
|
||||
dC9eIl0XEmfn/TvJzoW8rxQHHKXwl6kJvtF+CUwwgJiPahKNN6zbbQ94F1+K1CBmU3Ld2wdUd2sA
|
||||
jWXEG/zW4va8U4cYR2i0lNduHNGP1VnP0xUTClVG4wjINgt48ETxh5bFInGL1KhqZi7DpbzbXpv4
|
||||
k/GlkwI6yNAKnJs6OJs+X+D/FZaGdI86S2juLmJkvHaQjjsLVKtXfK/pO6nGEsvQEajJN1nVX3qR
|
||||
0OEQoStaz3rb5fcbHP6nXp214v2vmJJuNvedlLMPHFOC78ZEguggt6UuosLOdORkGvweCjkiybgy
|
||||
yOOAnarHOgO01EnOwJDslBX7FekNBXeXrcDgoQBvfqfLnUcPQFvNxMEN20InVDsjrKy1RRe+nVe5
|
||||
N5xhp7g3ZzO0Mc9SlbbduoCR06WcImzxLKoCWmvgboE9U3Gctfmpn5r6akRKUIXbDs3imNSvvRUd
|
||||
hl0XG+KohcTQ9cUOTQhDWkIOfuL3rmKe+4DEohCWenKPceZ9TsGVrCToN6WT6jh2agC65pyWQg+f
|
||||
wyQg8yiNCmrodXy+0EVjm0s5mU2EiobxsWfqPOeOUsoQr4zjX+dmsz6bRaXxNjBWW8ea+AL2XIq1
|
||||
F7IFSYndLJDHNTbSp7ayrWvlktmKPCn3ZYT/cKgw4qs6DU8PYAsKCaYTNb6HQS8vZiVwhhlCulzG
|
||||
iOI8/rpbgDTG6JeXD3+2tZizao361xGIWIEvCTamEzEa5AkgTdwZUmwNsmPYXTpcfUS8/r3tL6MP
|
||||
EBA3H/UW4KJEBPv6joPF9+6AdgSORdY4dy3z6GK1cI6L8v5ZrdmCsnqH/Qg/Vp7ASFKFnfYEB966
|
||||
T2n1XZkwk7ay6McED614zg/VCKlI8ZqUcIgM6PhllTM/q9OGyedhb8ye5Usa8AEREAkdthsvu/jQ
|
||||
0TKO7gKzczRujbrTQPIj+WS9GX5d1jV+ZgPlrRlSvH32YnH8zQWnCrVpo/3lrQrbxjYwVqrqmfEQ
|
||||
tSS8GuMycFA7Ds/HfSw+YIro/VlUdtJi2777N55J/d/8PXvkfhTrwzwo1Ub85yGmzrdGr4glGFDS
|
||||
XQOi6CSMqYMrTG3KgsjZEL5o8Ybo4LWkYcACdx4o8mbvHE7vNTlKymnJ2Fro46t5n7mYzJ+YXKe+
|
||||
onl8y/jHKH5R4oDzuPWDEeYI4IbpPfHl+9nLZ+FrCGtdMNtmpTMELfqCx0E5mJwHzVSVYhHyAfao
|
||||
CjMBp7P6Xt4E/zGNxPvXzg8UX5QIfzMJd83a0+OujOhxZHXL3xmZfmJewJmUbeGeB8qifa3e70Vh
|
||||
4Fc0AMBaiuV2IXSEwvqePqocceF6tRRc4jCkoNles8CsVRlnxdC9TAMw41eZouMkQdREy4IND6gT
|
||||
jWKBpfA/Xe2f8BfChjubVI+tcwkfcjhY2pMAxN3cTy1O6nrWvHSsn+TIwOvzNpQ+K2CPj1X3D4Yv
|
||||
YwmWoGVW3wapFOidLZ7j8cBs2VF7HaCHxUCGJ3j25HS6nQOUzq+eyCFY5Pr+/EUvWNVVTo/R9bMT
|
||||
9p02jVMJhiYo3Zz0oX8xvy/q8D1CbqSNEg4K6Jbu5sIoCsp166fa5kagQrP5JmUSZxtghZDssvOx
|
||||
CnBwL5+WBtfPxkVrij51GYQ2Yypnr2OEPYpaFPagfA/G3V++8dFn37+92e42yQFbaoWHXyWvMED2
|
||||
TEOAAu5AcZWRGkeKlxvn/mAxkuOpjtQgtvmX43soBtceUeJeK4PO8kcf9Cku9xctacGB0ojTLYB8
|
||||
RkKtOpLJn6iiTyaSbsJTUzWkM1ohNUdQ0lTeWus4dbzisnLSdjl8f3JMj+k3G1GBHYt/AouZMIOq
|
||||
QQX6f9pg/aPiISpD8nwQhpYdk6UCU3kkhNCHf9sF3xWU7uxh2J3wGfkjwMUazEEADGck4yDctqZE
|
||||
7Dlh7jehZ0IYEEV0HDbn4jvgrvcuDjIpr7IU2oow5Vip53wTu2OTSOKfXqLUL8LhPY2ns7XpMpC8
|
||||
CE6tfucHy+K7DS4GDNiQMCbTqPoNqr9AZeihwf5FU25USwjzmueI+lM6im9mZAnhuGjveo2MgzoF
|
||||
eGJiuUZhUVOiWjuVNTurkYkt4FXFuu7mX+JhzwusBVX19jEy4NBuKGzu28Q/6TFhaiYv4zSr5MCg
|
||||
U+2x3wBRTifiyefnsfFUwiKYS25HMqxINkR+wuPkNJ6kw5ZueyCMHN0C87tpco2FLJYdwmIk5nRO
|
||||
0tc9923GVVTQbKxyhTbUA4c5rj/0vsVUv1RyCJzk1jPfbWAkOadXGiBTZ45YN1CxmOYcL0Mit9rq
|
||||
OIHGESKcsYEho5jQ+1g9FNIrm7r1KVdzJSv4qgR0rpCl2v/sVDJpKS/mG2HfyMXQVjFCr769wE20
|
||||
CV/Rv7EnPFmGRaCDpbMUdk5Jjlkl1wCXX3fR/M4mt64bSOi29V5q5IxD/h0cGjxJalJo4iltjelu
|
||||
L7HXZb9gt4j2oACLczgLL1d/+HhzEjM4f42zeOl+Iq6ixkcHhZD91HuJSjY7X26kPuhZSBtAn7PL
|
||||
TpVVZLzR8KnlOvOg+SW/zuWHjUER0evVchcAj3QkK2tvm13aV4FClK8FfrBpSkbFNxo2Vy2DBjZW
|
||||
I6iykiXPaFSf2txLpE3MZAQZ1gBjKF2gY7ba7uFLYse/rFBlo7jgMhr2NlmaSW/g+XenYOCWK00G
|
||||
7iKkLOXHa8w+lfmTyCqPoKAuT1q+GRx8P9Yz4vUBsS9sXmm8E4IbZDz5Lufl4d7eCIn2IB4Xdi1v
|
||||
O+VS7Mo/vvageNQdGNgeo/YtAJ4xYLDFnvr1ayQAmBSfg1If7vEfyah4trUh952o1d8fX76fURaY
|
||||
uVdvi5E3SllSAyzcIdSEu1etuvVSjJWwh5NrtPpMckX6QjZkQxLo6bevJF0X0YE1IErAs4mDVEb4
|
||||
d6pa+1OdYhonq1RP4FugOFu4q+b4RLET7IwHs9xO12TN6RzlY0mSN9I7W/bruygV0uuuDXHSVmTw
|
||||
swMWfwOP42eS+ajqREIhFwDcE6Y/2r3wYMUkUaTGhO9+MVxs7g4sqhr4uf+Gft0OamJugthN5Uux
|
||||
GGr/5lZvCRMRg6ZmYuSIylNcvWWGoQvNRebkyu4svF8qtGBgiQ8/NLFeIy6Zx4+2VdCVfXOD7fTU
|
||||
4TCoISBdQBkeYFxS5ioEWNN1xxnGYCe1suaYAB30gCZWi/Ll/kEmqr+atEo0xykONOC2ajUbZ4CG
|
||||
qGqKxBDhTx7xkMOpdC6QMyut7VU1tUlTcK7NwN4rIMuI63aXpjlsfn3ksCCA30iy36a3FNbVbSll
|
||||
E5Q4gzzUZfVgERGWkTeei7KL5+zghq0LiQUBVkCsuOveBc0TeqiZprv4NM1DQDH1JRGCvLhIRiwV
|
||||
jSkYhx2mYv8575ge3Lr7nkXzlxwUhM+D1Gu7NRIoGkiR83maqv7lfPUbm9B0U+qfGyqtC4e5XTDQ
|
||||
I1nMQTSUTsDcO/CuATI4IX5hRgySMA8+gFJsexxQTeJG0OY3ghn34ZtoLCHAvgM1R2Z8/1y82x9e
|
||||
3xOY9MyszQce4j+HW6Zc6/dfFb8DBuof52IZ0p7T/hamuG1D9IaY8F33+vJJALEbrI6SLQ6KAwCo
|
||||
WE/NxhqSTrhQ9LXElqohljNOSYJvuRBgtERcy6rS+nl9ffiqo+SJFHVzn5V1i/Wj6R0UeqFd2SCb
|
||||
lc3H22mB2VUs+pujxQq54rlfk3CGqv7/65gzucgvbTs5m5aZCO1BIwM6uODFacQPrFSTa7zKAG3X
|
||||
S2OJuP3UYQ3VxE3FgwgvFAh4lE9XDPGT/YRogl53jSgOnK2YKqUbDia96GrwUpsjkd6ZgysALC5Y
|
||||
f10Fc7rC9atL+zIWoh6oegTj7eat2Kv5TL67KAiNoLqPVdoXMqBMWKK/+hWkwt6wllCVBbTEqqsC
|
||||
VBwo0Vt8d6CT0VHLjRhrx8VNg3OFlg1wU2ZOBSW+DsTB6P3Fw3lxpjrk/zvHZ4++zJ0n3TAso6of
|
||||
ggP7ufl1yr5+/z0tcUIv55pOyuSm0cPdqkEWE5FdJEq1HCAIVhDJPI2i3i+3/Z9eY3T0N9u/eKsT
|
||||
EOTTJB3V6Ahr/H4td+JIhKYfF7iiICvtvsuAle0GbFC77mqv1o98CFfbVlxPy3NrRbE1kQeAS+oG
|
||||
An6Pm9Gwm5Nhu0AIPzV5pGede1oiJUWOsZfpgHUy1czUhQwkLXFRahbtnNMPT+7+NV2t5xlCdqQb
|
||||
8P/DJE6M03gdPIZRGqSEan21qTZ6C4bC0RSZhFYvEoAM5XEa1GxHd2DwvNsCEkNr1zQnE04A/YRG
|
||||
XYh2JZJNO7bb5ZoQ/9sTCu3JtASR39hZbj5pAsRYWfomgSoOQXdREPGiftG0Mk7pEN5wMJRwNRGz
|
||||
fywDQMcDgdGIZpj3RB6iCqhOSEe2Vf2IPhmJV90L+2z8n19VvUDd5euvpyFu47uAfAxqR+gDWG1j
|
||||
9lxiC/IuCskTyr/0PnpTDX7TUhltQk35NHcCN5zF/LLu2K3MZFxCb3VgusV/qq97eeopeS+KDp6w
|
||||
3z86oVFddROFZq3POxM8DmWC045vwTY3KSscQxC4XoQJFPG5MztsfuWMGWe/1qiffVJZeV6VaQIt
|
||||
yyUhbnHMMK7noq8zgiDQ6iXmqCWSHDTu/bypj0+kuusire76I6Eq0t/gbE8ichDLTgGgSt0oMJNK
|
||||
nOaxlwPOqs/C+ari+Zk22tnXCnie4/88EJLk1BoeKvD+Ilc9efJNN9nzZYFHjwMRD3yISQiC5kcf
|
||||
TaeQKskwfArak3CYKbD/o5iIgU80GEeCAyQVqH3hMQU6a27ug6lONN5y7VrsGlqgpSmAuL8mY6hg
|
||||
UAoIVqFCsUb6O/uial8V0yzJHD1cqUMbzEXGc2BOotp5au4f7P3boYyr3Zx0XgmwgIakZ1XQgHoC
|
||||
hbe+O3wvVEoCJgL/Sq05uH0g7ESzpdGRFpKOBh2RhwC+zyRyu6x/67A2VaUgctH37J5xYQBpY3/D
|
||||
yXzmkTlNIfxn0zzAC9Htg4hSIEJUoL2L2JnZwgkuIUIZMSylvtw6nnOrlfedCOki5vnB2guge8+W
|
||||
lZMZH2+CnuJfN1o3hRhoeWeS7ue4IzEubk7HwroKkfrkMgapiomUrTAUqdtpjd6jkc6Dw91qfcRp
|
||||
rvOxSX1WXF6dOBxX4BOXe4W1fqWKBhWA2ofYXPWtxhAjdMpSbEB0wiaJ4YnhoahHOaaGUL6NQQSY
|
||||
PUN6HqWwp8tUEdN7hOvtonh2UNrjWWG8VkViYB+4oW4Q9OPdm4xQ2a70s5koM2y4ZEKa5kek0McK
|
||||
3BwYaUU1y2u7c0u1nAvCS/zILoWR03XVH0RmXLttlpquAmc3QQZ2nkK32BPzsRNsDEHNB5QEE88U
|
||||
F5CT93vO4zeJf4GyVSauwR2AOuXyQciXEVxkWBDAElraCyEptL9rEnwHRfO3L4n8A6osCvJ8vQxq
|
||||
2hIhOo6rtNgrJxiSlJbZji79jmR0WcLxe5wmOpVG3WLfURBXEUqaW6R6dgmeSucQ1qtBQv0W2n+0
|
||||
ok80P6LVgkhbu81/qJk31dGtmGK4xplrBlCrpyAlEIestBL5BBPCDd95YeUFKXtW+PBQ0qMTpBGF
|
||||
BQzVg6G3fgZ+wUJ/wGl/enw6cIIiZMTvtr24tf8+NlpaxTWNYqnPzGKANexYzUlrvC2s1RVWefFF
|
||||
OSmbgE+E+M17KUZP3pKmCHjGM45DzCTypGy3w42umf9BsIrfv0p8ItaIx6XUyUCb6VoxIE37+HZh
|
||||
ZX3n2w0X1IdBOw/F+F5ulf3RSq9tX7ZD/J+5dWfS4nQMeK9qsdnUZqAVSF/uHCCN4lMwZUEGArcN
|
||||
u7B0tIJ8YQ3eTHj0ytQpKOHOcBSK1L9MfPnOQB75eCBb4M8hjdzm94kRGTT61Iw7+cnWgy3athuJ
|
||||
gAoaMlcg3IsyiT9YObEbkiZBTW/Ag5Sz1SEo5LpqpIVnb2xGXyJV17F1b6shUvYVpzALgD21WUC2
|
||||
a3RCzK2+jGeci7qZQg1Qld2ja5pKUkjRSRA4rec3h+ggyMlGtXfob+yTsArY6dpq8iqqUhRy1/da
|
||||
JId2+Q0cSwqj6AidSDizOPEMQVFCwiQlio82H6BoghbfcPMJSV00bHbfyJQYffT760TzjY269eTq
|
||||
zYt224ofk/ZQSlaK0DnbXBBEhJ+t2wa/ZsW+1n7Ks6hTKF8QJEhQTqfM6HBKAaJzPlBw3V9DsfwE
|
||||
EPBOOL1X0mHiffw7zhe5LlScpL18l4ZJKJ1Ui+1kUXOOmV5UG6tVTm67gpKmhmx0SEbU6t+BB8xK
|
||||
VufjviC4QnqfJsMAJLLi1ze4Q/sfDXcGB5K3Sg1sA3GVF1u2jZNeYRVITgqorLkAGknTDGW42zVv
|
||||
SlFuZGjBDqEUuFbY9y0WE/Obj9uYJYooso2MhQCXcS3WQVQMmsx0ru+QvcZ3bcASZrsB8BeaAwZ/
|
||||
DdUGio0ax0GuZLdfPzzGLIv7ECuIs7lPsNSwhOdQIumKbBBzglQTBsYirUzZbLWLzP4fAV2SQJcz
|
||||
47Qn8Y2n3E767Qelrb+fBW/tEMkLNXUJDZ/rwQj9vWLsgqsUaMTpBN3CkcMol39hIW723qnpbFdT
|
||||
jcfssMK0tNT6XKAnk3GqV88pnLQ0ACxthQrhVPz76ohnbVFGAwGqLKjM8R3wbLThSgOFKOp2UbI/
|
||||
q7DQnhgJAfixDyIf0UhJfkYPDWs/CiHSxwMDr6R/7TLf8pYH+n4hi25JsUNgQNlaSEUJjw1bgU2D
|
||||
VaOw+Z0ntZifuPyOE5KCbREMlfdDw9fg8OjR4Z/yVKL6hywIsv5OxUjYfAzvQhs+Und4AGyUhEki
|
||||
mjUOxyAmD2Rtob77Wgl86LSVSJmd+MWGBl/nXEFBsQ+8JYFma/255MpDCCWlkQj5YSMyg/i3o0JY
|
||||
DMcAX20k9EzsS/Um/BpCcjakzdBBOMRjKhCsAi17OEsdvkvjomyaL5Z0F+DIdi4CCq0g6MQ6QRWn
|
||||
fAhMT8dQBvklhjVN1Q1FeD8L9LesibydZmo+UqwoF2kWIkO9pRl1uHdKY5XjNMrqjc3yTZ0uBKwy
|
||||
Sn2rcjJAeIJHf16dMAn44Vk1nU8krBQaiLFrTWgB8pJCT/I+lSpkpogTy8nZvXaI/cejVblwjrxK
|
||||
3aTCgFYUpOk3mjuPcXC0zPyqGIFKQm4oBqdhdg8/f6uE7xc4NYd+mK5KSO09MdisdsEqgUdCDiMh
|
||||
bzN2Glq7Bcx7xubbtmhQy2z4PEGHha79mERpZ0pw9KEpD1u9xBmI/YC28SUB5b+BhFjdh3EBPrGA
|
||||
/qzNEEADnBjyELpizMIBB+39EHB5xicrvkOF+kmAtrpGeYWk9782KEGOIL5jmhLvoDxaHvepaAO3
|
||||
d86HkTMhT8jzSyEfwiWz5ymqPyN7L1cWIBNz4bXx2a1nufv20K3Em55AtIiGIQZjUGieNz9M9cvX
|
||||
d82erDNUCEbpD+bKB6E87c1hhKN7p03FdPORDWDd+FLhHZ2ZahrozM38agoUg+o7ZRKupMIrAkNl
|
||||
lJEz0mDyenYsEBLit7Q813t2IymoafM72h5xsi3Af9z/6+cgxLx1k9ycz6qwAGIMcprTybWESicK
|
||||
XispJNP9O0+cFWNepaaL9D9frwpbWKPEtaRoIQ5XCHixzDjAs39ewoUJvSoDbfefww1+ulWzwdDV
|
||||
8DBfFKuvZqB2U+yrzszYjXUDP7r9+ZPv0DPLTg8nkgx1JreRMCOL6Wjg/Co59l9vNDnrmiZFmnrh
|
||||
rx6r3nSrIHZeNkrGRoACwv9j+ODpS1ULsSkbNP+/DSfy2hfEBftIv0C2Vi2TUjxL7aI72mNPoGmw
|
||||
krxvorLVAT0j0XRMsJmDoliXmn/9Oi2YV0M+1sHVuqVNMw/JA3N10y6JYrSbZzMAm6EdXUR4q9wb
|
||||
ZuHRWe2deCZ/2hO/O8qurve6kDZKKhfksJIEz+S2fEP7/i5cagi/fQOf2juRtciFeoiOOTtSy67v
|
||||
RpOlHjlbSo3VimtsTd5W2RMVjYytX4RK8Cn4XRKcTe3ZvioI7j9ECTENfFZgtzhbZCbmFPSISd6D
|
||||
XTciRkU/lV+zqVfMBbz7xF6ntJ7FFnjUoW01ZHjTmAhnSbUO7EUsGOEIbFCCtTkwnA50qriC1Enf
|
||||
X3NRW0vBbYwK/YEcZYTkyFrVwSmiRMYhNBTqosCxm3M0GFSkb+qCuQ2b5sX1RE007KGW87CX7Lor
|
||||
EDXW0XVzZWgIB0HGZg9QBDFQPQKAi8RQj3g7DP896fsU0k4MYcCZIyNYmMgTRH+n0jOlOTtVGaQg
|
||||
jJ2Od0FuC5VMm6c8YRRadUs3exMJB7KH3dmpMnMpfTwAAXUPL3d4pV2L3RoaMtGUNuwQNpu1G6e6
|
||||
ZkfOtrjlPvxOYRpPv5o/+O2/cIvvF3Qf4/b8EN8QW5QR/5925J84YfJmOb23mDdUXLiyE4l3GISp
|
||||
csFmr6PlnZKAqFYajxg0Xw5k93irEr8CApuDh2qV/hrUMpVnmDXEIZyIPmWo9N+faXNTYGpriWTP
|
||||
06i5s64t6BQ5+qDxlIHSsHmJJaMO7n7bgpy7dgkkyOANEVr2xiY035qNxd4kdyNky9wRO+yAXPmj
|
||||
Eog9BEdeG11xazJrgOEQLdf3xL7dH03B+kepAAOW1FkBDp2qHhjyJFS6tFEGfzdHKEG9QiQht8qH
|
||||
LgdtS7rTFZWYgw76+G/UlkeBoy2jO/jl5OVFEaW2qf1NE2nOOybYZOj7IcioZQZIRBOehaFlGEkk
|
||||
I1ZxyzkODs8hQvtU/Vae5jbGCC2olElzP4FOQ12erx+XSCR8Uk3A742ulxYf6rAjjPagSCQ2+b5Z
|
||||
kZyzRzIwZm3F/EQ1LqVQUZX4CbuYgzkXFq9i9YLBZm95LRvijPJmVL0b2tzDBgUzaeG4P4Rf0yxX
|
||||
lJsk93ler4H7wVBSHUTgTyHVSUIm2CofL/u6MyL/SL4oxSiCbwWGQm/17sPSIH6zye9vLKynmZgi
|
||||
DYz/Lr2WovdOy+ZuiykejzgRQM8n7/fj4awVJ9izGgnZUa1HnloXqJDnOe81AxQXTweuTOxdf8JB
|
||||
CFA3GsbuEa9d1eRUPRv39G+f+eA/SViEe8DQZn89FWKXYukfSc4cF+/YjHRjYXr5/KgyOym+siEi
|
||||
dGHd71XF54hZKLnv4Jd3WsNsGwYAbYD2mCdEYnHReptmwAPrkqQ4jDzKTtYzONdtu0txclV7xj37
|
||||
ags3XeffL13yu/PNNVdDOTZ9jduuazc0Nr3WxPblw8XudqyUyZna6CNYUad+UfjznxvAwSxbBKWZ
|
||||
9X1+1MgxK3yH0hcTMfaJj9IJXpaO6CM/EacwG7OH8Wz/Dr5JuXnZFXR8Ma1H/eDQaCMS5qD13/wz
|
||||
gmEYqHOkIVOARmpX3f5NqGH32J9XjBj91rX5GFDK2u0mMFsuAiNfwS/x70GNNkuOkL1HBROrqS3j
|
||||
MvS0cnQ5ZryIMlYk2duEu9NW7lsxSQ9/iRijy0NJlKDH4s84PzlYfI4Bszqqf3yVqleEZfFzNtdD
|
||||
n/fks2mui8y3ItNeLBBxUaIdejU65I4ea+3I/+eUaQ2u60SK6fgki0CAswS7E2q91Ncfm/f/rzv4
|
||||
MwEAbEsOreGcdk/Iv5zoy8j4WxdBoLxWNyT2+wJwxCraL9x+KVUBdHAMpWL9dVIOgBMpULbNolMT
|
||||
YHUPLmjLtcn4LdtBm5KoO2vLsfEqkMIKV82APzkb/9e3CBmpffo5nlvwA49CJVLvKoKuhJn6V54w
|
||||
ha1t364erqwfTVeclx4Jlu6EZl2pxQVPVd3ihmN4TcKg9gqs8PDa9sQRBmfqcjsE6IBRQGz/gphx
|
||||
1pG4oMc4I68UBosP43nSDSNF/nxGiWOaX+1cQsU0e7fFrrsPo/YmUOGM6NSxcWi2ZShL3/rVjVhl
|
||||
zuxzogWvCZsiLCbfM3Ak8YXet4In8bfl4pYJffBnDTW8aBPkXDaw6PTuv0ivRH5Im5s6NDo+UP87
|
||||
96CVtbRV8P+dXIBOSIG3SLrpHbhC/tXs8P7KWoTtzQwRer+7pOd7B9ve2RFCcVga7N4u+PnesQsh
|
||||
AUsGm5zGVKIqe8l6EPznfkFJURhRDIf2DbzN+2YPq0bAIm1pYhjDzcj3mXrNC9gEJG8AM7xE7BuM
|
||||
kYbVwZlLM+hHQenQkjD4ePdSsfXvmTTPV+K3jJBCcp0Topp0S9Lywsqb2xFBZM02Uvv9NkRDCxUu
|
||||
wgUjPToWhMPtLrCUm0gALVhGR1uSbkb7aHzqb6ZqVJqbt7qXcdow7fJadixQQ3eC7rzFsiJrBHAE
|
||||
MjGtVA+zw+cRNtYC49o31SP3RtoFent8S8EyHVWSRwQgRr9179tXdAQjhHbeLM/5XnN4FuILqZz+
|
||||
Dw6BIc+796EDtImUYIdSrE0YAZkDyNExDjr00Hwf/KQhDL6WI9Z9sUW4+B6UFyoSuPZGa4sSa2no
|
||||
esGIkMHhxAMLrGb0FURWhRaGIKBbTjF7/XnERbvW4r2lpN5HKw9Fry3p6XGhqdDuba4p8HXoJlGS
|
||||
4aUPHI0ZtFm84doR/nPwdDadkHqxov8c+xv6zfCNS4SaWLn+H/FdWX4gmHrMYiD3yQf7xjGAU3vU
|
||||
lelFreFeDfhZUoPDq7V8h5PnttBcIrPnixqHGhucbilfteyn5fxYdv9HSNH7jWM2ytNGxJ57LB/S
|
||||
YpGp+ln1u/qQtPg60SITQH6UHjXmqxZqJhB7uR0TbWJx7uEivD0RkC8n5X4D676H1NxrPtugQIyO
|
||||
lEz3wa9o6uJiCaKiz74KtwDS2ItbzRjiC5U50hZ7+SxMrOE/MCONL8WwDRCXFsAfHrXa1o68EIVv
|
||||
vPYrnlKRb4H3Su55m5k9/hVfuRHFZCHcDExiS1j8aFsFfNF15Hqj4UpxnqTulQHXNvZefLXl589P
|
||||
+8xZSfZ0Ru7xHPpOdNBv/37Nz0VYDb2U+lf6XyKLshwwe6IpgS31P0n3bDaiddxrsYLEAufhTC9e
|
||||
zWYPLfV+P5pFvDElSd4Xp9O3Hrye8vstL5a4ByTQv84XkTD3uLtv9e4Wr1q3bq5e7f/6JQJVaQ+m
|
||||
LSytxjsCqN5XasgqZRUqiN+YZnPT9lngLP6a83VdWUN73psp6ojYHTfm8v+C3Z4w9vyfQg84sbLv
|
||||
pvusa2uBR9UAxdN8qBbYmft+HqIVXZTLsRWziwIq4ViWUyCerDgPeoUflocJ7q7NqepHlvUP85ix
|
||||
tDpM3HF6KJwxJPF4IEZZTWLP4x7vIs53d5ZfBGj0jUXNBnNQ52bguFBHnThp84MSpLwZveAuJ1h2
|
||||
eTshAViqLpw4dOaqj5zl0IHOO67QztWCo4sSntaEtxByPJXh1OsisM83ZUbU9RI7a/YEHAjhC65r
|
||||
LHCEzSMo8RGtTsGeJNxd09Pg35EllgK1eDb3jtmCWsob561brDUWI/GsjmHKgvIwrvV52f7xW40l
|
||||
t7KZ1vjyyKngm56Pd1oDXzlr5KllaCAxh5Fg3iNuPCcnrZAvrgqBP4FPRJ9cy736B09nkHBOPQ+8
|
||||
VylkC2l6rYhub5XCS5NCaWSeuV53TwPg92HxZEwUtGTx7C1sFLogSdNPYt8KCtonq2Z4Q1dt3OWD
|
||||
qTB0/oI9KPnCNHv1em8UUgeuFeyPmlT6/V4C0a3jEqkWe3bULI9LOBmgkQnYvx+mAN9KZHsXHQTG
|
||||
LZ85os04OYeFLajTdhJvCIXTKYzNmv6Zylww6ubEq1JIgF2Sk+G6QyNtlqWbnNj+XDgjHZAER2C2
|
||||
4dSdrlu9EEbs53mjX1WlT+hW0Ky7LN3BGeF9kDaQFRo26dqETCXzvXXpsHqfEoOaL2yI2u1dIPG3
|
||||
VPrIMibqWu8cxnq1JduxjWH9I8XLyk1YbIGs5ofScStMQg3/kpoFBz9Ne5JiLB6NF99KPfo4XWe8
|
||||
xVxYwDa6breyYZTePSizv6vSglZJY39Q1GmTinUXlWPdP2GCUJSFEaj/gOaVt3cDwGWTBTmnqEcU
|
||||
oYwm3pQMl9hj7K9j5lcEo4VHfi434bOREkkORyj/I9GwYmT+9bchU215uFutKoOA2GdIsuTivoAn
|
||||
2DHvY4yU1mk9M2f5aADxzgNftye4dIH2LB1cMsAfqiuVYUiTUE6NmAHK9EGC+OnllYN6iHULUJ5J
|
||||
zk+fd2Q/76QJBsFlNbcUHXgzuDckYSK014nEuwDfPh1VHEGDdKOsQc0ObkaIVKaNKOlaT2NoaBRS
|
||||
Cj0/Pzn/PHVqvPDBIql5AoQeBLebNZbP34iqx8SWDk5Xt5fJdOj9ejVSYaMQdFM7R9xLJcW9FPnY
|
||||
X0Y+1Rfr/C228rSsIDPnVtFi//+Sanbl+3kui9snlQSw0/NSHOCgAAR9deBTGvpKAV9a16bCIxcg
|
||||
041Fl3h8hMnQN7XC4ycBQxy43xe0Xzui3sKKvySWjGnvSrB6423mOUYSQkRSQMCGRKbcunQ0WO7O
|
||||
sfty308Gr+XrNkeS9bppFJiVGAm/QSDjglQP9GO1ajN0PJdWg5Rc7lffgDyBPr6V2vqzed7crGb0
|
||||
NlfT4P5m89ZGDh8EpgYE6pmzVH85vEt2oeI9csjERxF+yjQp+MEGUbE3PB2S/8CYyX0vG1fTxHsD
|
||||
qbd/TSbEscp8DbTmQ7YLWucD84KGl8RMqTHrV0Y5nIqHT12dCpOBKwqC6J9xXsLi6+KNcEpS0Q+a
|
||||
juLWkKJ+vDSxQOruxMotQGhSadRhd8A0F4iDsxg0XfF2E/rp+pfenXIZ3ZlZQHxOfZ+iRDAbwGi8
|
||||
119P9bruMJQ8LNINhvVuZp4aRCyEKbEdeQ/J7GkHMHT7NLGaN/2j+sgGY91LTHsngiGfGN+3JrL5
|
||||
88aXoKqpQxgT5i5ikx2UPpoyMRB7odld3Vrur8FYLvtdq0Ad4L0Ll3yvLfMwP1PSqmRTL0yQXDn1
|
||||
1qAGLZKWCaS1WFew5LYFDRB0VYZg/pjlDiMrqufkXsdjxKnCasc4XZheMTatQknhsTaEpZBxaWmM
|
||||
Z325JopNVSW+Msr3rOvDWRMixakkNwnLi3/KwdpFR3ubywF3c46sPZpwQ6+ZOnSmQrAzZmDmFjTJ
|
||||
16aQk83i3XX2VPJIIHGx6iZj8bLMOk2PeOwP1Tlg+30uXNE9sawoOy+H1jazhSCmva1xmZvxNO+2
|
||||
+EnJuQ4XSZgldp6t3uhAHM9zUh2o/IbtZz8Euo/ustS673iJOjcmdn/0pVnC8fNbNS1Lkya2Oxw1
|
||||
caUdSXX7TeAAqSUlxY9zKQzpDsYiSPKrliDVISSH10+awPJP8xeDGxEeO7IU2/5srJqZD75IjxYR
|
||||
XUuOF1J4Fh4TCj2solmT2OmzjGb5m5+GyUolIUu85TFdNm2ZFmrHL/L/KiVSm1+kGvU1m6dVDJTJ
|
||||
/aemyD3DQJeZo1RezzCoCw94FU0eAJ3Xhq59Xycr6K6KnLgfrlfy67WwUC5NxVAuE/ILkfYCRBTe
|
||||
0E4QbngaTH+0xUHR/1akJnTHl4X9Umq4UTr/+uhDl2D3xaPdo6oia5xyPGJlWfA2IW3RHggQ/+pb
|
||||
tFXnemrMLw3ZbUXzUTnuNMvAuOlV0oWbbocwN++3mOS5WP6Nl1cQ7sGYufwA+BRitIf3AGbFRAnH
|
||||
+BXbBU27FLqNANHqQiSrKowkaVc41joGKV+kLUDQfLzjUzz5X4dDZAxPSolelWHPOH47rZ+KtpBn
|
||||
+5xP2tmvfa3XO+yuSrEDOsMlTGhyW41ufFCS35NfpLQQ2cy8Tdzyk6OLDW8ORuQx7fuOausGFvp+
|
||||
1SVL5o85SMIXsrWsHMOG6v8btqbeSC3gsTNfopglsDaAJp5nKuWMvK8OEeLdmLzRmn+5xMK21M38
|
||||
fL5eTghMiKQf3TOukolJVwliRBScFVLmVOOZYOcEgcMdK0nTwvxekaFS5uq+IJaGXPtKv5lf49vm
|
||||
o+5vlDl91NE8iiY/k0OT6fqipMThIFurIlT4P1BVNOdj58iBBr7U/uI7hcC21cr7fHgaFsD5rw9a
|
||||
nYL8Zp8J19d+1vxrulJOirSf0iq1wPvtxZPZtrIhivKkGr25xBk/rI6ZegsRBo5usHg7WDzLi6E9
|
||||
Lfb+zj6ndKlc4s5dnd5YI5c07yuqKb/K1nDGWBXBVHwnsz97xPXwu7xjJc1j6O9qeYslyptz68fu
|
||||
mosTz241vWvyLi6V4IeUmUeRSOQt0C5kcujFAQAxJySWswqfsMJ8WQbbLN4+WUa6qIxioQ8BpzJ7
|
||||
MEsBOYmjY6ZU7O53GH4yedD1FWUjiKEE70JNAsOtrI3eUsr8AvH4zAANLl53CPWi5vS8DjJQBVO7
|
||||
7fOxovhI5vmMfnVZUWrSEaBTI7D2InumKGcd1V3IJi48HneDzk12AZBABRPfvtQ7rqkGo6xwiA2Q
|
||||
v0png4RGkQ+Hikh71LG7z3B6eTMx4/U4xUC/r+fF2kOMHTrxs8X2MAJoraa0z8cXakc/p5V5XBSn
|
||||
+nZIHsSPQVxYZDr1L9/H+CIHdvih5AdBRnAUFLWmdn5a2H9kjdh93mzS0oGiZiyrzoFMBZsAf915
|
||||
wbJPTwdfzSWoFHF+jTGgb4g0XQy9+rpvsE1asQogCqLzimi+n3yinlVUvScq0tWKbDf4bG6yjpSp
|
||||
OHWeLLvaJLqNhcqIjeWC1AqaeeRpEH0elA1sTPfDrHCdC1QqpSrXfkm1yVU4xkarOZqRCfho2yTa
|
||||
wfBKspT4s/y0ZCXTKRVFrALyXRT4k5Q64lnYRpfoKzCguLJGbdSEGZDJjoNRgFEnoh+8sKlBn2Te
|
||||
tUp1pSnWVT0kjCQkto0i2bw1OCOu0+toatzrvNoK1CBD19jY5sIz0J0ghFZLuou/PkVs1ZyNHoIV
|
||||
IIr/ZrRcqwsPtchgd/nhZeSMpjS2bHmR+N8+KZY6PTTDZvgNAQmJozzDg8FnOM82KesHrKwvqgC3
|
||||
8T9Kc9WVh7jevPGZwV1n7ZZ1lWKHErIKe1kCd16lNKbL7g+2c1hi9LCSOJGmEJif8UZz2TxZgHwg
|
||||
efDXl9oo1q8xExmwkrgerQ42+iURGwUAB3qR/eHHJJ2hEEpOl48CYu2ytHhVJzu9g/IYHNuNPK73
|
||||
g9nfQRwGgxzYguvq2lzL4Nqp+VMu+tgJOq/QA983/yhsG5mlTYYUrKpGq6DSL/cWFVINnMuYFq2T
|
||||
8qgaC/e1uivHowGxzfInhCMV7tQnjtpJ722HdMsrLikYu9TVnBt/52jhDhUaSpfUHQqShlTzLvfT
|
||||
PzKTbjhutuf5QqW6zoCptIKGf9/Mx458BuPllNWtSrvi0IRRplzbrAFMDWDvYCz+3fMPt3kSp3CJ
|
||||
i/buKsrUp+tHFx1ZU5KtBPSo79E04sSz9iZdMgEGHaJPeGGFsesdOTucIBBdR4KCWjlJ+FAl0Mfd
|
||||
TIJ577W5kgSEDUbdADD21FicfGwKLCM3Qewr3DuQI9kpcsVcFUdMN2kQiMNr4CdzVmf32iFOtgMe
|
||||
M1RruqlGqgnksboOWtpQ8KH5ylhKHpd9dKk9ZUIrFVkVfwBvoC89VW4gP4tZPq7LULkJP01dqxv3
|
||||
2RZHCtZDGeZ63UKxSUrsU6FoDAO05Ms8nFxHjMHgzhcjYvbqKPgBy0jgYA/MJnjX6Gxym9woVmIw
|
||||
cmlxIo1PYO1BJ1JO/ykWzWCw7emyxggVIF/RU5SrXWZqYXIyqFLrfNk+dnEPfKkM5U27xbWS34J/
|
||||
kDEF0AzXgC6n06jylD+G/1mmn6kdw7frJQHHTOq1M8PazTrYS+M7ofBUqM2MHCkRQxnC3YgAStNo
|
||||
lRvNbZ02u/xUlQWb6sGyARguJBR+GoXsvFqGO81Gg04ZhlAi9TIy27qOLeW2RqK6fCDngO2jOUXp
|
||||
bI0cMDV36EwBw1qY+rme/l6zM3HF06Fe7wCZML9TapuvCdqAGP3MjYLPDtMcNUZanxTht5c9LKLw
|
||||
O+eheWRf4/CuAllQsX2EF06hnfbFqkcrXvI4rlCVZPsVt/hrQGv2tvBbCbDi9T0Wu6NWA2k6tsfs
|
||||
ps5UwbV+QwrCY/DKtzqVDmn2M1gmAFDJdOTkF9OFgBRP2IkZomide1opcUx8CrTfPGps2JrID7S4
|
||||
/DjPncXLFOAfZV/aLaHVpIs5mCDAJMGs6Qp6rKMUPnPebsTAf27rCdTzD1LG6logKajqsCGEXTm7
|
||||
gijZgGmffpHGy9BlYxIRs7SmdxmepVqC8UzNDY7VcwEcxksx1Ts3sDQS2tfxXZEhWi07gWzxc2yw
|
||||
foW31fhIw+iXb7c1+Z8IuQ0nadroLGEM2nr6Lo5+S7R1jPQUmp9PLEjCZjYVJ0GUjWSrlux8FuST
|
||||
xrNE1SupKc7mKSDs4uoQT0YQckttHzzZq6RgpE6+Y1Cg5KuN09D3mRRXpujP0/RobtMtbyymIeFm
|
||||
l2zZZmNjhPctgvIkojemixtVQm9iizc9m9D2c0WoSH3H3iq5dDL8lBWjoNE2zUQi10vK9nMYNc24
|
||||
LfRLd5SJTgIT6IM+iYMDXV7jr84SfOcvqE1xPH+I8V6e8PHsVHLeRKdLNEfe/AevZWlo2HzimDT4
|
||||
T5gZSiK/smV5C2YWe+Hyrib5unzQcTebTg1lXPtXhCfmnCDpo0wLcPYB5/hvq3hbEMcZSjlEt6at
|
||||
ZbwfUDkJi1JHXqMogf0IIVuLhJplvGpf237+o6JUEGvueM+v7vrxg1BnVXFfMfHd7WbrjQBADFP0
|
||||
M43hTX0Ik7Cn7ctr5QXrnHfreNfuU7wEOQRPTsX19oLB512K5LsQZrQVM0qYtWaALxPAHhgEKyGS
|
||||
k1c5tD4MWAIrogCS9VbpjjSg900hpASNpkmCLaROJrJwMbYx71APXuCqwr/W3aePmMHw07iPFApg
|
||||
EHuKTrx49Wv+69k6JP3CzZ0oLlhVACyJnctBz0Ah61medJXNJLKFYkJ7bXeX3Mp0MR4SA5GQxA3Z
|
||||
jxaNtoIh7GWSi3UuptdfUalJVw/IDrMLiTuqUXZTbYXB0HkOOXpcq7PEuIVFlfnj6hUtvOT8jZHj
|
||||
vHUwZSoJ73QIGBZYk4BTD11U7mIeKF/+ZNgXDO/2dWhAycKkh92tuuvQYUxtE776uw4Z/qWHW8vu
|
||||
jEabrW/aq9bJ95sewtKwrYw+huEhBzEKa2B7zWI4DsVgUASCIyc0oK+bcCk/9+LuZ1/CaKaXv8Ev
|
||||
zvoZebo8txqbZdxmTgpaKZj3XC90ZRvJA/HhRTLHrnPpqfjBAxxZlbKvopiTfBz6ZBp7y8GbOozA
|
||||
qSiM3/TsdaNfZ6m0j2AnoE/J4Fr7dSAZkwQMP8tMn0uLEd7QhSt6azBxyPRTGDnfa8V11T2bRYij
|
||||
sHSLdpKNsF90HCNu1xgU8SNW4OMetTsVFmnbkvbFEiIpobMYEEt26/fSXItkaQlWJX+LFsiHCqfb
|
||||
DY4X/SLYWKQtNuMPwjwXrqMys9k/+4YNfvQxaVz018sFXTkukJk7rOsCVqBGY93ofWcYMDkuLpDH
|
||||
YeoTfqGP6Vqals8HtQc6WGPJ9VkXIOd7Ysojeve0ptU1NgZw5lVMJkgWVdYGA19uoX4v+1R6DKou
|
||||
f/OHHe3HcQamSAUPYzlfATkYI9H93uG4ih2oE4G0qEznctV5KOdHBUNF3sgtoB1AS48xGuE8JnfD
|
||||
++ZeQDGLVA5bwV2Q4wOOshWfwq/nxTL9gYrm0tzMh2bGlxd2iDxxoxgoWgWArIACfQddUqsusQCc
|
||||
5gGFhJ3peeU2r4gGzx7fEVWJN2nOQ5dnFe9Ugd9vxbUdxAtNT8H6MK9rY5sdFXiMk780/48C3BHx
|
||||
eGgtvzC3UQ1zSIevsWg2+cT7IuREa2q/zx+wFm4qg5KtvRqsgbcLpeirIPe+rvxPAE81mcQ632sU
|
||||
7lml74mYoHZkSxq2kKUfqeDF6Mcror0O/d49HWWc80pLvdH/CwNDkUNno0QWVdo4Ht0zYOLhJXeK
|
||||
qXQWmVfcl23CMjZeijf6HY9UPrKY/pLcuu5E7o9pyHil1WGBIFsXjA/TmI/cSHU+8XHZihVVjoQZ
|
||||
XXDjDQB7M6RTXcIWLR4+dBcSqvGvh03n4RTr/D7WiBdpUzeTLHL5MTD5iKQSz7p53J8aELYXNcmx
|
||||
TWNhSZmUAr6iEtQED1hBqsqGStZsY6hCaBoREmw5p1Es/OZgYsXZv69/l3LDtKrEwiean1/sOGzV
|
||||
yaaJLj3dlTudlTif2yLCndqQefEA8sX3dnm22Xref11x0w9ub9Pwc6VGg5vsQI9J4FwqK57q6wfC
|
||||
eEMevOf1PVJ4n4Q4kxq1ywr5v32ffhMII/0bdI22zA57zKNyIa1VAfKLfyjMYLeJw3FC2Ymll1bx
|
||||
kDKWGhwLWgP7xb+mXG8XRAa0of1GvnR8y52VkYPrHY7vgMwj/yC4g1wiTKsQqzzgC3KkDrkOV6A7
|
||||
7z1scTAjGVUpk8RoUaAitZK2RmJNDmhILY88Guz1Pwl8bMyPT1zMtLm51bWGq6SfTPCMgYfERHCH
|
||||
PcJEMLxgjOHQx81490H80NuAktMff4l+y9T/Z22j3lCmWtwdOSzN1h+wJ4f9nXOPB8q+PFEqNwaO
|
||||
5EwVZw3Q47AlciH/5SatXY4l8X/oivLT5eUZvjPAl5/iKk9Tmp84/THUTr6dtNHmL6qWmfYHc9ot
|
||||
G2GmOKoCv0UHeamDQDzT8STUdSDzdlFDA7/kJJlHqlo/LDE9IC1aBVgVVcfTbINuW7Xcf6IPHFqv
|
||||
fEoyXs9iGM61cuiGpwbYIG1C8Wu4sCOya9dLZMHtcZdveiLKCLGQbiRHRyY6jeA+iwbYjaE5D52I
|
||||
+tUM3Bd4NvBiEccdr1yGVps1LFfQHH2zuEwUO+6oUL3pqKnHFv5ito6FJeKv6D1XPyXlabd7RXhm
|
||||
N3/AlftAYDRoZpUfp/5Vei8WRh03qR9FkVajNoaPSUYaEM43iAmu8g4obMV51BFrAHGLmyaEwqZG
|
||||
6Tl3VEHtNOrHBMLzNWLs9k+XSaQ+6QKXLV6fX90BU5Lr49YPVCoDklMVsUR0Qg8YEJccvrlX7u4N
|
||||
0dT+AR7eafKhVWYVS7EqIG8fDdQd7nEE3uU0qyuk7MUwT0P9clgkG9ToyO/FcBNgalP0EHUwT7Xj
|
||||
ymOKhwVBlHuRI3PUint7VSmQ3Qt62+VFmLODaBZjTRghGOmJuebr8U6WtFW7tqlKv/nVWqix6S9T
|
||||
wlz8rm26tVq16xeorIqrTQxgs8zerUWHBOhOsFpQEzgqls/HbrnWO1w8jUYR6IEsyWF3YXIcL2Pp
|
||||
VYiFP+N6e5Wm2i8ztGFQgELdrPXyiLWCOe0aqo7bHSM56x62eR/9CIP9qIIxGhrjbKL/Ste40WD/
|
||||
TTprtY9nm81tXV+2nbbprfJQzni4A0Yg6YIvw3C028VoxJE7i9SuCujessPzTU4FDNdMizR4+/sM
|
||||
0VoKd+LpwWcqo7brY0+IEhzjOHB2e3aGgAAdU/kq78UlnPfyTyIb3nkaDH6XpQh4Y7+0omf7wMuX
|
||||
GAi5jcerj3OgdSQj0HnYF5MxOpJSwvIvZixYKbsz3Mg5GAMbbyrYgRDNghf9yyVk/glnXSuBuWgT
|
||||
j//8IVr/8+D/nGvzZ1MopiYmkE1hepwgzwCK/+zp355l35NU/+BoUeKIRPh/WsgtzWSvtcY22Cwd
|
||||
DCwtctqawjM9u7lNy8hoB1nV4R7fbvZ+kzRHrToFY+KSWVWKpV5OhMlyhwTHEdrI5UWbKoUAPVE8
|
||||
dsFABj7rYzB0gmli2VfQreSuurtvv1ndezsbTPQvvMj4lB3sGMMQFDZRgayhYBatUNbJ61HpiktG
|
||||
gzB6y1rB1XyENTo8zQA12Y+F6ugHc84yXJhrrJUcAfbjocTyQyQO5o7ikdOEvhuXbwYlzFRqbhMA
|
||||
0bEUtwHomnMaYqCn8ZEwQEBoHqiF2Wr8k/430nHA0Z+0XnIaOBGMHVCeeZIlZKvpxz91TAcCrN0x
|
||||
f5W/BXpuTMhUESGQw4ShZerbDhGH2iAX8GimAQGUICQcRjplPfYat6KoQHeV8pKq4oe/EVsweBIl
|
||||
VUaiHcJDOZqWPRAJLwYE/sRcYFwIOsEDdj/mop73PbnYuaVn89nQnKRywn3136Is0yBYkyWBqZOm
|
||||
lJJmmePodhAXdcJjoOXqQzlihn01o54MU+tfi+1Tnjn0zVtrecvhDEBk1Xge8LI70uT6OI3POZ92
|
||||
mH2Cu2b+CVKs7B8uhj4wm7QL9y7oLmWjTVSK0I0fiN6zQWfLTCd8VPwy/CcW+RA3IXajPXMUKNm+
|
||||
yhtghIb26+t70o6Mci5DXmGMfnMIcGBOGUa6aUEIHossc08Ernj32d0e6MeEhQZ9ynbD1VmJXo89
|
||||
jnGc93O+IyrrXpWxUHIG3VKN4CZQzZc+7f6YhCtpCQb4Qh9gU6W5o/Fvnrl119Y8zOCdDuObWF8P
|
||||
RlS/pCKjZi9mrba3XYcxwVOQRySO+QfIYfbS363SBSLA4kUmYSxeZRVdJcOIkad+HegJV2edbbl9
|
||||
5ZEoY2UiT7XkO8ab1XgYYriZcqJpdMTPrh+vg2bh1hmp7HTHCNwgq3FtRsZ6Od0ss/PEDtkAA9Yh
|
||||
S5FEftLFjSY1Wpx2RzDQpfk3CrjhsmBTqz7vHO8pHKDhCgV0Ga8D5AScULIQip9fxJFlbHbagmsY
|
||||
yPDgC2sergWiOgaMKg4PsHyDA18UCitPeWf5DTAizPMiMpW63i3vQS9wbpAdaw2SvzrADFPdl8YB
|
||||
4D3GMoQ91lvz08ordWaiKdl89sgmPfkk6bZ3T0UGjFJoDUVGjGfJM75aXfzEPLdslYCjMOeWykVG
|
||||
+CnQqHUhLjPrUn2+1HWqXKgn58HmXrFIZHW0Ir5sqnX0OQEzj7MO1v/AhuBGedZidC77GmUHxYNz
|
||||
Ywob/pR3EzbydCxA5fRZB4/WHh5X/IT5bUIW4WoSMcF7HmXZAE3jyqrzMN7wCHY42WzMwDVmobk0
|
||||
lub7dRCJfODlLyUMOD6G+IIwsV8jGBuRkYO24uPuUIhft6T/OKNGT92yRUHtnbaMJd+BJ7j2GrxS
|
||||
/IvSAV6b2tHSRNBbCQ==
|
||||
`protect end_protected
|
||||
File diff suppressed because it is too large
Load Diff
File diff suppressed because it is too large
Load Diff
@ -0,0 +1,46 @@
|
||||
<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
|
||||
<html>
|
||||
<head>
|
||||
<title>Hierarchy Module Resource</title>
|
||||
<style type="text/css">
|
||||
body { font-family: Verdana, Arial, sans-serif; font-size: 14px; }
|
||||
div#main_wrapper{ width: 100%; }
|
||||
h1 {text-align: center; }
|
||||
h1 {margin-top: 36px; }
|
||||
table, th, td { border: 1px solid #aaa; }
|
||||
table { border-collapse:collapse; margin-top: 10px; margin-bottom: 20px; width: 100%; }
|
||||
th, td { align = "center"; padding: 5px 2px 5px 5px; }
|
||||
th { color: #fff; font-weight: bold; background-color: #0084ff; }
|
||||
table td.label { width: 20%; white-space: nowrap; min-width: 20px; background-color: #dee8f4; }
|
||||
</style>
|
||||
</head>
|
||||
<body>
|
||||
<div id="main_wrapper">
|
||||
<div id="content">
|
||||
<h1>Hierarchy Module Resource</h1>
|
||||
<table>
|
||||
<tr>
|
||||
<th class="label">MODULE NAME</th>
|
||||
<th class="label">REG NUMBER</th>
|
||||
<th class="label">ALU NUMBER</th>
|
||||
<th class="label">LUT NUMBER</th>
|
||||
<th class="label">DSP NUMBER</th>
|
||||
<th class="label">BSRAM NUMBER</th>
|
||||
<th class="label">SSRAM NUMBER</th>
|
||||
<th class="label">ROM16 NUMBER</th>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Gowin_SPDIF_TX (C:/Sdk/Gowin/Gowin_V1.9.10.03_Education_x64/IDE/ipcore/SPDIF_TX/data/SPDIF_Transmitter_Top.v)</td>
|
||||
<td align = "center">78</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">84</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
<td align = "center">-</td>
|
||||
</tr>
|
||||
</table>
|
||||
</div><!-- content -->
|
||||
</div><!-- main_wrapper -->
|
||||
</body>
|
||||
</html>
|
||||
@ -0,0 +1,2 @@
|
||||
<?xml version="1.0" encoding="UTF-8"?>
|
||||
<Module name="Gowin_SPDIF_TX" Register="78" Lut="84" T_Register="78(78)" T_Lut="84(84)"/>
|
||||
@ -0,0 +1,50 @@
|
||||
--Copyright (C)2014-2024 Gowin Semiconductor Corporation.
|
||||
--All rights reserved.
|
||||
--File Title: Template file for instantiation
|
||||
--Tool Version: V1.9.10.03 Education (64-bit)
|
||||
--Part Number: GW1NR-LV9QN88PC6/I5
|
||||
--Device: GW1NR-9
|
||||
--Device Version: C
|
||||
--Created Time: Tue Aug 19 21:50:04 2025
|
||||
|
||||
--Change the instance name and port connections to the signal names
|
||||
----------Copy here to design--------
|
||||
|
||||
component Gowin_SPDIF_TX
|
||||
port (
|
||||
I_clk: in std_logic;
|
||||
I_rst_n: in std_logic;
|
||||
I_audio_d: in std_logic_vector(23 downto 0);
|
||||
I_validity_bit: in std_logic;
|
||||
I_user_bit: in std_logic;
|
||||
I_chan_status_bit: in std_logic;
|
||||
O_audio_d_req: out std_logic;
|
||||
O_validity_bit_req: out std_logic;
|
||||
O_user_bit_req: out std_logic;
|
||||
O_chan_status_bit_req: out std_logic;
|
||||
O_block_start_flag: out std_logic;
|
||||
O_sub_frame0_flag: out std_logic;
|
||||
O_sub_frame1_flag: out std_logic;
|
||||
O_Spdif_tx_data: out std_logic
|
||||
);
|
||||
end component;
|
||||
|
||||
your_instance_name: Gowin_SPDIF_TX
|
||||
port map (
|
||||
I_clk => I_clk,
|
||||
I_rst_n => I_rst_n,
|
||||
I_audio_d => I_audio_d,
|
||||
I_validity_bit => I_validity_bit,
|
||||
I_user_bit => I_user_bit,
|
||||
I_chan_status_bit => I_chan_status_bit,
|
||||
O_audio_d_req => O_audio_d_req,
|
||||
O_validity_bit_req => O_validity_bit_req,
|
||||
O_user_bit_req => O_user_bit_req,
|
||||
O_chan_status_bit_req => O_chan_status_bit_req,
|
||||
O_block_start_flag => O_block_start_flag,
|
||||
O_sub_frame0_flag => O_sub_frame0_flag,
|
||||
O_sub_frame1_flag => O_sub_frame1_flag,
|
||||
O_Spdif_tx_data => O_Spdif_tx_data
|
||||
);
|
||||
|
||||
----------Copy end-------------------
|
||||
@ -0,0 +1 @@
|
||||
RESOURCE_CHECK=false
|
||||
@ -0,0 +1 @@
|
||||
`define SPDIF_DATA_WIDTH 24
|
||||
@ -0,0 +1,2 @@
|
||||
`define module_name_tx Gowin_SPDIF_TX
|
||||
`define getname(oriName,tmodule_name) \~oriName.tmodule_name
|
||||
115
src/hdl/fpga_hypernet_dir/src/hypernet_demux.vhd
Normal file
115
src/hdl/fpga_hypernet_dir/src/hypernet_demux.vhd
Normal file
@ -0,0 +1,115 @@
|
||||
library ieee;
|
||||
use ieee.std_logic_1164.all;
|
||||
use ieee.std_logic_unsigned.all;
|
||||
use ieee.numeric_std.all; -- lib for unsigned and signed
|
||||
|
||||
entity hypernet_demux is
|
||||
port (
|
||||
reset_n : in std_logic; -- Reset signal
|
||||
|
||||
bclk : in std_logic; -- Input DIR bit clock (12.288 MHz)
|
||||
lrclk : in std_logic; -- Input DIR word clock (192 kHz)
|
||||
sdata : in std_logic; -- Input DIR audio data (read on rising edge of bclk)
|
||||
|
||||
ch1_out : out std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 1
|
||||
ch2_out : out std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 2
|
||||
ch3_out : out std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 3
|
||||
ch4_out : out std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 4
|
||||
ch5_out : out std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 5
|
||||
ch6_out : out std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 6
|
||||
ch7_out : out std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 7
|
||||
ch8_out : out std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 8
|
||||
|
||||
data_ready : out std_logic -- Signals that the receiver just finished channel
|
||||
);
|
||||
end entity;
|
||||
|
||||
architecture rtl of hypernet_demux is
|
||||
signal sample_data : std_logic_vector(31 downto 0); -- Shift register for incoming serial data (32-bit buffer)
|
||||
signal sample_audio_data : std_logic_vector(23 downto 0); -- Represents the "active" audio part of the sample_data
|
||||
signal sample_lsb_data : std_logic_vector(1 downto 0); -- Represents the "metadata" part of the sample_data
|
||||
|
||||
signal prev_sample_audio_data : std_logic_vector(23 downto 0); -- Represents the "active" audio part of the last sample
|
||||
signal prev_sample_lsb_data : std_logic_vector(1 downto 0); -- Represents the "metadata" part of the last sample
|
||||
|
||||
signal zlrclk : std_logic; -- Previous lrclk (for edge detection)
|
||||
signal is_lr_changed : std_logic; -- Pulse high when lrclk edge detected
|
||||
|
||||
begin
|
||||
|
||||
-- Detect LR transition (channel boundary)
|
||||
is_lr_changed <= '1' when zlrclk /= lrclk else '0';
|
||||
|
||||
-- Extract active part of the audio signal and the current LSB of said signal.
|
||||
-- The sample_data signal is 32bit but only the upper 24 bits matter
|
||||
sample_audio_data <= sample_data(31 downto 10) & "00"; -- Remove the LSB as this is used for the channel index
|
||||
sample_lsb_data <= sample_data(9 downto 8);
|
||||
|
||||
-- Main deserialization process
|
||||
deserialize_i2s : process(bclk) begin
|
||||
if rising_edge(bclk) then
|
||||
if reset_n = '0' then
|
||||
-- Reset all outputs and counters
|
||||
sample_data <= (others => '0');
|
||||
prev_sample_audio_data <= (others => '0');
|
||||
prev_sample_lsb_data <= (others => '0');
|
||||
ch1_out <= (others => '0');
|
||||
ch2_out <= (others => '0');
|
||||
ch3_out <= (others => '0');
|
||||
ch4_out <= (others => '0');
|
||||
ch5_out <= (others => '0');
|
||||
ch6_out <= (others => '0');
|
||||
ch7_out <= (others => '0');
|
||||
ch8_out <= (others => '0');
|
||||
data_ready <= '0';
|
||||
|
||||
-- Keep the edge detector running while in reset
|
||||
zlrclk <= lrclk;
|
||||
else
|
||||
-- Shift serial input data into buffer (MSB first). 32 bits are shifted but only the upper 24 are valid data
|
||||
sample_data <= sample_data(sample_data'high-1 downto sample_data'low) & sdata;
|
||||
|
||||
-- Save LRCLK for edge detection
|
||||
zlrclk <= lrclk;
|
||||
|
||||
-- On word clock transition:
|
||||
if is_lr_changed then
|
||||
|
||||
-- Check if current LSB matches previous LSB
|
||||
if sample_lsb_data = prev_sample_lsb_data then
|
||||
-- Two successive samples with same LSB whe are successfuly synced - output them
|
||||
case sample_lsb_data is
|
||||
when "00" =>
|
||||
ch1_out <= prev_sample_audio_data;
|
||||
ch2_out <= sample_audio_data;
|
||||
when "01" =>
|
||||
ch3_out <= prev_sample_audio_data;
|
||||
ch4_out <= sample_audio_data;
|
||||
when "10" =>
|
||||
ch5_out <= prev_sample_audio_data;
|
||||
ch6_out <= sample_audio_data;
|
||||
when "11" =>
|
||||
ch7_out <= prev_sample_audio_data;
|
||||
ch8_out <= sample_audio_data;
|
||||
|
||||
-- When channel 8 is written, flag that the data is ready for 1 bclk cycle
|
||||
-- The choice of lsb=11 is arbitrary, unless the input data is really fucked-up it shouldn't matter. There really should be a separate data ready signal for each pair
|
||||
data_ready <= '1';
|
||||
end case;
|
||||
end if;
|
||||
|
||||
-- Store sample and LSB as previous for next comparison
|
||||
prev_sample_audio_data <= sample_audio_data;
|
||||
prev_sample_lsb_data <= sample_lsb_data;
|
||||
|
||||
else
|
||||
-- Still reading data
|
||||
-- Clear the data ready flag
|
||||
data_ready <= '0';
|
||||
end if;
|
||||
end if;
|
||||
end if;
|
||||
end process;
|
||||
|
||||
end rtl;
|
||||
|
||||
99
src/hdl/fpga_hypernet_dir/src/hypernet_mux.vhd
Normal file
99
src/hdl/fpga_hypernet_dir/src/hypernet_mux.vhd
Normal file
@ -0,0 +1,99 @@
|
||||
library ieee;
|
||||
use ieee.std_logic_1164.all;
|
||||
use ieee.std_logic_unsigned.all;
|
||||
use ieee.numeric_std.all; -- lib for unsigned and signed
|
||||
|
||||
entity hypernet_mux is
|
||||
port (
|
||||
clk : in std_logic; -- Master clock
|
||||
reset_n : in std_logic; -- Reset signal
|
||||
|
||||
bclk : in std_logic; -- Bit clock used for the 8 channel burst write
|
||||
|
||||
ch1_in : in std_logic_vector(23 downto 0); -- Channel 1
|
||||
ch2_in : in std_logic_vector(23 downto 0); -- Channel 2
|
||||
ch3_in : in std_logic_vector(23 downto 0); -- Channel 3
|
||||
ch4_in : in std_logic_vector(23 downto 0); -- Channel 4
|
||||
ch5_in : in std_logic_vector(23 downto 0); -- Channel 5
|
||||
ch6_in : in std_logic_vector(23 downto 0); -- Channel 6
|
||||
ch7_in : in std_logic_vector(23 downto 0); -- Channel 7
|
||||
ch8_in : in std_logic_vector(23 downto 0); -- Channel 8
|
||||
data_ready : in std_logic; -- Input data is ready
|
||||
|
||||
sample_write : out std_logic; -- Sample write assert signal for the fifo
|
||||
sample : out std_logic_vector(23 downto 0) -- Sample for the fifo
|
||||
);
|
||||
end entity;
|
||||
|
||||
architecture rtl of hypernet_mux is
|
||||
-- Bit clock delays and signal for the bit clock positive edge
|
||||
signal zbclk, zzbclk, zzzbclk : std_logic;
|
||||
signal bclk_neg_edge, bclk_pos_edge : std_logic;
|
||||
|
||||
signal burst_write_counter : integer range 0 to 8 := 0;
|
||||
begin
|
||||
|
||||
detect_bclk_edge : process(clk) begin
|
||||
if rising_edge(clk) then
|
||||
zbclk <= bclk;
|
||||
zzbclk <= zbclk;
|
||||
zzzbclk <= zzbclk;
|
||||
if zzbclk = '1' and zzzbclk = '0' and reset_n = '1' then
|
||||
bclk_pos_edge <= '1';
|
||||
elsif zzbclk = '0' and zzzbclk = '1' and reset_n = '1' then
|
||||
bclk_neg_edge <= '1';
|
||||
else
|
||||
bclk_pos_edge <= '0';
|
||||
bclk_neg_edge <= '0';
|
||||
end if;
|
||||
end if;
|
||||
end process;
|
||||
|
||||
push_data : process(clk) begin
|
||||
if falling_edge(clk) then
|
||||
if reset_n = '0' then
|
||||
-- Reset all outputs and counters
|
||||
burst_write_counter <= 0;
|
||||
sample_write <= '0';
|
||||
sample <= "000000000000000000000000";
|
||||
else
|
||||
-- Data from the I2S reader is ready, burst write the data to the FIFO
|
||||
if data_ready = '1' then
|
||||
burst_write_counter <= 8;
|
||||
end if;
|
||||
|
||||
-- Write samples on a bclk pos edge
|
||||
if bclk_pos_edge = '1' then
|
||||
if burst_write_counter = 8 then
|
||||
sample <= ch1_in(21 downto 0) & "00";
|
||||
elsif burst_write_counter = 7 then
|
||||
sample <= ch2_in(21 downto 0) & "00";
|
||||
elsif burst_write_counter = 6 then
|
||||
sample <= ch3_in(21 downto 0) & "01";
|
||||
elsif burst_write_counter = 5 then
|
||||
sample <= ch4_in(21 downto 0) & "01";
|
||||
elsif burst_write_counter = 4 then
|
||||
sample <= ch5_in(21 downto 0) & "10";
|
||||
elsif burst_write_counter = 3 then
|
||||
sample <= ch6_in(21 downto 0) & "10";
|
||||
elsif burst_write_counter = 2 then
|
||||
sample <= ch7_in(21 downto 0) & "11";
|
||||
elsif burst_write_counter = 1 then
|
||||
sample <= ch8_in(21 downto 0) & "11";
|
||||
end if;
|
||||
|
||||
if burst_write_counter > 0 then
|
||||
sample_write <= '1';
|
||||
burst_write_counter <= burst_write_counter - 1;
|
||||
end if;
|
||||
elsif bclk_neg_edge = '1' then
|
||||
sample_write <= '0';
|
||||
end if;
|
||||
end if;
|
||||
end if;
|
||||
end process;
|
||||
|
||||
|
||||
|
||||
end rtl;
|
||||
|
||||
81
src/hdl/fpga_hypernet_dir/src/hypernet_synced_i2s_clocks.vhd
Normal file
81
src/hdl/fpga_hypernet_dir/src/hypernet_synced_i2s_clocks.vhd
Normal file
@ -0,0 +1,81 @@
|
||||
library ieee;
|
||||
use ieee.std_logic_1164.all;
|
||||
|
||||
entity hypernet_synced_i2s_clocks is
|
||||
port (
|
||||
reset_n : in std_logic;
|
||||
|
||||
sclk : in std_logic; -- Input i2s system clock (12.288 MHz)
|
||||
|
||||
bclk : buffer std_logic; -- Output i2s bit clock (sclk/4 = 3.072 MHz)
|
||||
lrclk : buffer std_logic; -- Output i2s word clock (sclk/256 = 48KHz)
|
||||
|
||||
sync : in std_logic -- Synchronization signal
|
||||
-- The generated bit clock will be phased locked to this signal (ie. when sync rises, bit clock rises)
|
||||
-- The same applies to word clock but it will be slightly offset
|
||||
);
|
||||
end entity;
|
||||
|
||||
architecture Behavioral of hypernet_synced_i2s_clocks is
|
||||
-- Clock dividers for the output I2S clocks
|
||||
signal count_i2s_bclk : integer := 0;
|
||||
signal count_i2s_lrclk : integer := 0;
|
||||
|
||||
-- Block start detector & signals
|
||||
signal zsync, zzsync, zzzsync : std_logic;
|
||||
signal sync_pos_edge : std_logic;
|
||||
|
||||
begin
|
||||
-- Sync edge detector
|
||||
detect_bsync_edge : process(sclk) begin
|
||||
if rising_edge(sclk) then
|
||||
zsync <= sync;
|
||||
zzsync <= zsync;
|
||||
zzzsync <= zzsync;
|
||||
if zzsync = '1' and zzzsync = '0' then
|
||||
sync_pos_edge <= '1';
|
||||
else
|
||||
sync_pos_edge <= '0';
|
||||
end if;
|
||||
end if;
|
||||
end process;
|
||||
|
||||
-- Bit clock divider
|
||||
i2s_bit_clock: process(sclk) begin
|
||||
if(rising_edge(sclk)) then
|
||||
-- Make sure the clock is properly synchronized at the block start
|
||||
if(sync_pos_edge = '1' or reset_n = '0') then
|
||||
bclk <= '1';
|
||||
count_i2s_bclk <= 0;
|
||||
else
|
||||
-- Divide the AES3 bit clock to get the I2S bit clock
|
||||
count_i2s_bclk <= count_i2s_bclk + 1;
|
||||
if(count_i2s_bclk = 1) then
|
||||
bclk <= not bclk;
|
||||
count_i2s_bclk <= 0;
|
||||
end if;
|
||||
|
||||
end if;
|
||||
end if;
|
||||
end process;
|
||||
|
||||
-- Word clock divider
|
||||
i2s_lr_clock: process(sclk) begin
|
||||
if(falling_edge(sclk)) then
|
||||
-- Make sure the clock is properly synchronized at the block start
|
||||
if(sync_pos_edge = '1' or reset_n = '0') then
|
||||
lrclk <= '1';
|
||||
count_i2s_lrclk <= 6; -- Slight offset/delay to keep a rising bclk after a lrck edge
|
||||
else
|
||||
-- Divide the AES3 bit clock to get the I2S bit clock
|
||||
count_i2s_lrclk <= count_i2s_lrclk + 1;
|
||||
if(count_i2s_lrclk = 127) then
|
||||
lrclk <= not lrclk;
|
||||
count_i2s_lrclk <= 0;
|
||||
end if;
|
||||
|
||||
end if;
|
||||
end if;
|
||||
end process;
|
||||
|
||||
end;
|
||||
47
src/hdl/fpga_hypernet_dir/src/hypernet_tx_channel_status.vhd
Normal file
47
src/hdl/fpga_hypernet_dir/src/hypernet_tx_channel_status.vhd
Normal file
@ -0,0 +1,47 @@
|
||||
library ieee;
|
||||
use ieee.std_logic_1164.all;
|
||||
use ieee.std_logic_unsigned.all;
|
||||
use ieee.numeric_std.all; -- lib for unsigned and signed
|
||||
|
||||
entity hypernet_tx_channel_status is
|
||||
port (
|
||||
reset_n : in std_logic; -- Reset signal
|
||||
clk : in std_logic; -- Input clock
|
||||
|
||||
block_start : in std_logic; -- Reset signal
|
||||
|
||||
channel_status_bit_req : in std_logic;
|
||||
channel_status_bit : out std_logic
|
||||
);
|
||||
end entity;
|
||||
|
||||
architecture rtl of hypernet_tx_channel_status is
|
||||
constant STATUS_BITS: std_logic_vector(383 downto 0) := "000000000000000000000000000000000000000000000000000000000000000011000000111100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000";
|
||||
|
||||
signal status_bit_index : unsigned(10 downto 0);
|
||||
|
||||
begin
|
||||
process(clk) begin
|
||||
if rising_edge(clk) then
|
||||
if (reset_n = '0' or block_start = '1') then
|
||||
-- Reset state
|
||||
channel_status_bit <= '0';
|
||||
status_bit_index <= (others => '0');
|
||||
else
|
||||
-- Update output with current bit
|
||||
channel_status_bit <= STATUS_BITS(383 - to_integer(status_bit_index));
|
||||
|
||||
-- Advance to next bit when requested
|
||||
if (channel_status_bit_req = '1') then
|
||||
if (status_bit_index = to_unsigned(383, 11)) then
|
||||
status_bit_index <= (others => '0');
|
||||
else
|
||||
status_bit_index <= status_bit_index + 1;
|
||||
end if;
|
||||
end if;
|
||||
end if;
|
||||
end if;
|
||||
end process;
|
||||
|
||||
end rtl;
|
||||
|
||||
166
src/hdl/fpga_hypernet_dir/src/i2s_quad_receiver.vhd
Normal file
166
src/hdl/fpga_hypernet_dir/src/i2s_quad_receiver.vhd
Normal file
@ -0,0 +1,166 @@
|
||||
library ieee;
|
||||
use ieee.std_logic_1164.all;
|
||||
use ieee.std_logic_unsigned.all;
|
||||
use ieee.numeric_std.all; -- lib for unsigned and signed
|
||||
|
||||
entity i2s_quad_receiver is
|
||||
port (
|
||||
clk : in std_logic; -- Master clock
|
||||
reset_n : in std_logic;
|
||||
sample_sync : in std_logic;
|
||||
|
||||
bclk : in std_logic; -- bit clock
|
||||
lrclk : in std_logic; -- word-select (left/right)
|
||||
|
||||
sdata1 : in std_logic; -- serial data for ADC 1
|
||||
sdata2 : in std_logic; -- serial data for ADC 2
|
||||
sdata3 : in std_logic; -- serial data for ADC 3
|
||||
sdata4 : in std_logic; -- serial data for ADC 4
|
||||
|
||||
sample_ch_1_l : out std_logic_vector(23 downto 0); -- Channel 1 Left
|
||||
sample_ch_1_r : out std_logic_vector(23 downto 0); -- Channel 1 Right
|
||||
sample_ch_2_l : out std_logic_vector(23 downto 0); -- Channel 2 Left
|
||||
sample_ch_2_r : out std_logic_vector(23 downto 0); -- Channel 2 Right
|
||||
sample_ch_3_l : out std_logic_vector(23 downto 0); -- Channel 3 Left
|
||||
sample_ch_3_r : out std_logic_vector(23 downto 0); -- Channel 3 Right
|
||||
sample_ch_4_l : out std_logic_vector(23 downto 0); -- Channel 4 Left
|
||||
sample_ch_4_r : out std_logic_vector(23 downto 0); -- Channel 4 Right
|
||||
|
||||
data_ready : out std_logic
|
||||
);
|
||||
end entity;
|
||||
|
||||
architecture rtl of i2s_quad_receiver is
|
||||
-- Buffers to hold the partially read samples
|
||||
signal sample_ch_1_l_buf : std_logic_vector(23 downto 0);
|
||||
signal sample_ch_1_r_buf : std_logic_vector(23 downto 0);
|
||||
signal sample_ch_2_l_buf : std_logic_vector(23 downto 0);
|
||||
signal sample_ch_2_r_buf : std_logic_vector(23 downto 0);
|
||||
signal sample_ch_3_l_buf : std_logic_vector(23 downto 0);
|
||||
signal sample_ch_3_r_buf : std_logic_vector(23 downto 0);
|
||||
signal sample_ch_4_l_buf : std_logic_vector(23 downto 0);
|
||||
signal sample_ch_4_r_buf : std_logic_vector(23 downto 0);
|
||||
|
||||
-- Bit clock delays and signal for the bit clock positive edge
|
||||
signal zbclk, zzbclk, zzzbclk : std_logic;
|
||||
signal bclk_neg_edge, bclk_pos_edge : std_logic;
|
||||
|
||||
-- Lr clock delays and signal for an lr clock edge
|
||||
signal lrck_edge, lrck_neg_edge, lrck_pos_edge : std_logic;
|
||||
signal zlrclk, zzlrclk, zzzlrclk : std_logic;
|
||||
|
||||
-- Signal to specify when we should be reading bits
|
||||
signal has_data : std_logic;
|
||||
|
||||
-- Bit counter
|
||||
signal bit_cnt : integer range 0 to 31 := 0;
|
||||
begin
|
||||
|
||||
detect_bclk_edge : process(clk)
|
||||
begin
|
||||
if rising_edge(clk) then
|
||||
zbclk <= bclk;
|
||||
zzbclk <= zbclk;
|
||||
zzzbclk <= zzbclk;
|
||||
if zzbclk = '1' and zzzbclk = '0' and reset_n = '1' then
|
||||
bclk_pos_edge <= '1';
|
||||
elsif zzbclk = '0' and zzzbclk = '1' and reset_n = '1' then
|
||||
bclk_neg_edge <= '1';
|
||||
else
|
||||
bclk_pos_edge <= '0';
|
||||
bclk_neg_edge <= '0';
|
||||
end if;
|
||||
end if;
|
||||
end process;
|
||||
|
||||
detect_lrck_edge : process(clk)
|
||||
begin
|
||||
if rising_edge(clk) then
|
||||
zlrclk <= lrclk;
|
||||
zzlrclk <= zlrclk;
|
||||
zzzlrclk <= zzlrclk;
|
||||
if zzlrclk = '1' and zzzlrclk = '0' and reset_n = '1' then
|
||||
lrck_pos_edge <= '1';
|
||||
lrck_edge <= '1';
|
||||
elsif zzlrclk = '0' and zzzlrclk = '1' and reset_n = '1' then
|
||||
lrck_neg_edge <= '1';
|
||||
lrck_edge <= '1';
|
||||
else
|
||||
lrck_pos_edge <= '0';
|
||||
lrck_neg_edge <= '0';
|
||||
lrck_edge <= '0';
|
||||
end if;
|
||||
end if;
|
||||
end process;
|
||||
|
||||
detect_sample : process(clk) begin
|
||||
if rising_edge(clk) then
|
||||
if sample_sync = '1' or reset_n = '0' then
|
||||
-- Sync detected, reset every signal
|
||||
bit_cnt <= 0;
|
||||
data_ready <= '0';
|
||||
else
|
||||
if lrck_edge = '1' then
|
||||
-- Left/right clock edge detected this means new channel -> reset the bit counter
|
||||
bit_cnt <= 0;
|
||||
end if;
|
||||
|
||||
if bclk_pos_edge = '1' then
|
||||
-- Bit clock positive clock edge detected -> increment the bit counter
|
||||
bit_cnt <= bit_cnt + 1;
|
||||
end if;
|
||||
|
||||
if bclk_neg_edge = '1' then
|
||||
-- Bit clock negative clock edge detected ->
|
||||
-- Only read the first 24 bits, cheack the counter and set the signal appropriatly
|
||||
if bit_cnt = 0 then
|
||||
has_data <= '1';
|
||||
elsif bit_cnt >= 24 then
|
||||
has_data <= '0';
|
||||
end if;
|
||||
end if;
|
||||
|
||||
-- Output the data
|
||||
if lrck_pos_edge = '1' then
|
||||
sample_ch_1_r <= sample_ch_1_r_buf;
|
||||
sample_ch_2_r <= sample_ch_2_r_buf;
|
||||
sample_ch_3_r <= sample_ch_3_r_buf;
|
||||
sample_ch_4_r <= sample_ch_4_r_buf;
|
||||
end if;
|
||||
if lrck_neg_edge = '1' then
|
||||
sample_ch_1_l <= sample_ch_1_l_buf;
|
||||
sample_ch_2_l <= sample_ch_2_l_buf;
|
||||
sample_ch_3_l <= sample_ch_3_l_buf;
|
||||
sample_ch_4_l <= sample_ch_4_l_buf;
|
||||
|
||||
data_ready <= '1';
|
||||
else
|
||||
data_ready <= '0';
|
||||
end if;
|
||||
end if;
|
||||
end if;
|
||||
end process;
|
||||
|
||||
|
||||
|
||||
get_data : process(clk) begin
|
||||
if rising_edge(clk) then
|
||||
if bclk_pos_edge = '1' and has_data = '1' then
|
||||
if lrclk = '1' then
|
||||
sample_ch_1_l_buf <= sample_ch_1_l_buf(sample_ch_1_l_buf'high-1 downto sample_ch_1_l_buf'low) & sdata1;
|
||||
sample_ch_2_l_buf <= sample_ch_2_l_buf(sample_ch_2_l_buf'high-1 downto sample_ch_2_l_buf'low) & sdata2;
|
||||
sample_ch_3_l_buf <= sample_ch_3_l_buf(sample_ch_3_l_buf'high-1 downto sample_ch_3_l_buf'low) & sdata3;
|
||||
sample_ch_4_l_buf <= sample_ch_4_l_buf(sample_ch_4_l_buf'high-1 downto sample_ch_4_l_buf'low) & sdata4;
|
||||
else
|
||||
sample_ch_1_r_buf <= sample_ch_1_r_buf(sample_ch_1_r_buf'high-1 downto sample_ch_1_r_buf'low) & sdata1;
|
||||
sample_ch_2_r_buf <= sample_ch_2_r_buf(sample_ch_2_r_buf'high-1 downto sample_ch_2_r_buf'low) & sdata2;
|
||||
sample_ch_3_r_buf <= sample_ch_3_r_buf(sample_ch_3_r_buf'high-1 downto sample_ch_3_r_buf'low) & sdata3;
|
||||
sample_ch_4_r_buf <= sample_ch_4_r_buf(sample_ch_4_r_buf'high-1 downto sample_ch_4_r_buf'low) & sdata4;
|
||||
end if;
|
||||
end if;
|
||||
end if;
|
||||
end process;
|
||||
|
||||
|
||||
end rtl;
|
||||
|
||||
193
src/hdl/fpga_hypernet_dir/src/i2s_quad_transmitter.vhd
Normal file
193
src/hdl/fpga_hypernet_dir/src/i2s_quad_transmitter.vhd
Normal file
@ -0,0 +1,193 @@
|
||||
-------------------------------------------------------------------------------
|
||||
-- Quad output I2S transmitter
|
||||
-- Based on
|
||||
-- - OpenCore's i2s_interface by Geir Drange (https://opencores.org/projects/i2s_interface)
|
||||
-- Adapted for left-justified operation
|
||||
-------------------------------------------------------------------------------
|
||||
|
||||
library ieee;
|
||||
use ieee.std_logic_1164.all;
|
||||
|
||||
entity i2s_quad_transmitter is
|
||||
generic (
|
||||
DATA_WIDTH : integer range 16 to 32 := 32
|
||||
);
|
||||
port (
|
||||
-- Master clock & reset
|
||||
clk : in std_logic;
|
||||
reset_n : in std_logic;
|
||||
|
||||
-- Audio clocks
|
||||
bclk : in std_logic; -- I2S bit clock (3.072 MHz)
|
||||
lrclk : in std_logic; -- I2S bit clock (48KHz)
|
||||
|
||||
-- Input L/R samples for each channel
|
||||
sample_load : in std_logic;
|
||||
sample_ch_1_l : in std_logic_vector(DATA_WIDTH - 1 downto 0); -- Channel 1 Left
|
||||
sample_ch_1_r : in std_logic_vector(DATA_WIDTH - 1 downto 0); -- Channel 1 Right
|
||||
sample_ch_2_l : in std_logic_vector(DATA_WIDTH - 1 downto 0); -- Channel 2 Left
|
||||
sample_ch_2_r : in std_logic_vector(DATA_WIDTH - 1 downto 0); -- Channel 2 Right
|
||||
sample_ch_3_l : in std_logic_vector(DATA_WIDTH - 1 downto 0); -- Channel 3 Left
|
||||
sample_ch_3_r : in std_logic_vector(DATA_WIDTH - 1 downto 0); -- Channel 3 Right
|
||||
sample_ch_4_l : in std_logic_vector(DATA_WIDTH - 1 downto 0); -- Channel 4 Left
|
||||
sample_ch_4_r : in std_logic_vector(DATA_WIDTH - 1 downto 0); -- Channel 4 Right
|
||||
|
||||
-- Output serial data
|
||||
sdout_1 : out std_logic; -- Serialized audio data for channel 1+2
|
||||
sdout_2 : out std_logic; -- Serialized audio data for channel 3+4
|
||||
sdout_3 : out std_logic; -- Serialized audio data for channel 5+6
|
||||
sdout_4 : out std_logic -- Serialized audio data for channel 7+8
|
||||
);
|
||||
end i2s_quad_transmitter;
|
||||
|
||||
architecture rtl of i2s_quad_transmitter is
|
||||
-- Bit clock edge detection signals
|
||||
-- Three-stage synchronizer for clean edge detection in the system clock domain
|
||||
signal zbclk, zzbclk, zzzbclk : std_logic;
|
||||
signal bclk_neg_edge : std_logic;
|
||||
signal bclk_pos_edge : std_logic;
|
||||
|
||||
-- Word clock edge detection signals
|
||||
-- Three-stage synchronizer for clean edge detection in the system clock domain
|
||||
signal zlrclk, zzlrclk, zzzlrclk : std_logic;
|
||||
signal lrclk_edge : std_logic;
|
||||
signal lrclk_neg_edge : std_logic;
|
||||
signal lrclk_pos_edge : std_logic;
|
||||
|
||||
-- Bit position counter within each word (0 to DATA_WIDTH-1)
|
||||
signal bit_counter : integer range 0 to 31 := 0;
|
||||
|
||||
-- Sample buffers for each channel (double buffering)
|
||||
-- These store the current samples being transmitted while new ones can be loaded
|
||||
signal sample_ch_1_l_buf_load : std_logic_vector(DATA_WIDTH - 1 downto 0);
|
||||
signal sample_ch_1_r_buf_load : std_logic_vector(DATA_WIDTH - 1 downto 0);
|
||||
signal sample_ch_2_l_buf_load : std_logic_vector(DATA_WIDTH - 1 downto 0);
|
||||
signal sample_ch_2_r_buf_load : std_logic_vector(DATA_WIDTH - 1 downto 0);
|
||||
signal sample_ch_3_l_buf_load : std_logic_vector(DATA_WIDTH - 1 downto 0);
|
||||
signal sample_ch_3_r_buf_load : std_logic_vector(DATA_WIDTH - 1 downto 0);
|
||||
signal sample_ch_4_l_buf_load : std_logic_vector(DATA_WIDTH - 1 downto 0);
|
||||
signal sample_ch_4_r_buf_load : std_logic_vector(DATA_WIDTH - 1 downto 0);
|
||||
|
||||
signal sample_ch_1_l_buf_play : std_logic_vector(DATA_WIDTH - 1 downto 0);
|
||||
signal sample_ch_1_r_buf_play : std_logic_vector(DATA_WIDTH - 1 downto 0);
|
||||
signal sample_ch_2_l_buf_play : std_logic_vector(DATA_WIDTH - 1 downto 0);
|
||||
signal sample_ch_2_r_buf_play : std_logic_vector(DATA_WIDTH - 1 downto 0);
|
||||
signal sample_ch_3_l_buf_play : std_logic_vector(DATA_WIDTH - 1 downto 0);
|
||||
signal sample_ch_3_r_buf_play : std_logic_vector(DATA_WIDTH - 1 downto 0);
|
||||
signal sample_ch_4_l_buf_play : std_logic_vector(DATA_WIDTH - 1 downto 0);
|
||||
signal sample_ch_4_r_buf_play : std_logic_vector(DATA_WIDTH - 1 downto 0);
|
||||
|
||||
begin
|
||||
-- BCLK Edge Detection Process
|
||||
detect_bclk_edge : process(clk) begin
|
||||
if rising_edge(clk) then
|
||||
zbclk <= bclk;
|
||||
zzbclk <= zbclk;
|
||||
zzzbclk <= zzbclk;
|
||||
if zzbclk = '1' and zzzbclk = '0' then
|
||||
bclk_pos_edge <= '1';
|
||||
elsif zzbclk = '0' and zzzbclk = '1' then
|
||||
bclk_neg_edge <= '1';
|
||||
else
|
||||
bclk_pos_edge <= '0';
|
||||
bclk_neg_edge <= '0';
|
||||
end if;
|
||||
end if;
|
||||
end process;
|
||||
|
||||
-- LRCLK Edge Detection Process
|
||||
detect_lrclk_edge : process(clk) begin
|
||||
if rising_edge(clk) then
|
||||
zlrclk <= lrclk;
|
||||
zzlrclk <= zlrclk;
|
||||
zzzlrclk <= zzlrclk;
|
||||
if zzlrclk = '1' and zzzlrclk = '0' then
|
||||
lrclk_pos_edge <= '1';
|
||||
lrclk_edge <= '1';
|
||||
elsif zzlrclk = '0' and zzzlrclk = '1' then
|
||||
lrclk_neg_edge <= '1';
|
||||
lrclk_edge <= '1';
|
||||
else
|
||||
lrclk_pos_edge <= '0';
|
||||
lrclk_neg_edge <= '0';
|
||||
lrclk_edge <= '0';
|
||||
end if;
|
||||
end if;
|
||||
end process;
|
||||
|
||||
-- Sample Loading and Bit Counter Management
|
||||
-- Handles loading new samples and tracking bit position within each word
|
||||
detect_sample : process(clk) begin
|
||||
if rising_edge(clk) then
|
||||
if reset_n = '0' then
|
||||
-- Reset: initialize bit counter
|
||||
bit_counter <= 0;
|
||||
else
|
||||
-- Update all channel buffers when sample_load is asserted
|
||||
-- This provides double-buffering: new samples loaded while current ones transmit
|
||||
if sample_load = '1' then
|
||||
sample_ch_1_l_buf_load <= sample_ch_1_l;
|
||||
sample_ch_1_r_buf_load <= sample_ch_1_r;
|
||||
sample_ch_2_l_buf_load <= sample_ch_2_l;
|
||||
sample_ch_2_r_buf_load <= sample_ch_2_r;
|
||||
sample_ch_3_l_buf_load <= sample_ch_3_l;
|
||||
sample_ch_3_r_buf_load <= sample_ch_3_r;
|
||||
sample_ch_4_l_buf_load <= sample_ch_4_l;
|
||||
sample_ch_4_r_buf_load <= sample_ch_4_r;
|
||||
end if;
|
||||
|
||||
-- If the left/right channel changes, reset the output bit counter
|
||||
if lrclk_edge = '1' then
|
||||
bit_counter <= 0;
|
||||
|
||||
sample_ch_1_l_buf_play <= sample_ch_1_l_buf_load;
|
||||
sample_ch_1_r_buf_play <= sample_ch_1_r_buf_load;
|
||||
sample_ch_2_l_buf_play <= sample_ch_2_l_buf_load;
|
||||
sample_ch_2_r_buf_play <= sample_ch_2_r_buf_load;
|
||||
sample_ch_3_l_buf_play <= sample_ch_3_l_buf_load;
|
||||
sample_ch_3_r_buf_play <= sample_ch_3_r_buf_load;
|
||||
sample_ch_4_l_buf_play <= sample_ch_4_l_buf_load;
|
||||
sample_ch_4_r_buf_play <= sample_ch_4_r_buf_load;
|
||||
end if;
|
||||
|
||||
-- Increment the bit counter a positive edge of the bit clock
|
||||
if bclk_pos_edge = '1' then
|
||||
bit_counter <= bit_counter + 1;
|
||||
end if;
|
||||
|
||||
end if;
|
||||
end if;
|
||||
end process;
|
||||
|
||||
-- Serial Data Transmission Process
|
||||
-- Outputs the appropriate bit from the sample buffers based on current channel and bit position
|
||||
send_data : process(clk) begin
|
||||
if rising_edge(clk) then
|
||||
if reset_n = '0' then
|
||||
-- Reset: output silence (zeros) on all channels
|
||||
sdout_1 <= '0';
|
||||
sdout_2 <= '0';
|
||||
sdout_3 <= '0';
|
||||
sdout_4 <= '0';
|
||||
else
|
||||
-- Normal state, output the proper bit (left or right) for each channel on the negative bit clock edge
|
||||
if bclk_neg_edge = '1' then
|
||||
if lrclk = '1' then
|
||||
-- Transmit LEFT channel samples (MSB first)
|
||||
sdout_1 <= sample_ch_1_l_buf_play(DATA_WIDTH - 1 - bit_counter);
|
||||
sdout_2 <= sample_ch_2_l_buf_play(DATA_WIDTH - 1 - bit_counter);
|
||||
sdout_3 <= sample_ch_3_l_buf_play(DATA_WIDTH - 1 - bit_counter);
|
||||
sdout_4 <= sample_ch_4_l_buf_play(DATA_WIDTH - 1 - bit_counter);
|
||||
else
|
||||
-- Transmit RIGHT channel samples (MSB first)
|
||||
sdout_1 <= sample_ch_1_r_buf_play(DATA_WIDTH - 1 - bit_counter);
|
||||
sdout_2 <= sample_ch_2_r_buf_play(DATA_WIDTH - 1 - bit_counter);
|
||||
sdout_3 <= sample_ch_3_r_buf_play(DATA_WIDTH - 1 - bit_counter);
|
||||
sdout_4 <= sample_ch_4_r_buf_play(DATA_WIDTH - 1 - bit_counter);
|
||||
end if;
|
||||
end if;
|
||||
|
||||
end if;
|
||||
end if;
|
||||
end process;
|
||||
end rtl;
|
||||
111
src/hdl/fpga_hypernet_dir/src/main.v
Normal file
111
src/hdl/fpga_hypernet_dir/src/main.v
Normal file
@ -0,0 +1,111 @@
|
||||
module top (
|
||||
input sys_clk, // 27Mhz crystal onboard the Tang Nano 1k
|
||||
input sys_key_a, // A Button onboard the Tang Nano 1k. Used as a reset signal
|
||||
input sys_key_b, // B Button onboard the Tang Nano 1k.
|
||||
|
||||
input audio_clk, // 24.576 MHz from the clock generator (either PLL1707 or DIX9211 buffered outut)
|
||||
|
||||
input dir_sclk, // Input aes3 system clock (49.152 MHz)
|
||||
input dir_bclk, // Input aes3 bit clock (12.288 MHz)
|
||||
input dir_lrclk, // Input aes3 word clock (192 kHz)
|
||||
input dir_data, // Input aes3 audio data
|
||||
input dir_bframe, // Input aes3 B-frame indicator
|
||||
input dir_lock, // Input aes3 lock indicator
|
||||
output aes3_in_active_n, // Serial output is active
|
||||
|
||||
output i2s_out_sclk, // Output audio system clock (12.288 MHz)
|
||||
output i2s_out_bclk, // Output audio bit clock (3.072 MHz)
|
||||
output i2s_out_lrclk, // Output audio word clock (48 kHz)
|
||||
output i2s_out_data_1, // Output audio channel 1+2
|
||||
output i2s_out_data_2, // Output audio channel 3+4
|
||||
output i2s_out_data_3, // Output audio channel 5+6
|
||||
output i2s_out_data_4, // Output audio channel 7+8
|
||||
|
||||
output i2s_in_sclk, // Input audio system clock (12.288 MHz)
|
||||
output i2s_in_bclk, // Input audio bit clock (3.072 MHz)
|
||||
output i2s_in_lrclk, // Input audio word clock (48 kHz)
|
||||
input i2s_in_data_1, // Input audio channel 1+2
|
||||
input i2s_in_data_2, // Input audio channel 3+4
|
||||
input i2s_in_data_3, // Input audio channel 5+6
|
||||
input i2s_in_data_4, // Input audio channel 7+8
|
||||
|
||||
output aes3_out_active_n, // Serial output is active
|
||||
output aes3_out, // AES3/Hypernet serial output
|
||||
|
||||
output debug1,
|
||||
output debug2,
|
||||
output debug3,
|
||||
output debug4
|
||||
);
|
||||
|
||||
|
||||
Gowin_rPLL_123M pll(
|
||||
.reset(!sys_key_a),
|
||||
.clkin(sys_clk),
|
||||
.clkout(clk_123M)
|
||||
);
|
||||
wire clk_24M6;
|
||||
Gowin_CLKDIV5 clk5(
|
||||
.hclkin(clk_123M),
|
||||
.resetn(sys_key_a),
|
||||
.clkout(clk_24M6)
|
||||
);
|
||||
wire clk_12M3;
|
||||
Gowin_CLKDIV2 clk2(
|
||||
.hclkin(clk_24M6),
|
||||
.resetn(sys_key_a),
|
||||
.clkout(clk_12M3)
|
||||
);
|
||||
|
||||
wire main_tx_1_active_n;
|
||||
led_blinker tx_status(
|
||||
.clk(clk_12M3),
|
||||
.running(!main_tx_1_active_n),
|
||||
.led_out(aes3_out_active_n)
|
||||
);
|
||||
|
||||
main_tx main_tx_1_inst(
|
||||
.reset_n(sys_key_a),
|
||||
|
||||
.clk(clk_12M3),
|
||||
|
||||
.i2s_in_sclk(i2s_in_sclk),
|
||||
.i2s_in_bclk(i2s_in_bclk),
|
||||
.i2s_in_lrclk(i2s_in_lrclk),
|
||||
.i2s_in_data_1(i2s_in_data_1),
|
||||
.i2s_in_data_2(i2s_in_data_2),
|
||||
.i2s_in_data_3(i2s_in_data_3),
|
||||
.i2s_in_data_4(i2s_in_data_4),
|
||||
|
||||
.active_n(main_tx_1_active_n),
|
||||
.aes3(aes3_out)
|
||||
);
|
||||
|
||||
|
||||
led_blinker rx_status(
|
||||
.clk(clk_12M3),
|
||||
.running(!dir_lock),
|
||||
.led_out(aes3_in_active_n)
|
||||
);
|
||||
|
||||
main_rx main_rx_1_inst(
|
||||
.reset_n(sys_key_a),
|
||||
|
||||
.dir_sclk(dir_sclk),
|
||||
.dir_bclk(dir_bclk),
|
||||
.dir_lrclk(dir_lrclk),
|
||||
.dir_data(dir_data),
|
||||
|
||||
.dir_bframe(dir_bframe),
|
||||
|
||||
.i2s_out_sclk(i2s_out_sclk),
|
||||
.i2s_out_bclk(i2s_out_bclk),
|
||||
.i2s_out_lrclk(i2s_out_lrclk),
|
||||
.i2s_out_data_1(i2s_out_data_1),
|
||||
.i2s_out_data_2(i2s_out_data_2),
|
||||
.i2s_out_data_3(i2s_out_data_3),
|
||||
.i2s_out_data_4(i2s_out_data_4)
|
||||
);
|
||||
|
||||
|
||||
endmodule
|
||||
110
src/hdl/fpga_hypernet_dir/src/main_rx.v
Normal file
110
src/hdl/fpga_hypernet_dir/src/main_rx.v
Normal file
@ -0,0 +1,110 @@
|
||||
////////////////////////////////////////////////////////////////////////////////
|
||||
// Receiver for Hypernet (based on Behringer's UltraNet)
|
||||
// Helped by:
|
||||
// - OpenCore's i2s_interface by Geir Drange (https://opencores.org/projects/i2s_interface)
|
||||
// - The work on ultranet of Christian Nöding (https://github.com/xn--nding-jua/UltranetReceiver)
|
||||
// - Verilog I2S decoder by Seiji Ainoguchi (https://github.com/ain1084/serial_audio_decoder/blob/main/serial_audio_decoder.v)
|
||||
//
|
||||
// Deserialize standard 24bit I2S data at 192kHz into 8 channels.
|
||||
// Designed to work with the DIX9211 as a frontend
|
||||
////////////////////////////////////////////////////////////////////////////////
|
||||
|
||||
module main_rx (
|
||||
input reset_n, // Active-low reset
|
||||
|
||||
input dir_sclk, // Input DIR system clock (49.152 MHz)
|
||||
input dir_bclk, // Input DIR bit clock (12.288 MHz)
|
||||
input dir_lrclk, // Input DIR word clock (192 kHz)
|
||||
input dir_data, // Input DIR audio data
|
||||
input dir_bframe, // Input DIR B-frame indicator
|
||||
|
||||
output i2s_out_sclk, // Output I2S system clock (12.288 MHz)
|
||||
output i2s_out_bclk, // Output I2S bit clock (3.072 MHz)
|
||||
output i2s_out_lrclk, // Output I2S word clock (48 kHz)
|
||||
output i2s_out_data_1, // Output I2S channels 1+2
|
||||
output i2s_out_data_2, // Output I2S channels 3+4
|
||||
output i2s_out_data_3, // Output I2S channels 5+6
|
||||
output i2s_out_data_4 // Output I2S channels 7+8
|
||||
);
|
||||
|
||||
// Pulse indicating new set of samples ready from the demuxer
|
||||
wire audio_sample_load;
|
||||
|
||||
// Audio sample data (24-bit each)
|
||||
wire [23:0] audio_sample_1;
|
||||
wire [23:0] audio_sample_2;
|
||||
wire [23:0] audio_sample_3;
|
||||
wire [23:0] audio_sample_4;
|
||||
wire [23:0] audio_sample_5;
|
||||
wire [23:0] audio_sample_6;
|
||||
wire [23:0] audio_sample_7;
|
||||
wire [23:0] audio_sample_8;
|
||||
|
||||
// Hypernet receiver / DIR interface: extracts 8 channels of 24-bit samples
|
||||
hypernet_demux demuxer(
|
||||
.reset_n(reset_n),
|
||||
|
||||
.bclk(dir_bclk), // Input DIR bit clock (12.288 MHz)
|
||||
.lrclk(dir_lrclk), // Input DIR word clock (48 kHz)
|
||||
.sdata(dir_data), // Input DIR audio data
|
||||
|
||||
.ch1_out(audio_sample_1), // Output audio channel 1
|
||||
.ch2_out(audio_sample_2), // Output audio channel 2
|
||||
.ch3_out(audio_sample_3), // Output audio channel 3
|
||||
.ch4_out(audio_sample_4), // Output audio channel 4
|
||||
.ch5_out(audio_sample_5), // Output audio channel 5
|
||||
.ch6_out(audio_sample_6), // Output audio channel 6
|
||||
.ch7_out(audio_sample_7), // Output audio channel 7
|
||||
.ch8_out(audio_sample_8), // Output audio channel 8
|
||||
|
||||
.data_ready(audio_sample_load) // Received the last channel pair (7-8)
|
||||
);
|
||||
|
||||
// Clock divider: generates I2S system clock (12.288 MHz) from AES3 clock (49.152 MHz)
|
||||
Gowin_CLKDIV4 sclk_div(
|
||||
.hclkin(dir_sclk), // Input recovered clock from the DIR (49.152 MHz)
|
||||
.resetn(reset_n),
|
||||
|
||||
.clkout(i2s_out_sclk) // Output I2S system clock (12.288 MHz)
|
||||
);
|
||||
// I2S clock generator: derives bit and word clocks from I2S system clock and aligns them with the AES3 b-frame signal
|
||||
hypernet_synced_i2s_clocks clocks(
|
||||
.sclk(i2s_out_sclk), // Input I2S system clock (12.288 MHz)
|
||||
.reset_n(reset_n),
|
||||
|
||||
.sync(audio_sample_load), // TODO: Not sure this is the right signal to use here, the goal is to sync the lrclk output with a samle load to avoid loading data during play
|
||||
|
||||
.bclk(i2s_out_bclk), // Output I2S bit clock (3.072 MHz)
|
||||
.lrclk(i2s_out_lrclk) // Output I2S word clock (48 kHz)
|
||||
);
|
||||
|
||||
|
||||
// I2S transmitter: converts 8 audio channels into 4 stereo I2S outputs
|
||||
i2s_quad_transmitter transmitter(
|
||||
.clk(dir_sclk), // Master clock (49.152 MHz)
|
||||
.reset_n(reset_n),
|
||||
|
||||
.bclk(i2s_out_bclk), // I2S bit clock (3.072 MHz)
|
||||
.lrclk(i2s_out_lrclk), // I2S word clock (48 kHz)
|
||||
|
||||
.sample_load(audio_sample_load), // Load new samples when frame ends
|
||||
|
||||
// Map 8 input channels into 4 stereo pairs (L/R)
|
||||
.sample_ch_1_l({ audio_sample_1, 8'b00000000}), // Ch1 left (32-bit padded)
|
||||
.sample_ch_1_r({ audio_sample_2, 8'b00000000}), // Ch2 right
|
||||
.sample_ch_2_l({ audio_sample_3, 8'b00000000}), // Ch3 left
|
||||
.sample_ch_2_r({ audio_sample_4, 8'b00000000}), // Ch4 right
|
||||
.sample_ch_3_l({ audio_sample_5, 8'b00000000}), // Ch5 left
|
||||
.sample_ch_3_r({ audio_sample_6, 8'b00000000}), // Ch6 right
|
||||
.sample_ch_4_l({ audio_sample_7, 8'b00000000}), // Ch7 left
|
||||
.sample_ch_4_r({ audio_sample_8, 8'b00000000}), // Ch8 right
|
||||
|
||||
// I2S serial outputs for 4 stereo links
|
||||
.sdout_1(i2s_out_data_1),
|
||||
.sdout_2(i2s_out_data_2),
|
||||
.sdout_3(i2s_out_data_3),
|
||||
.sdout_4(i2s_out_data_4)
|
||||
);
|
||||
|
||||
|
||||
endmodule
|
||||
169
src/hdl/fpga_hypernet_dir/src/main_tx.v
Normal file
169
src/hdl/fpga_hypernet_dir/src/main_tx.v
Normal file
@ -0,0 +1,169 @@
|
||||
////////////////////////////////////////////////////////////////////////////////
|
||||
// Transmitter for Hypernet (based on Behringer's UltraNet)
|
||||
////////////////////////////////////////////////////////////////////////////////
|
||||
|
||||
module main_tx (
|
||||
input reset_n, // Active-low reset
|
||||
|
||||
input clk, // Input aes3 clock (12.288 MHz)
|
||||
|
||||
output i2s_in_sclk, // Output audio system clock (12.288 MHz)
|
||||
output i2s_in_bclk, // Output audio bit clock (3.072 MHz)
|
||||
output i2s_in_lrclk, // Output audio word clock (48 kHz)
|
||||
input i2s_in_data_1, // Input audio channel 1+2
|
||||
input i2s_in_data_2, // Input audio channel 3+4
|
||||
input i2s_in_data_3, // Input audio channel 5+6
|
||||
input i2s_in_data_4, // Input audio channel 7+8
|
||||
|
||||
output active_n, // Serial output is active
|
||||
output aes3 // AES3/Hypernet serial output
|
||||
);
|
||||
|
||||
|
||||
assign i2s_in_sclk = clk; // Since the GOWIN SPDIF_TX module works 64*Fs we can reuse the 12.288 MHz clock for the ADCs system clock
|
||||
|
||||
wire block_start; // Sync signal, asserted for 1 clock cycle at the start of an AES3 block (BFRAME)
|
||||
|
||||
wire fifo_empty; // FIFO empty flag
|
||||
wire fifo_almost_full; // FIFO almost full flag
|
||||
|
||||
wire fifo_audio_data_read_req; // FIFO read request, asserted for 1 clock cycle by the SPDIF_TX module when it wants data
|
||||
wire [23:0] fifo_audio_data_read; // FIFO read data (24-bit audio sample) to the SPDIF_TX module
|
||||
|
||||
wire fifo_audio_data_write_req; // FIFO write request, asserted in bursts of 8 cycles by the hypernet_mux module
|
||||
wire [23:0] fifo_audio_data_write; // FIFO write data (24-bit audio sample) from the hypernet_mux module
|
||||
|
||||
// Asynchronous FIFO for buffering audio samples between I2S and AES3 clock domains
|
||||
gowin_fifo24 gowin_fifo(
|
||||
.Reset(!reset_n),
|
||||
|
||||
.WrClk(i2s_in_bclk), // Write domain: I2S bit clock
|
||||
.Data(fifo_audio_data_write), // Audio sample to write
|
||||
.WrEn(fifo_audio_data_write_req), // Write enable, will be asserted for a 8 samples bursts
|
||||
|
||||
.RdClk(clk), // Read domain: AES3 clock
|
||||
.Q(fifo_audio_data_read), // Audio sample read out
|
||||
.RdEn(fifo_audio_data_read_req && fifo_almost_full), // Read enable when requested with a lockout to make sure the FIFO has data
|
||||
|
||||
//.Almost_Empty(Almost_Empty),
|
||||
.Empty(fifo_empty),
|
||||
|
||||
.Almost_Full(fifo_almost_full)
|
||||
//.Full(Full)
|
||||
);
|
||||
|
||||
|
||||
|
||||
wire chan_status_bit_req; // Channel status read request, asserted for 1 clock cycle by the SPDIF_TX module when it wants data
|
||||
wire chan_status_bit; // Channel status data to the SPDIF_TX module from the hypernet_tx_channel_status module
|
||||
|
||||
// Handler for the AES3 channel status bits
|
||||
hypernet_tx_channel_status channel_status(
|
||||
.clk(clk), // AES3 clock
|
||||
.reset_n(reset_n), // Global reset
|
||||
|
||||
.block_start(block_start), // Sync signal, asserted at the start of block (BFRAME)
|
||||
|
||||
.channel_status_bit_req(chan_status_bit_req), // Channel status bit request,
|
||||
.channel_status_bit(chan_status_bit) // Channel status bit value,
|
||||
);
|
||||
|
||||
// AES3 transmitter
|
||||
Gowin_SPDIF_TX gowin_aes3_tx(
|
||||
.I_clk(clk), // AES3 clock
|
||||
.I_rst_n(reset_n && !fifo_empty), // Global reset + make sure it's not running when there is no data
|
||||
|
||||
.I_audio_d(fifo_audio_data_read), // Input audio sample from the fifo
|
||||
.O_audio_d_req(fifo_audio_data_read_req), // Request new audio sample from the FIFO
|
||||
|
||||
.I_validity_bit(1'b1), // Validity bit, the stream must be marked as "invalid" to avoid garbage being played out by a standard aes3 receiver
|
||||
//.O_validity_bit_req(O_validity_bit_req), //
|
||||
|
||||
.I_user_bit(1'b0), // User bit (not used)
|
||||
//.O_user_bit_req(O_user_bit_req), //
|
||||
|
||||
.I_chan_status_bit(chan_status_bit), // Channel status bit request
|
||||
.O_chan_status_bit_req(chan_status_bit_req), // Channel status bit value
|
||||
|
||||
.O_block_start_flag(block_start), // Sync signal, asserted at the start of block (BFRAME)
|
||||
//.O_sub_frame0_flag(sub_frame0_flag),
|
||||
//.O_sub_frame1_flag(sub_frame1_flag),
|
||||
|
||||
.O_Spdif_tx_data(aes3) // AES3/Hypernet serial output
|
||||
);
|
||||
|
||||
// Serial output active signal, active low when not in reset and when the fifo is not empty
|
||||
assign active_n = !(reset_n && !fifo_empty);
|
||||
|
||||
// Clock generation/derivation for the I2S interface
|
||||
hypernet_synced_i2s_clocks clocks(
|
||||
.sclk(i2s_in_sclk), // System clock input
|
||||
.reset_n(reset_n), // Global reset
|
||||
|
||||
.sync(block_start), // AES block sync. Used to align bclk and lrclk to a block start
|
||||
|
||||
.bclk(i2s_in_bclk), // I2S 3.072MHz bit clock output
|
||||
.lrclk(i2s_in_lrclk) // I2S 48kHz word clock output
|
||||
);
|
||||
|
||||
|
||||
// Audio sample data wires (24-bit each)
|
||||
wire [23:0] audio_sample_1;
|
||||
wire [23:0] audio_sample_2;
|
||||
wire [23:0] audio_sample_3;
|
||||
wire [23:0] audio_sample_4;
|
||||
wire [23:0] audio_sample_5;
|
||||
wire [23:0] audio_sample_6;
|
||||
wire [23:0] audio_sample_7;
|
||||
wire [23:0] audio_sample_8;
|
||||
wire i2s_data_ready;
|
||||
|
||||
// I2S reader
|
||||
i2s_quad_receiver receiver(
|
||||
.clk(clk), // System clock
|
||||
.reset_n(reset_n), // Global reset
|
||||
|
||||
.bclk(i2s_in_bclk), // I2S bit clock
|
||||
.lrclk(i2s_in_lrclk), // I2S word clock
|
||||
|
||||
.sdata1(i2s_in_data_1), // Input audio channel 1+2
|
||||
.sdata2(i2s_in_data_2), // Input audio channel 3+4
|
||||
.sdata3(i2s_in_data_3), // Input audio channel 5+6
|
||||
.sdata4(i2s_in_data_4), // Input audio channel 7+8
|
||||
|
||||
.sample_sync(block_start), // Sync with AES block start
|
||||
|
||||
.sample_ch_1_l(audio_sample_1), // Ch1 left
|
||||
.sample_ch_1_r(audio_sample_2), // Ch2 right
|
||||
.sample_ch_2_l(audio_sample_3), // Ch3 left
|
||||
.sample_ch_2_r(audio_sample_4), // Ch4 right
|
||||
.sample_ch_3_l(audio_sample_5), // Ch5 left
|
||||
.sample_ch_3_r(audio_sample_6), // Ch6 right
|
||||
.sample_ch_4_l(audio_sample_7), // Ch7 left
|
||||
.sample_ch_4_r(audio_sample_8), // Ch8 right
|
||||
.data_ready(i2s_data_ready) // Output sample data is ready
|
||||
);
|
||||
|
||||
// FIFO audio reserializer
|
||||
hypernet_mux muxer(
|
||||
.clk(clk), // System clock
|
||||
.reset_n(reset_n), // Global reset
|
||||
|
||||
.bclk(i2s_in_bclk), // I2S bit clock, used to output data to the FIFO
|
||||
|
||||
.ch1_in(audio_sample_1), // Ch1 left
|
||||
.ch2_in(audio_sample_2), // Ch2 right
|
||||
.ch3_in(audio_sample_3), // Ch3 left
|
||||
.ch4_in(audio_sample_4), // Ch4 right
|
||||
.ch5_in(audio_sample_5), // Ch5 left
|
||||
.ch6_in(audio_sample_6), // Ch6 right
|
||||
.ch7_in(audio_sample_7), // Ch7 left
|
||||
.ch8_in(audio_sample_8), // Ch8 right
|
||||
.data_ready(i2s_data_ready), // Input sample data is ready
|
||||
|
||||
.sample_write(fifo_audio_data_write_req), // Write enable to FIFO
|
||||
.sample(fifo_audio_data_write) // Audio sample to FIFO
|
||||
);
|
||||
|
||||
|
||||
endmodule
|
||||
119
src/hdl/fpga_hypernet_dir/src_tests/hypernet_rx.vhd
Normal file
119
src/hdl/fpga_hypernet_dir/src_tests/hypernet_rx.vhd
Normal file
@ -0,0 +1,119 @@
|
||||
library ieee;
|
||||
use ieee.std_logic_1164.all;
|
||||
use ieee.std_logic_unsigned.all;
|
||||
use ieee.numeric_std.all; -- lib for unsigned and signed
|
||||
|
||||
entity hypernet_rx is
|
||||
port (
|
||||
reset_n : in std_logic; -- Reset signal
|
||||
|
||||
bclk : in std_logic; -- Input DIR bit clock (12.288 MHz)
|
||||
lrclk : in std_logic; -- Input DIR word clock (192 kHz)
|
||||
sdata : in std_logic; -- Input DIR audio data (read on rising edge of bclk)
|
||||
|
||||
--bsync : in std_logic; -- Input DIR B-frame indicator. (Asserted by the DIR in the middle of the first sample of the block)
|
||||
|
||||
ch1_out : buffer std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 1
|
||||
ch2_out : buffer std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 2
|
||||
ch3_out : buffer std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 3
|
||||
ch4_out : buffer std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 4
|
||||
ch5_out : buffer std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 5
|
||||
ch6_out : buffer std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 6
|
||||
ch7_out : buffer std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 7
|
||||
ch8_out : buffer std_logic_vector(23 downto 0); -- Output audio data MSB first for channel 8
|
||||
|
||||
is_end_of_frame : out std_logic -- Signals that the receiver just finished channel 8
|
||||
);
|
||||
end entity;
|
||||
|
||||
architecture rtl of hypernet_rx is
|
||||
--signal bsync_last_change : std_logic; -- Stores state of bsync at the last lrck edge
|
||||
|
||||
signal channel_counter : integer range 0 to 7 := 0; -- Tracks which channel is being received
|
||||
|
||||
signal sample_data : std_logic_vector(31 downto 0); -- Shift register for incoming serial data (32-bit buffer)
|
||||
|
||||
signal zlrclk : std_logic; -- Previous lrclk (for edge detection)
|
||||
signal is_lr_changed : std_logic; -- Pulse high when lrclk edge detected
|
||||
begin
|
||||
|
||||
-- Detect LR transition (channel boundary)
|
||||
is_lr_changed <= '1' when zlrclk /= lrclk else '0';
|
||||
|
||||
-- Main deserialization process
|
||||
detect_sample : process(bclk) begin
|
||||
if rising_edge(bclk) then
|
||||
if reset_n = '0' then
|
||||
-- Reset all outputs and counters
|
||||
sample_data <= "00000000000000000000000000000000";
|
||||
ch1_out <= "000000000000000000000000";
|
||||
ch2_out <= "000000000000000000000000";
|
||||
ch3_out <= "000000000000000000000000";
|
||||
ch4_out <= "000000000000000000000000";
|
||||
ch5_out <= "000000000000000000000000";
|
||||
ch6_out <= "000000000000000000000000";
|
||||
ch7_out <= "000000000000000000000000";
|
||||
ch8_out <= "000000000000000000000000";
|
||||
is_end_of_frame <= '0';
|
||||
channel_counter <= 0;
|
||||
--bsync_last_change <= '0';
|
||||
|
||||
-- Keep the edge detector running while in reset
|
||||
zlrclk <= lrclk;
|
||||
else
|
||||
-- Shift serial input data into buffer (MSB first). 32 bits are shifted but only the upper 24 are valid audio
|
||||
sample_data <= sample_data(sample_data'high-1 downto sample_data'low) & sdata;
|
||||
|
||||
-- Save LRCLK for edge detection
|
||||
zlrclk <= lrclk;
|
||||
|
||||
-- On word clock transition):
|
||||
if is_lr_changed then
|
||||
-- Capture the bsync value
|
||||
--bsync_last_change <= bsync;
|
||||
|
||||
-- Detect start of frame: bsync was low at the last transition but is now high
|
||||
--if bsync_last_change = '0' and bsync = '1' then
|
||||
-- channel_counter <= 1; -- Since the bsync pulse happens in the middle of the first channel, we need to offset by one
|
||||
--else
|
||||
-- Otherwise step through 8 channels
|
||||
if channel_counter < 7 then
|
||||
channel_counter <= channel_counter + 1;
|
||||
else
|
||||
channel_counter <= 0;
|
||||
end if;
|
||||
--end if;
|
||||
|
||||
-- Store the completed 24-bit sample into correct channel output
|
||||
if channel_counter = 0 then
|
||||
ch1_out <= sample_data(31 downto 8);
|
||||
elsif channel_counter = 1 then
|
||||
ch2_out <= sample_data(31 downto 8);
|
||||
elsif channel_counter = 2 then
|
||||
ch3_out <= sample_data(31 downto 8);
|
||||
elsif channel_counter = 3 then
|
||||
ch4_out <= sample_data(31 downto 8);
|
||||
elsif channel_counter = 4 then
|
||||
ch5_out <= sample_data(31 downto 8);
|
||||
elsif channel_counter = 5 then
|
||||
ch6_out <= sample_data(31 downto 8);
|
||||
elsif channel_counter = 6 then
|
||||
ch7_out <= sample_data(31 downto 8);
|
||||
elsif channel_counter = 7 then
|
||||
ch8_out <= sample_data(31 downto 8);
|
||||
|
||||
-- When channel 8 is written, flag end of frame for 1 bclk cycle
|
||||
is_end_of_frame <= '1';
|
||||
end if;
|
||||
|
||||
else
|
||||
-- Still reading data
|
||||
-- Clear the end of frame flag just in case
|
||||
is_end_of_frame <= '0';
|
||||
end if;
|
||||
end if;
|
||||
end if;
|
||||
end process;
|
||||
|
||||
end rtl;
|
||||
|
||||
99
src/hdl/fpga_hypernet_dir/src_tests/main_rx.v
Normal file
99
src/hdl/fpga_hypernet_dir/src_tests/main_rx.v
Normal file
@ -0,0 +1,99 @@
|
||||
module main_rx (
|
||||
input reset_n, // Active-low reset
|
||||
|
||||
input dir_sclk, // Input DIR system clock (24.576 MHz)
|
||||
input dir_bclk, // Input DIR bit clock (12.288 MHz)
|
||||
input dir_lrclk, // Input DIR word clock (192 kHz)
|
||||
input dir_data, // Input DIR audio data
|
||||
input dir_bframe, // Input DIR B-frame indicator
|
||||
|
||||
output i2s_out_sclk, // Output I2S system clock (12.288 MHz)
|
||||
output i2s_out_bclk, // Output I2S bit clock (3.072 MHz)
|
||||
output i2s_out_lrclk, // Output I2S word clock (48 kHz)
|
||||
output i2s_out_data_1, // Output I2S channels 1+2
|
||||
output i2s_out_data_2, // Output I2S channels 3+4
|
||||
output i2s_out_data_3, // Output I2S channels 5+6
|
||||
output i2s_out_data_4 // Output I2S channels 7+8
|
||||
);
|
||||
|
||||
// Pulse indicating new set of samples ready
|
||||
wire audio_sample_load;
|
||||
|
||||
// Audio sample data wires (24-bit each)
|
||||
wire [23:0] audio_sample_1;
|
||||
wire [23:0] audio_sample_2;
|
||||
wire [23:0] audio_sample_3;
|
||||
wire [23:0] audio_sample_4;
|
||||
wire [23:0] audio_sample_5;
|
||||
wire [23:0] audio_sample_6;
|
||||
wire [23:0] audio_sample_7;
|
||||
wire [23:0] audio_sample_8;
|
||||
|
||||
|
||||
// Hypernet receiver / DIR interface: extracts 8 channels of 24-bit samples
|
||||
hypernet_rx rx(
|
||||
.reset_n(reset_n),
|
||||
|
||||
.bclk(dir_bclk), // Input DIR bit clock (12.288 MHz)
|
||||
.lrclk(dir_lrclk), // Input DIR word clock (48 kHz)
|
||||
.sdata(dir_data), // Input DIR audio data
|
||||
//.bsync(dir_bframe), // Input DIR Frame sync signal
|
||||
|
||||
.ch1_out(audio_sample_1), // Output audio channel 1
|
||||
.ch2_out(audio_sample_2), // Output audio channel 2
|
||||
.ch3_out(audio_sample_3), // Output audio channel 3
|
||||
.ch4_out(audio_sample_4), // Output audio channel 4
|
||||
.ch5_out(audio_sample_5), // Output audio channel 5
|
||||
.ch6_out(audio_sample_6), // Output audio channel 6
|
||||
.ch7_out(audio_sample_7), // Output audio channel 7
|
||||
.ch8_out(audio_sample_8), // Output audio channel 8
|
||||
|
||||
.is_end_of_frame(audio_sample_load) // Frame finished, asserted at the end of channel 8
|
||||
);
|
||||
|
||||
// Clock divider: generates I2S system clock (12.288 MHz) from AES3 clock (49.152 MHz)
|
||||
Gowin_CLKDIV4 sclk_div(
|
||||
.hclkin(dir_sclk), // Input recovered clock from the DIR (49.152 MHz)
|
||||
.resetn(reset_n),
|
||||
|
||||
.clkout(i2s_out_sclk) // Output I2S system clock (12.288 MHz)
|
||||
);
|
||||
// I2S clock generator: derives bit and word clocks from I2S system clock and aligns them with the AES3 b-frame signal
|
||||
hypernet_synced_i2s_clocks clocks(
|
||||
.sclk(i2s_out_sclk), // Input I2S system clock (12.288 MHz)
|
||||
.reset_n(reset_n),
|
||||
|
||||
.sync(dir_bframe), // Input AES3 B-Frame signal
|
||||
|
||||
.bclk(i2s_out_bclk), // Output I2S bit clock (3.072 MHz)
|
||||
.lrclk(i2s_out_lrclk) // Output I2S word clock (48 kHz)
|
||||
);
|
||||
|
||||
// I2S transmitter: converts 8 audio channels into 4 stereo I2S outputs
|
||||
i2s_quad_transmitter transmitter(
|
||||
.clk(dir_sclk), // Master clock (49.152 MHz) TODO: Rewrite this module to use the I2S system clock
|
||||
.reset_n(reset_n),
|
||||
|
||||
.bclk(i2s_out_bclk), // I2S bit clock (3.072 MHz)
|
||||
.lrclk(i2s_out_lrclk), // I2S word clock (48 kHz)
|
||||
|
||||
.sample_load(audio_sample_load), // Load new samples when frame ends
|
||||
|
||||
// Map 8 input channels into 4 stereo pairs (L/R)
|
||||
.sample_ch_1_l({ audio_sample_1, 8'b00000000}), // Ch1 left (32-bit padded)
|
||||
.sample_ch_1_r({ audio_sample_2, 8'b00000000}), // Ch2 right
|
||||
.sample_ch_2_l({ audio_sample_3, 8'b00000000}), // Ch3 left
|
||||
.sample_ch_2_r({ audio_sample_4, 8'b00000000}), // Ch4 right
|
||||
.sample_ch_3_l({ audio_sample_5, 8'b00000000}), // Ch5 left
|
||||
.sample_ch_3_r({ audio_sample_6, 8'b00000000}), // Ch6 right
|
||||
.sample_ch_4_l({ audio_sample_7, 8'b00000000}), // Ch7 left
|
||||
.sample_ch_4_r({ audio_sample_8, 8'b00000000}), // Ch8 right
|
||||
|
||||
// I2S serial outputs for 4 stereo links
|
||||
.sdout_1(i2s_out_data_1),
|
||||
.sdout_2(i2s_out_data_2),
|
||||
.sdout_3(i2s_out_data_3),
|
||||
.sdout_4(i2s_out_data_4)
|
||||
);
|
||||
|
||||
endmodule
|
||||
File diff suppressed because it is too large
Load Diff
@ -95,7 +95,7 @@ C:\Projects\In Progress\HyperNet\src\hdl\test_fpga_project\src\aes3tx2.vhd<b
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Created Time</td>
|
||||
<td>Sat Oct 4 23:28:21 2025
|
||||
<td>Mon Oct 6 00:25:46 2025
|
||||
</td>
|
||||
</tr>
|
||||
<tr>
|
||||
@ -111,7 +111,7 @@ C:\Projects\In Progress\HyperNet\src\hdl\test_fpga_project\src\aes3tx2.vhd<b
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Synthesis Process</td>
|
||||
<td>Running parser:<br/> CPU time = 0h 0m 0.39s, Elapsed time = 0h 0m 0.38s, Peak memory usage = 336.965MB<br/>Running netlist conversion:<br/> CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0s, Peak memory usage = 0MB<br/>Running device independent optimization:<br/> Optimizing Phase 0: CPU time = 0h 0m 0.281s, Elapsed time = 0h 0m 0.286s, Peak memory usage = 336.965MB<br/> Optimizing Phase 1: CPU time = 0h 0m 0.093s, Elapsed time = 0h 0m 0.096s, Peak memory usage = 336.965MB<br/> Optimizing Phase 2: CPU time = 0h 0m 0.343s, Elapsed time = 0h 0m 0.315s, Peak memory usage = 336.965MB<br/>Running inference:<br/> Inferring Phase 0: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.023s, Peak memory usage = 336.965MB<br/> Inferring Phase 1: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.003s, Peak memory usage = 336.965MB<br/> Inferring Phase 2: CPU time = 0h 0m 0.015s, Elapsed time = 0h 0m 0.012s, Peak memory usage = 336.965MB<br/> Inferring Phase 3: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.006s, Peak memory usage = 336.965MB<br/>Running technical mapping:<br/> Tech-Mapping Phase 0: CPU time = 0h 0m 0.109s, Elapsed time = 0h 0m 0.092s, Peak memory usage = 336.965MB<br/> Tech-Mapping Phase 1: CPU time = 0h 0m 0.031s, Elapsed time = 0h 0m 0.03s, Peak memory usage = 336.965MB<br/> Tech-Mapping Phase 2: CPU time = 0h 0m 0.015s, Elapsed time = 0h 0m 0.016s, Peak memory usage = 336.965MB<br/> Tech-Mapping Phase 3: CPU time = 0h 0m 1s, Elapsed time = 0h 0m 1s, Peak memory usage = 336.965MB<br/> Tech-Mapping Phase 4: CPU time = 0h 0m 0.125s, Elapsed time = 0h 0m 0.127s, Peak memory usage = 336.965MB<br/>Generate output files:<br/> CPU time = 0h 0m 0.156s, Elapsed time = 0h 0m 0.159s, Peak memory usage = 336.965MB<br/></td>
|
||||
<td>Running parser:<br/> CPU time = 0h 0m 0.359s, Elapsed time = 0h 0m 0.475s, Peak memory usage = 336.965MB<br/>Running netlist conversion:<br/> CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0s, Peak memory usage = 0MB<br/>Running device independent optimization:<br/> Optimizing Phase 0: CPU time = 0h 0m 0.234s, Elapsed time = 0h 0m 0.257s, Peak memory usage = 336.965MB<br/> Optimizing Phase 1: CPU time = 0h 0m 0.093s, Elapsed time = 0h 0m 0.091s, Peak memory usage = 336.965MB<br/> Optimizing Phase 2: CPU time = 0h 0m 0.312s, Elapsed time = 0h 0m 0.301s, Peak memory usage = 336.965MB<br/>Running inference:<br/> Inferring Phase 0: CPU time = 0h 0m 0.015s, Elapsed time = 0h 0m 0.023s, Peak memory usage = 336.965MB<br/> Inferring Phase 1: CPU time = 0h 0m 0s, Elapsed time = 0h 0m 0.003s, Peak memory usage = 336.965MB<br/> Inferring Phase 2: CPU time = 0h 0m 0.015s, Elapsed time = 0h 0m 0.012s, Peak memory usage = 336.965MB<br/> Inferring Phase 3: CPU time = 0h 0m 0.015s, Elapsed time = 0h 0m 0.007s, Peak memory usage = 336.965MB<br/>Running technical mapping:<br/> Tech-Mapping Phase 0: CPU time = 0h 0m 0.093s, Elapsed time = 0h 0m 0.087s, Peak memory usage = 336.965MB<br/> Tech-Mapping Phase 1: CPU time = 0h 0m 0.031s, Elapsed time = 0h 0m 0.029s, Peak memory usage = 336.965MB<br/> Tech-Mapping Phase 2: CPU time = 0h 0m 0.015s, Elapsed time = 0h 0m 0.015s, Peak memory usage = 336.965MB<br/> Tech-Mapping Phase 3: CPU time = 0h 0m 1s, Elapsed time = 0h 0m 1s, Peak memory usage = 336.965MB<br/> Tech-Mapping Phase 4: CPU time = 0h 0m 0.109s, Elapsed time = 0h 0m 0.123s, Peak memory usage = 336.965MB<br/>Generate output files:<br/> CPU time = 0h 0m 0.187s, Elapsed time = 0h 0m 0.154s, Peak memory usage = 336.965MB<br/></td>
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Total Time and Memory Usage</td>
|
||||
|
||||
Binary file not shown.
@ -17,7 +17,7 @@
|
||||
//SecureMode: OFF
|
||||
//JTAGAsRegularIO: OFF
|
||||
//MultiBootSPIAddr: 0x00000000
|
||||
//Created Time: Sat Oct 4 23:28:27 2025
|
||||
//Created Time: Mon Oct 6 00:25:52 2025
|
||||
1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111
|
||||
1111111111111111
|
||||
1010010111000011
|
||||
|
||||
@ -28,5 +28,5 @@ Generate file "C:\Projects\In Progress\HyperNet\src\hdl\test_fpga_project\impl\p
|
||||
Generate file "C:\Projects\In Progress\HyperNet\src\hdl\test_fpga_project\impl\pnr\test_fpga_project.rpt.html" completed
|
||||
Generate file "C:\Projects\In Progress\HyperNet\src\hdl\test_fpga_project\impl\pnr\test_fpga_project.rpt.txt" completed
|
||||
Generate file "C:\Projects\In Progress\HyperNet\src\hdl\test_fpga_project\impl\pnr\test_fpga_project.tr.html" completed
|
||||
Sat Oct 4 23:28:28 2025
|
||||
Mon Oct 6 00:25:52 2025
|
||||
|
||||
|
||||
@ -78,7 +78,7 @@ table.detail_table th.label { min-width: 8%; width: 8%; }
|
||||
</tr>
|
||||
<tr>
|
||||
<td class="label">Created Time</td>
|
||||
<td>Sat Oct 4 23:28:28 2025
|
||||
<td>Mon Oct 6 00:25:52 2025
|
||||
</td>
|
||||
</tr>
|
||||
<tr>
|
||||
|
||||
Some files were not shown because too many files have changed in this diff Show More
Loading…
Reference in New Issue
Block a user